93

Электронные компоненты №5/2010

Embed Size (px)

DESCRIPTION

В номере: Виртуализированное проектирование встраиваемых электронных систем Увеличение пропускной способности промышленных приложений с помощью сопроцессора на FPGA Снижение электромагнитных помех в системе с помощью тактовых генераторов с распределенным спектром

Citation preview

Page 1: Электронные компоненты №5/2010
Page 2: Электронные компоненты №5/2010
Page 3: Электронные компоненты №5/2010

РЫНОК6 1-я Всероссийская конференция «Датаком»

9 16 ГГц Agilent Technologies!!!

РАЗРАБОТКА И КОНСТРУИРОВАНИЕ 14 Александр Щеглов

Снижение электромагнитных помех в системе с

помощью тактовых генераторов с распределенным

спектром

19 Роман Кондратюк

Применение полимерных клеев и стеклянных при-

поев в сборке и герметизации ИС и оптоволокон-

ных приборов

ВСТРАИВАЕМЫЕ СИСТЕМЫ22 Александр Колаев

Виртуализованное проектирование встраиваемых

электронных систем

28 Дмитрий Прыгунов

Процессоры Intel Core: новое наступление x86-

архитектуры на рынке встраиваемых систем

31 Майкл Паркер

Увеличение пропускной способности промышлен-

ных приложений с помощью сопроцессора на FPGA

35 Сергей Тихонов

Ключевые направления развития процессорных систем

40 Стефен Олсен

Станет ли 2010 г. поворотной точкой для много-

ядерных СнК?

42 Акбер Казми

USB и PCI Express: перспективные интерфейсы

для встраиваемых систем

46 Леонид Акиншин

О новизне процессоров Intel Core i3/i5/i7

ИЗМЕРИТЕЛЬНЫЕ СРЕДСТВА И СИСТЕМЫ50 Валерий Жижин

Проектирование измерительной системы для кон-

троллера электромагнитного клапана

СВЕТОТЕХНИКА И ОПТОЭЛЕКТРОНИКА56 Ирина Ромадина

Новинки микросхем светодиодных драйверов

ON Semi

ЭЛЕКТРОПРИВОД63 Станислав Флоренцев, Дмитрий Изосимов, Лев

Макаров, Андрей Зайцев, Дмитрий Гаронин

Тяговый электропривод в гибридных транспорт-

ных средствах. Часть 5. Разработка КТЭО для

гибридных транспортных средств в Концерне

«Русэлпром»

журн

ал дл

я раз

рабо

тчи

ков

Элек

трон

ные

ком

поне

нтыРуководитель направления «Разработка электроники» и главный редактор Леонид Чанов; ответственный секретарь Марина Грачёва;

редакторы: Елизавета Воронина; Виктор Ежов; Екатерина Самкова; Владимир Фомичёв; Леонид Чанов; редакционная коллегия: Валерий Григорьев;Борис Рудяк; Владимир Фомичёв; Леонид Чанов; реклама: Антон Денисов; Ольга Дорофеева; Елена Живова; распространение и подпис-ка: Марина Панова, Василий Рябишников; вёрстка, дизайн: Александр Житник; Михаил Павлюк; директор издательства: Михаил СимаковАдрес издательства: Москва,115114, ул. Дербеневская, д. 1, п/я 35тел.: (495) 741-7701; факс: (495) 741-7702; эл. почта: [email protected], www.elcp.ru

ПРЕДСТАВИТЕЛЬСТВА: Мир электроники (Самара): 443080, г. Самара, ул. Революционная, 70, литер 1; тел./факс: (846) 267-3139, 267-3140; е-mail: [email protected],www.eworld.ru. Радиоэлектроника: 620107, г. Екатеринбург, ул. Гражданская, д. 2, тел./факс: (343) 370-33-84, 370-21-69, 370-19-99; е-mail: [email protected], www.radioel.ru. ЭЛКОМ (Ижевск): г. Ижевск, ул. Ленина, 38, офис 16, тел./факс: (3412) 78-27-52, е-mail: [email protected], www.elcompany.ru.ЭЛКОТЕЛ (Новосибирск): г. Новосибирск, м/р-н Горский, 61; тел./факс: (3832) 51-56-99, 59-93-31; е-mail: [email protected], www.elcotel.ru.Издательство «Электроника инфо» (Минск): 220015, г. Минск, прз. Пушкина, 29 Б; тел./факс: +375 (17) 251-6735; е-mail: [email protected], electronica.nsys.by.IMRAD (Киев): 03113, г. Киев, ул. Шутова, д. 9, оф. 211; тел./факс: +380 (44) 495-2113, 495-2110, 495-2109; е-mail: [email protected], www.imrad.kiev.ua

Журнал включен в Реферативный журнал и Базы данных ВНИТИ. Сведения о журнале ежегодно публикуются в международной справочной системе по периодическим и продолжающимся изданиям «Ulrich’s Periodicals Directory». Ис поль зо ва ние ма те ри а лов воз мож но толь ко с со гла-сия ре дак ции. При пе ре пе чат ке ма те ри а лов ссыл ка на жур нал «Эле к трон ные ком по нен ты» обя за тель на. От вет ст вен ность за до сто вер ность ин фор ма ции в рек лам ных объ яв ле ни ях не сут рек ла мо да те ли.

Индекс для России и стран СНГ по каталогу агентства «Роспечать» — 47298, индекс для России и стран СНГ по объединенному каталогу «Пресса России. Российские и зарубежные газеты и журналы» — 39459. Свободная цена. Издание зарегистрировано в Комитете РФ по печати. ПИ №77-17143.

Подписано в печать 10.06.2010 г.

Учредитель: ООО «ИД Электроника». Тираж 3000 экз.

Изготовлено ООО «Группа Море». г. Москва, Хохловский пер., д. 9. Тел.: +7 (495) 917-80-37.

содержание

№5/2010

www. elcp.ru

Page 4: Электронные компоненты №5/2010

4

СО

ДЕ

РЖ

АН

ИЕ

WWW. ELCP.RU

АНАЛОГОВЫЕ КОМПОНЕНТЫ68 Наталья Кривандина

Микро- и маломощные операционные усилители

компании Maxim

АЦП И ЦАП73 Эндрю Сиска, Менг Хе

Состязание двух архитектур преобразователей:

АЦП последовательного приближения против

сигма-дельта АЦП

77 Агнес Фейн, Вольфганг Мерик

Реализация интрефейса беспроводной сети на

FPGA

СТАНДАРТНЫЕ ЦИФРОВЫЕ МИКРОСХЕМЫ И ПАМЯТЬ

81 Леонид Авгуль, Виктор Кряжев, Сергей Курносенко,

Сергей Терешко, Леонид Шумов

Микросхемы высокочастотных делителей

5861ПЦ1У, 5861ПЦ2У

ТЕОРИЯ И ПРАКТИКА83 Нарасимхан Венкатеш

Принципы беспроводного подключения встраивае-

мых систем по стандарту 802.11n

ДАТЧИКИ87 Павел Усачев

Современные технологии MEMS открывают путь

для новых приложений

ПОСЛЕ РАБОТЫ90 Александр Каменский

Блок обеспечения доступа на основе RFID-

технологии BM3420

92 Томас Шерер

Балансировочное устройство для заряда аккумуля-

торов LiPo

94 НОВЫЕ КОМПОНЕНТЫ НА РОССИЙСКОМ РЫНКЕ

Page 5: Электронные компоненты №5/2010

СО

ДЕ

РЖ

АН

ИЕ

5

Электронные компоненты №5 2010

contents # 5 / 2 0 1 0

E LEC TRO N I C COM PO N E NT S #5 2010

MARKET6 The 1st All-Russian Datacom Conference

9 16 GHz Agilent Technologies!!!

DESIGN AND DEVELOPMENT 14 Alexander Shcheglov

Spread-Spectrum-Clock Generators Reduce EMI

19 Roman Kondratyuk

Polymeric Adhesives and Glass Solders for Assembly

and Encapsulation of IC and Fiber Devices

EMBEDDED22 Alexander Kolaev

Getting a Handle on Virtualization and Putting It

to Work

28 Dmitry Prygunov

Intel’s Core i7: What It Means to the Embedded Market

31 Michael Parker

Increasing Bandwidth in Industrial Applications

with FPGA Co-Processors

35 Sergey Tikhonov

Embedded Processing Trends

40 Stephen Olsen

The Multicore SoC — Will 2010 be the Turning Point?

42 Akber Kazmi

USB and PCI Express: Advanced, Evolving

Interconnects for Embedded Systems

46 Leonid Akinshin

Newness of Intel Core i3/i5/i7 Processors

TEST AND MEASUREMENT50 Valery Zhizhin

Designing Measuring System for Controller

of Electromagnetic Valve

LIGHTING AND OPTOELECTRONICS56 Irina Romadina

New LED Driver ICs from ON Semi

ELECTRIC DRIVE63 Stanislav Florentsev, Dmitry Izosimov, Lev Makarov,

Andrey Zaitsev and Dmitry Garonin

Traction Motor in Hybrid Vehicles. Part 5. Designing

Drive Engineering Package for Hybrid Vehicles

in Ruselprom

ANALOG68 Natalya Krivandina

Operational Amplifi ers from Maxim

ADC/DAC73 Andrew Siska, Meng He

"Golden Gloves" A/D Converter Match: Successive-

Approximation Register vs. Sigma-Delta Topology

77 Agnes Fain, Wolfgang Meryk

Implementing Wireless LAN Interface in an FPGA

STANDARD DIGITAL IC AND MEMORY81 Leonid Avgul, Victor Kryazhev, Sergey Kurnosenko

Sergey Tereshko, and Leonid Shumov

High-Frequency Divider 5861PC1U and 5861PC2U

SENSORS87 Pavel Usachev

State-of-the Art MEMS Open a Way to New Apps

THEORY AND PRACTICE83 Narasimhan Venkatesh

The Basics of Adding 802.11n Wireless Connectivity

to Your Embedded Design

AT LEISURE90 Alexander Kamensky

VM3420 RFID-Based Access Unit

92 Thomas Scherer

Balancer for Charging LiPo Batteries

94 NEW COMPONENTS IN THE RUSSIAN MARKET

Page 6: Электронные компоненты №5/2010

РЫ

НО

К

9

Электронные компоненты №5 2010

Поводом к интервью послужило феноменальное, на наш взгляд, достиже-

ние компании Agilent Technologies — создание чипсета с полосой пропуска-

ния аналогового тракта более 16 ГГц. Однако Agilent — мировой лидер по

производству измерительных приборов, и было бы неразумно осветить

в интервью лишь один аспект деятельности компании. Поэтому в беседе

с Дэном Томассоном (Dan Thomasson), директором центра высокоча-

стотных технологий, мы коснулись всех аспектов деятельности Agilent

Technologies.

16 ГГц AGILENT TECHNOLOGIES!!!

— Мы встречались c Бенуа Ни лом,

генеральным менеджером Де пар-

тамента электронных измерений по

региону EMEA (Европа, Средняя Азия

и Африка), около 2,5 лет назад — в

ноябре 2007 г. Какие перемены про-

изошли в компании? Перечислите,

пожалуйста, основные.

— Уже второй год Департамент

электронных измерений компании

Agilent продолжает уверенно работать

в сложнейших условиях всемирного

экономического спада. Хотя кризис

оказал влияние и на наш бизнес, в

настоящее время мы ощущаем ста-

бильный рост. Чтобы его обеспечить,

мы продолжили инвестировать в

исследования и разработки, благода-

ря чему смогли в 2009 г. предложить

заказчикам более 200 новых приборов

и приложений в области контрольно-

измерительной техники. Мы ощущаем

потребность рынка в новых продуктах,

в новейших осциллографах и анализа-

торах цепей, анализаторах спектра и

сигналов. Особенно хочется отметить

наше лидерство в области тестирова-

ния LTE с помощью множества новых

программных приложений и аппарат-

ных средств, таких как высокоскорост-

ной анализатор сигналов Agilent PXA.

Мы укрепили свои лидирующие пози-

ции в области измерения Х-параметров

с помощью анализаторов цепей PNA-X

и САПР ADS. Компания располагает

лучшим в отрасли высокопроизводи-

тельным осциллографом серии Agilent

90000 серии X. Фактически, последние

10 лет мы являемся самым быстро-

развивающимся производителем

ос цил лографов в мире. Мы расширили

область сбыта через своих дистрибь-

юторов и партнеров по всему миру,

чтобы найти новых заказчиков и про-

никнуть в регионы, где мы традицион-

но не были представлены. В целом, мы

продолжаем укреплять свои позиции.

— Укрепилось ли положение ком-

пании на российском рынке? Agilent

планирует расширение бизнеса в

России?

— В прошлом году компания

Agilent Technologies отпраздновала

40-летие работы на российском рынке.

Компания Hewlett-Packard (ныне —

Agilent Technologies и HP) была первой

иностранной фирмой, которая откры-

ла свое представительство в СССР. За

последние несколько лет ежегодный

рост продаж оборудования Agilent в

России составлял двухзначную цифру

ежегодно (за исключением, пожалуй,

прошлого года, когда в связи с гло-

бальным финансовым кризисом рост

существенно замедлился). В целом

мы намерены и в дальнейшем нара-

щивать свое присутствие в России,

чтобы у нас было и время, и ресурсы

для работы с каждым запросом каж-

дого заказчика.

Успешно работает наш сервисный

центр, ориентированный на обслу-

живание всех линеек измерительной

техники, проданной в России. Более

95% всех приборов обслуживаются в

Москве, без вывоза за рубеж, что суще-

ственно сокращает сроки проведения

ремонта и сервисного обслуживания.

Сервисный центр Agilent в Москве, пер-

вый среди иностранных производите-

лей контрольно-измерительной техни-

ки, получил право проводить поверку

контрольно-измерительных приборов

Agilent с частотным диапазоном до 18

ГГц. Поверку проводят обученные спе-

циалисты Agilent Technologies, аттесто-

ванные в качестве поверителей органа-

ми Государственной метрологической

службы. Результаты поверки средств

измерения (СИ), признанных годными

к применению, оформляются в виде

свидетельства о поверке установлен-

ного образца. Кроме того, в 2009 г. по

результатам проверки технической

компетенции поверочной лаборатории

завода Agilent Technologies в Пенанг

(Малайзия) Федеральное агентство

по техническому регулированию и

метрологии и наша компания подпи-

сали Протокол о признании результа-

тов заводской калибровки приборов в

качестве первичной поверки.

В ближайшем будущем сервис-центр

Agilent в России планирует получить

лицензию на проведение поверочных

работ для основных групп приборов с

диапазоном до 40 ГГц, в т.ч. для анализа-

торов сигналов, генераторов сигналов,

анализаторов цепей и т.д.

Мы продолжаем работу по серти-

фикации приборов — на сегодняшний

день Agilent Technologies имеет серти-

фикаты об утверждении типов средств

измерений на более чем 100 категорий

продукции, а также более чем 25 сер-

тификатов двойного назначения. Мы

продолжаем переводить на русский

язык технические описания, брошюры

и пресс-релизы. Мы ведем постоянный

диалог и проводим встречи с пред-

ставителями метрологических органов

России и уверены, что на российском

рынке Agilent Technologies будет зани-

мать лидирующую позицию по основ-

ным линейкам продуктам.

— Сегодня во множестве прода-

ется относительно недорогое конт-

рольно-измерительное оборудова-

ние из стран ЮВА. Составляет ли оно

серьезную конкуренцию продукции

компании? Имеются ли в продуктовой

линейке Agilent экономичные прибо-

ры, конкурентоспособные по цене с

продукцией компаний ЮВА?

— В 2005 г. компания Agilent

Technologies приступила к интенсив-

ному производству и расширению

номенклатуры приборов эконом-

класса. Инновационность, высокое

качество и широкие возможности,

присущие только приборам Agilent

высшей ценовой категории, стали

доступны для пользователей бюд-

жетных приборов. Это относится к

настольным, ручным, модульным и

портативным приборам бюджетной

категории, каждый из которых спосо-

бен полностью удовлетворить требо-

вания пользователей.

Во всем мире ощущается потреб-

ность в качественном контрольно-

измерительном оборудовании. При

этом требования к недорогим прибо-

рам резко изменились. Старый принцип

«минимальной достаточности» больше

Page 7: Электронные компоненты №5/2010

10

РЫ

НО

К

WWW.ELCP.RU

не действует. Приборы эконом-класса

Agilent вполне конкурентоспособны

в ценовом отношении и отличаются

многофункциональностью (функции

нескольких приборов в одном), пор-

тативностью и универсальностью для

применения в полевых условиях, точ-

ностью, высоким качеством и надеж-

ностью, имеют мощную сервисную

поддержку независимо от места экс-

плуатации.

— Охарактеризуйте, пожалуйста,

кратко состояние мирового рынка

контрольно-измерительного обору-

дования. Какое положение занимает

Agilent?

— Рынок контрольно-изме ритель-

ного оборудования оценивается в

44 млрд долл. и предоставляет широ-

кие возможности для множества игро-

ков. Наша компания предлагает своим

заказчикам наиболее совершенные

и передовые решения. Измерения —

это основа научного прогресса, а их

результаты — ключ к решению самых

важных современных технических

и социальных проблем. Компания

Agilent — главный эксперт и миро-

вой лидер в области измерений, это

единственная компания, которая охва-

тывает весь диапазон измерений —

от моделирования сигнала до средств

генерирования сигнала и его анализа.

Все, что мы делаем, направлено на то,

чтобы весь мир, все инженеры знали,

что мы создаем решения, лидирую-

щие во всех областях. Наша основная

цель — предоставить любому инжене-

ру в любой точке мира наиболее совер-

шенное контрольно-измерительное

оборудование.

— Agilent развивает два направ-

ления: биотехнологии/химический

анализ и электронные измерения.

Насколько эти приложения связаны

друг с другом? Каков удельный вес

каждого из них в общем обороте, оди-

наково ли они выгодны?

— Измерения физических величин,

биотехнологии/химический анализ и

электронные измерения всегда были

независимыми и мало пересекающими-

ся направлениями. Современное поло-

жение компании Agilent — ведущего

поставщика КИП и эксперта в области

измерений — открывает широкие

возможности по слиянию решений в

области электроники, биотехнологий

и химии. Из годового оборота Agilent в

4,5 млрд долл. на биотехнологии при-

ходится 1,2 млрд долл., на химический

анализ — 0,9 млрд долл. и на электрон-

ные измерения — 2,4 млрд долл.

— Ваша компания — один из инно-

вационных лидеров в своем сегмен-

те рынка. Расскажите подробнее о

дизайн-центрах: их число, география

размещения; затраты на них в про-

центах от общего оборота.

— Agilent — мировой лидер по

производству средств измерений.

Технологическое лидерство Agilent

особенно проявляется в высочайшем

качестве приборов, характерном для

всей нашей продукции. Для поддержа-

ния ведущих позиций Agilent активно

финансирует общие и специализиро-

ванные исследования в своих лабо-

раториях и конструкторских бюро,

которые расположены по всему

миру: в США (Калифорния, Колорадо),

Шотландии, Бельгии, Китае, Индии.

Центры Agilent Labs занимаются раз-

работкой в сфере биохтехнологий.

Измерительно -исс ледовательские

ла бо ратории, входящие в организацию

«Тех но логическое лидерство» (TLO)

Департамента электронных измере-

ний, занимаются развитием средств

электронных измерений.

Департамент электронных измере-

ний Agilent инвестирует в исследования

и разработки 12—18 % всего оборота.

Специалисты центральных лаборато-

рий создают революционные решения

для применения в нашей аппаратуре:

от высокочастотных полупроводнико-

вых приборов до специализированных

интегральных схем для АЦП, используе-

мых при научных измерениях.

Успех наших осциллографов 90000

серии X — свидетельство того, как

компания Agilent объединяет разра-

ботанные в центральных исследова-

тельских лабораториях революцион-

ные технологические достижения с

богатейшим опытом своих специали-

зированных конструкторских бюро.

Специалисты наших центральных

исследовательских лабораторий, соз-

давшие транзистор на основе InP с

частотой переключения 200 ГГц, вме-

сте с конструкторами ос циллографов

разработали чипсет с полосой частот

аналогового сигнала 32 ГГц, задающий

новый стандарт эффективности осцил-

лографов.

Главной ценностью наших лабора-

торий является персонал, обладающий

богатым исследовательским опытом,

глубокими знаниями технических дис-

циплин и умеющий внедрять научные

достижения в производство, а также

располагающий богатейшим набором

научного оборудования и конструктор-

ского инструментария мирового класса.

— Компания Agilent осуществила

серьезный технологический прорыв,

разработав чипсет с полосой про-

пускания аналогового тракта свыше

16 ГГц. Как долго шла работа над соз-

данием нового чипсета? Компания

выполнила всю разработку своими

силами или в кооперации с кем-то?

— Колоссальный опыт специалистов

нашей компании позволил разработать

чипсет для осциллографа с полосой

частот 32 ГГц всего за несколько лет.

Agilent явлется уникальной компанией-

производителем КИП, поскольку она

разрабатывает и выпускает не только

приборы, но и необходимые интеграль-

ные схемы. Самостоятельное проекти-

рование и полный цикл изготовления

интегральных схем на основе собствен-

ной производственной базы обеспечи-

вает лучшую в отрасли производитель-

ность, а также позволяет выполнять

разработку новой продукции с неви-

данной гибкостью и скоростью. В своей

работе мы не зависим от отношений

с поставщиками комплектующих, что

способствует неизменно высокой про-

изводительности, качеству и скорости

разработок.

Несмотря на то, что современный

рынок предлагает готовые технологии

коммерческого производства полу-

проводников, компания Agilent само-

стоятельно разработала процесс изго-

товления ИС на индиево-фосфидных

биполярных транзисторах на гетеро-

переходах с частотой переключения

200 ГГц. Это позволило обеспечить

соответствие строгим требованиям

качества и надежности, предъявляе-

мым к измерительной аппаратуре.

Четыре из шести ИС чипсета опре-

деляют основные характеристики

осциллографа. Пробник и ИС входного

усилителя имеют полосу 32 ГГц и уро-

вень собственных шумов 450 мкВср.

кв.. ИС запуска работает по сигналам до

25 ГГц с вероятностью ошибки 10–9. ИС

дискретизатора обеспечивает частоту

выборки по одному каналу 80 Гвыб./с, а

по двум — 40 Гвыб./с.

— Собирается ли компания дальше

работать в этом направлении, напри-

мер, создавать аналоговые компонен-

ты для СВЧ-цепей?

— Самостоятельная разработка

сложных полупроводников для Agilent

не в новинку и мы планируем продол-

жить конструирование собственных

СВЧ-элементов, являющихся «изюмин-

кой» нашей продукции. Вот уже двад-

цать лет Agilent разрабатывает и выпу-

скает собственные интегральные схемы

для радиочастотных и СВЧ анализато-

ров цепей, анализаторов спектра и дру-

гих приборов. А несколько последних

лет Agilent успешно поставляет ИС на

основе фосфида индия для различной

измерительной аппаратуры.

Совершенно понятно, почему Agilent

продолжает разработку собственных

высокочастотных микросхем. Прогресс

в области электроники приводит к уве-

личению объема информации, переда-

ваемой во все более широкой полосе

частот, поэтому возможности измери-

Page 8: Электронные компоненты №5/2010

РЫ

НО

К

11

Электронные компоненты №5 2010

тельных приборов должны опережать

это развитие. Таким образом, требова-

ния к современным высокоэффективным

СВЧ-компонентам ужесточаются. Без соб-

ственного производства комплектующих

компания-производитель КИП попадает

в зависимость от сторонних поставщи-

ков, продукция которых хорошо соот-

ветствует собственным требованиям, но

плохо приспособлена для измеритель-

ной техники. Оставаясь лидерами в обла-

сти высокочастотных компонентов, мы

продолжаем прилагать все усилия к под-

держанию высочайшего реноме наших

электронных измерительных приборов

— Чипсет с полосой пропускания

аналогового тракта более 16 ГГц —

замечательное достижение, но как

быть с пассивными компонентами —

конденсаторами, резисторами — для

таких частот? Не будут ли они источ-

никами дополнительных искажений

сигнала?

— Это очень важный вопрос, на при-

мере которого можно понять, почему

Agilent занимается собственным произ-

водством полупроводников. Нам требу-

ются ИС с рабочей частотой до (и даже

более) 110 ГГц, поэтому столь высокоча-

стотные пассивные компоненты должны

иметь совершенно другую конструкцию

и характеристики. Я не покривлю душой,

сказав, что наша уникальная технология

производства обеспечивает непревзой-

денное качество этих изделий, а весь

технологический процесс оптимизи-

рован под требования измерительной

техники. Таким образом, пассивные эле-

менты (и другая продукция) обладают

большей точностью, стабильностью и

воспроизводимостью характеристик,

чем изделия, изготовленные по обще-

принятой технологии.

Для того чтобы оценить влияние пас-

сивных элементов на характеристики

СВЧ-тракта и обеспечить согласование

полных сопротивлений, необходимо

выполнить соответствующее модели-

рование, которое само по себе явля-

ется сложной инженерной задачей.

Именно поэтому мы располагаем луч-

шей в мире командой специалистов в

области моделирования. Эта же коман-

да создала алгоритм моделирования

Х-параметров — быстро развивающую-

ся технологию измерения и симуляции,

задающую новые стандарты разработ-

ки высокочастотных чипов.

Вот почему наше собственное про-

изводство ИС по многим параметрам

занимает первое место в мире. С уче-

том менее заметных аспектов, таких как

изготовление упомянутых вами пассив-

ных элементов, наши производствен-

ные возможности позволяют выпускать

надежные и высококачественные сред-

ства измерений.

— Широкая полоса частот (свыше

16 ГГц) влечет за собой повышен-

ный уровень шума. Каковы шумовые

характеристики тракта?

— Используемые в осциллографах

Infi niium 90000 серии X интегральные

схемы на фосфиде индия разработа-

ны с учетом требований относительно

малых шумов. Высокоскоростные тран-

зисторы позволили разработчикам ИС

оптимизировать шумовые характери-

стики даже при полосе 32 ГГц. Чипсет

обеспечивает более широкую полосу

частот без сопутствующего увеличения

шумов. В результате уровень собствен-

ных шумов осциллографа составляет

600 мкВср.кв. при максимальной чув-

ствительности 10 мВ/дел. и максималь-

ном коэффициенте усиления, установ-

ленном на входном усилителе.

— Можно ли сформулировать

основные направления развития

Agilent на ближайшие несколько лет?

— Agilent продолжит работу по

ключевым направлениям: биотехноло-

гии, химический анализ и электронные

измерения. Опираясь на свой опыт и

требования рынка, мы будем искать

возможности выхода на новые рынки в

смежных областях.

C точки зрения перспективных

технологий, из 11 основных произ-

водственных направлений наиболее

многообещающим и перспективным

явлется выпуск ИС на фосфиде индия.

Собственное производство 200-ГГц

микросхем обеспечивает лидирующее

положение ряда электронных изме-

рительных приборов Agilent, вклю-

чая осциллографы, отображающие в

реальном времени аналоговый сиг-

нал в полосе 32 ГГц, а также анали-

заторы цепей с граничной частотой

50 ГГц. Исходя из того, что требования

к полосе частот будут увеличиваться,

мы планируем продолжить разработку

InP-технологий и другие исследования

в области измерений.

— Какие приложения, на Ваш

взгляд, определят развитие электро-

ники в следующие 2—3 года?

— Что касается электронных изме-

рений, увеличивается потребность в

приборах общего назначения для про-

мышленного производства, изготов-

ления компьютеров и полупроводни-

ковых устройств. Она вызвана общим

улучшением ситуации на рынке полу-

проводниковой продукции, исполь-

зуемой в других областях, а также

стимулированием государственными

заказами в аэрокосмической и оборо-

ной промышленности, сфере безопас-

ности. Промышленность переходит

на новые технологии и приступает к

массовому выпуску средств связи чет-

вертого поколения (4G). В результате

провала в 2008—2009 гг. непрерывно

растущий рынок портативных беспро-

водных средств связи впервые сокра-

тился на 10%. Это показательно потому,

что рынок беспроводной портативной

электроники был самым быстрорасту-

щим в мире. Так, по сравнению с более

чем миллиардом выпущенных сотовых

телефонов, было изготовлено около

250 млн ПК. Однако развитие сотовой

связи 4G и сопутствующих рынков в

ближайшие годы может серьезно улуч-

шить ситуацию.

Материал подготовил Леонид Чанов

НОВОСТИ ТЕХНОЛОГИЙ

| СИНТЕЗИРОВАН ОРГАНИЧЕСКИЙ МЕТАЛЛ НА ОСНОВЕ ФУЛЛЕРЕНА | Ученые из Института проблем химической физики

РАН, Института физики твердого тела РАН, Киотского университета и Университета Мейджо (оба — Япония) синтезировали

органический металл на основе фуллерена C60.

Химики уже создавали фуллеренсодержащие кристаллы с металлическими свойствами, но обойтись без ионов металла им не

удавалось. Авторы работы постарались обойти это ограничение и использовать только атомы углерода, водорода и азота.

Для получения кристаллов необходимы органические катионы, крупные нейтральные органические молекулы. Ученые

остановили свой выбор на катионах N-метилдиазабициклооктана и нейтральном триптицене (TPC).

Синтезированные кристаллы имели черный цвет и форму гексагональных призм довольно больших размеров —

до 0,5×2×2 мм. Проводящие слои фуллерена в них чередуются со слоями двух других компонентов, причем металлические

свойства, что очень необычно, сохраняются даже при охлаждении до 1,9 К.

По мнению исследователей, при варьировании используемых компонентов можно создать еще более интересные

кристаллы, которые будут обладать сверхпроводниковыми характеристиками.

www.russianelectronics.ru

Page 9: Электронные компоненты №5/2010

12

WWW.ELCP.RU

НО

ВО

СТ

И

НОВОСТИ ТЕХНОЛОГИЙ

| GLOBALPLATFORM СОЗДАЕТ ЦЕЛЕВУЮ ГРУППУ ПО IP-СВЯЗИ | GlobalPlatform — международная организация по специфи-

кации смарт-карт — создала рабочую группу по IP-связи в соответствии с растущим спросом на применение IP-технологии

в персональных устройствах и в бытовой технике. Эта группа будет добиваться того, чтобы стандарты и конфигурации

GlobalPlatform поддерживали технологию смарт-карт и способствовали ее интеграции в IP-архитектуру.

Рабочая группа объединит членов GlobalPlatform для обсуждения новых требований бизнеса к объектам с функцией

подключения к сети и определит, как усовершенствовать технологию GlobalPlatform таким образом, чтобы она отвечала

новым реалиям.

Работа технических комитетов организации GlobalPlatform будет направлена на то, чтобы корректировать ее деятель-

ность в соответствии с видением группы, а также стимулировать разработчиков к реализации возможностей смарт-карт,

используемых совместно с IP-инфраструктурами (т.н. «Интернет вещей»).

Целевая группа приступила к работе вслед за выходом в конце прошлого года спецификации GlobalPlatform Card

Networked Framework v1.0, которая позволяет смарт-карте безопасно, эффективно и просто подключаться к интернету.

GlobalPlatform издала документацию с указанием ряда способов обновления программы смарт-карт, позволяющих облег-

чить подключение к IP-сети в соответствии с требованиями поправки Amendment B к спецификации Card Specifi cation

v2.2, вышедшей в 2008 г.

www.russianelectronics.ru

СОБЫТИЯ РЫНКА

| СИСТЕМА МОДЕЛИРОВАНИЯ VIRTUTECH SIMICS СТАНОВИТСЯ ПРОДУКТОМ WIND RIVER | Корпорация Intel приобрела

компанию Virtutech, и система моделирования цифровых электронных устройств и систем Simics вошла в продуктовый порт-

фель Wind River — подразделения Intel.

Компания Virtutech была образована 1998 г. для коммерческого продвижения системы Simics, разработанной в швед-

ском Институте компьютерных наук. В настоящее время в библиотеке моделей Simics сотни микропроцессоров различ-

ных архитектур и периферийных устройств (накристальных и дискретных). Модели процессоров настолько точны, что

позволяют исполнять на них реальное программное обеспечение в двоичном коде (например, операционную систему

или сетевой стек).

Процессорная модель в Simics — это не просто симулятор системы команд ISS (Instruction Set Simulator). Моделиру-

ются все компоненты, находящиеся на процессоре или вне его: контроллеры памяти и системные контроллеры, контрол-

леры прерываний и прямого доступа, контроллеры внутренней и внешней шины (PCI), контроллеры последовательных

интерфейсов (PCI Express и RapidIO), включая их коммутаторы, сетевые контроллеры (Ethernet, AFDX), последовательные и

параллельные порты (RS-232, USB, I2C), дисковые интерфейсы (SCSI), специализированные коммуникационные интерфейсы

(FireWire, Spacewire, ARINC 429, MIL-STD-1553) и таймеры.

У отладки ПО на моделях имеется множество преимуществ перед отладкой на реальном «железе». Прежде всего,

это опережающая разработка ПО. Например, Wind River начала портировать симметричный мультипроцессинг SMP для

VxWorks на модель микропроцессора Freescale MPC8641D за год до того, как появился первый работающий кристалл.

Однако ранняя разработка ПО — далеко не единственное преимущество отладки ПО на модели. Даже если «железо»

готово, модель может быть предоставлена каждому разработчику ПО независимо от количества имеющихся готовых

экземпляров оборудования. Модель предоставляет разработчику ПО возможности, недоступные обычным отладчикам и

даже средствам JTAG-отладки, например останов по любому событию в системе и всех устройств системы одновременно,

запоминание состояния системы и последующее продолжение исполнения с этого состояния. Также возможно ревер-

сивное исполнение ПО для определения первопричины возникшей ошибки, «вброс» аппаратных ошибок при стресс-

тестировании ПО и многое другое.

Модель должна быть не только точной, но и быстрой. Никто не будет ждать часы, чтобы промоделировать секунды.

Процессорные модели Simics медленнее реальных процессоров всего в 5—10 раз в зависимости от архитектуры микро-

процессора и типа исполняемого ПО.

Simics моделирует не только на уровне процессоров. На базе процессорных моделей строятся иерархические модели

плат, многоплатные крейты и многокрейтовые системы. При моделировании больших систем модель может быть распре-

делена между несколькими хост-компьютерами.

На сегодняшний день в библиотеке моделей Simics более 700 процессоров различных архитектур: ARM (7, 9, 11),

x86 (Intel и AMD), MIPS (Cavium, MIPS, PMC-Sierra, RMI), Power (Freescale, IBM, AMCC, BAE Systems), SPARC (v8, v9, LEON2), TI

C64/64+, Renesas H8 и SH-4. В библиотеке моделей также более 50 плат различных производителей.

AVD Systems, (499) 148-9677, www.avdsys.ru

Page 10: Электронные компоненты №5/2010
Page 11: Электронные компоненты №5/2010

14

РА

ЗР

АБ

ОТ

КА

И К

ОН

СТ

РУ

ИР

ОВ

АН

ИЕ

WWW.ELCP.RU

С увеличением быстродействия и сложности электронных устройств

уровень помех, генерируемых системой, существенно возрастает, что

приводит к проблеме электромагнитной совместимости приборов. В

статье описаны преимущества технологии распределенного спектра

тактового сигнала по сравнению с такими традиционными методами

снижения электромагнитных помех как фильтрация и экранирование.

Рассмотрены требования международных стандартов, регламентирую-

щих допустимые уровни электромагнитных помех. Показано, что так-

товые генераторы с распределенным спектром позволяют существенно

снизить уровень электромагнитных помех и, в то же время, стоимость

системы, а также сократить время выхода продукта на рынок.

СНИЖЕНИЕ ЭЛЕКТРОМАГНИТНЫХ

ПОМЕХ В СИСТЕМЕ С ПОМОЩЬЮ

ТАКТОВЫХ ГЕНЕРАТОРОВ

С РАСПРЕДЕЛЕННЫМ СПЕКТРОМАЛЕКСАНДР ЩЕГЛОВ, техн. консультант, ИД «Электроника»

Контроль электромагнитной эмиссии

имеет ключевое значение при разработ-

ке системы. В современных электрон-

ных устройствах основным источни-

ком электромагнитных помех является

высокочастотный тактовый сигнал.

ЭЛЕКТРОМАГНИТНЫЕ ПОМЕХИ И РАЗРАБОТКА СИСТЕМЫДля того чтобы понять, почему элек-

тромагнитные помехи так важны для

FCC, выявим причины электромагнит-

ного излучения. При протекании тока

в схеме создается электромагнитное

поле, величина которого зависит от

частоты и амплитуды тока. Это поле

излучается за пределы электронного

оборудования. Любое излучение или

нежелательный побочный продукт

работы электрической схемы называ-

ется электромагнитными помехами.

Хорошо известно, что в процессе

разработки системы анализ целостно-

сти сигнала и моделирование электро-

магнитных помех является сложным,

требующим больших временных затрат

процессом, который может быть нена-

дежным из-за сложности прогнозиро-

вания поведения модели. Эта ситуа-

ция ухудшается в еще большей мере с

появлением каждого нового поколе-

ния устройств из-за постоянного роста

частоты тактового сигнала и уменьше-

ния питающего напряжения, что вызы-

вает снижение допустимого уровня

помех.

Источниками электромагнитного

излучения могут быть различные пере-

датчики, например, в сотовых телефо-

нах. Однако такие цифровые системы

как персональные компьютеры, КПК,

принтеры и сканеры также излучают

электромагнитные волны. В цифровых

системах тактовые сигналы являются

основным источником электромагнит-

ных помех. Кроме того, управляющие и

синхронизирующие сигналы, адресные

шины и шины данных, соединительные

кабели и разъемы также вносят свой

вклад в общий уровень электромагнит-

ных помех.

Двумя основными режимами элек-

тромагнитного излучения являются

дифференциальный режим — резуль-

тат взаимодействия локальных токо-

вых петель между проводниками

печатной платы и слоем земли, и син-

фазный режим — результат наведения

помех на проводники от шин земли

и питания за счет емкостной связи.

Электромагнитное излучение увели-

чивается при уменьшении длительно-

сти фронта сигнала и увеличении его

уровня. Генерируемые помехи ухудша-

ют характеристики оборудования либо

влияют на параметры находящегося

поблизости устройства.

МЕТОДЫ СНИЖЕНИЯ ЭЛЕКТРОМАГНИТНЫХ ПОМЕХНаиболее распространенными ме-

тодами снижения излучения электро-

магнитных помех являются экрани-

рование и фильтрация. Экранирова-

ние — сравнительно простой способ

умень шения помех. Оно эффективно

в системах с сильным электромагнит-

ным излучением, в которых объем, вес

и стоимость не очень важны. В боль-

шинстве систем, однако, особенно в

портативных устройствах, экранирова-

ние становится наименее желательным

методом борьбы с электромагнитными

помехами.

Разработчики широко используют

фильтры низких частот (ФНЧ) для сни-

жения электромагнитного излучения,

которое генерирует тактовый сигнал.

ФНЧ позволяют отфильтровать гармо-

ники высокого порядка. Однако этот

способ может быть неприменим для

высокоскоростных систем, т.к. приво-

дит к уменьшению критичных времен-

ных допусков сигналов и увеличивает

вероятность появления «звона» сиг-

нала. Основная проблема фильтрации

состоит в том, что этот метод не являет-

ся систематическим, т.е. снижение элек-

тромагнитного излучения в каком-либо

узле системы не снижает помехи от

других узлов. Из-за того, что разработ-

чики на начальном этапе проектиро-

вания не обладают всей информацией

о системе, они должны устанавливать

фильтры во всех вызывающих подозре-

ние точках, что требует затрат времени

и использования дополнительной пло-

щади на плате.

С увеличением рабочей частоты

эффект линий передачи и импеданса

земли усиливает излучение и уменьша-

ет длину волны, делая экранирование и

фильтрацию менее эффективным.

СТАНДАРТЫ FCCФедеральная комиссия по связи кон-

тролирует все коммерческие электрон-

ные устройства, продаваемые на рынке

США, если они:

– используют тактовые генерато-

ры;

– работают на частоте свыше 9 кГц;

– используют цифровую техноло-

гию обработки сигнала.

К этим устройствам относятся

почти все изделия, которые содержат

Page 12: Электронные компоненты №5/2010

РА

ЗР

АБ

ОТ

КА

И К

ОН

СТ

РУ

ИР

ОВ

АН

ИЕ

15

Электронные компоненты №5 2010

Таблица 1. Международные стандарты по электромагнитной совместимости

Приложения Международные стандарты Япония США Европа

Общие

CISPR61000-6-3 (для жилых и торговых зон и зон небольших производственных предприятий) IEC61000-6-4 (для произ-водственных зон)

EN50081-1 (для жилых и торговых зон и зон небольших производственных предприятий) EN50081-2 (для произ-водственных зон)

Компьютеры и периферия, прин-теры, дисплеи и т.д.

CISPR22

Добровольный контрольный совет по поме-хам (VCCI), Закон по безопасности бытовых электроприборов и материалов (Electrical Appliances and Materials Safety Law)

FCC раздел 15подраздел B

EN55022

Химическое, медицинское, радио-частотное оборудование

CISPR11Закон по безопасности бытовых электропри-боров и материалов (Electrical Appliances and Materials Safety Law)

FCC раздел 18 EN55011

Системы зажигания для автомо-билей, мотолодок и т.д.

CISPR12Японская организация автомобильных стандартов (Japanese Automotive Standards Organization — JASO)

FCC раздел 15подраздел B

Директива о транспортных средствах с выработанным ресурсом (Directive of End of Life Vehicle, ELV)

Телевизоры, радио-/аудиотехника и т.д.

CISPR13

Закон по безопасности бытовых электропри-боров и материалов (Electrical Appliances and Materials Safety Law)

FCC раздел 15подраздел B

EN55013

Бытовая техника, портативные электроинструменты

CISPR14 EN55014

Люминесцентные лампы, системы управления освещением

CISPR15 FCC раздел 18 EN55015

микропроцессор или микроконтрол-

лер. Если источник электромагнитных

помех не контролируется каким-либо

путем (т.е. с использованием фильтров,

экранов или модуляции распределен-

ного спектра), то может излучаться

энергия достаточно высокого уровня,

вызывая нежелательные эффекты в

других устройствах. Существуют стан-

дарты, которые помогают оградить

покупателей и заказчиков от про-

блем с их цифровыми устройствами.

Эти стандарты оценивают уровень

электромагнитного излучения, кото-

рый в настоящее время увеличива-

ется повсеместно. Международный

специальный комитет по радиопоме-

хам (International Special Committee for

Radio Interference, CISPR) устанавлива-

ет стандарты по излучаемым и пере-

даваемым электромагнитным поме-

хам в каждой стране, как показано на

рисунке 1. CISPR — специальный коми-

тет Международной электротехниче-

ской Комиссии (International Electro-

Technical Commission, IEC).

Как указано в таблице 1, Европа,

Япония, Азия и США имеют разные нор-

мативы на уровни допустимых элек-

тромагнитных помех. Для того чтобы

продавать электронное устройство по

всему миру, оно должно удовлетворять

нормативным требованиям каждой

страны.

FCC и другие регулирующие орга-

ны рассматривают пиковые (не сред-

ние) величины электромагнитного

излучения. FCC нормирует количество

излучаемой энергии по напряжению,

расстоянию и частоте. Согласно требо-

ваниям FCC, излучение должно быть

двух уровней: класса A и класса B (см.

табл. 2). Устройства класса A — это

цифровые приборы, предназначенные

для использования в коммерческих,

промышленных и офисных зонах, а не

для широкого применения в домашних

условиях. К устройствам класса B отно-

сятся цифровые приборы для дома,

но, кроме того, их можно использо-

вать везде. В общем случае, требования

класса B более жесткие, чем требова-

ния класса A.

В таблице 2 приведены уровни

напряжения, допустимые правила-

ми и нормами FCC (раздел 15) как для

класса A (на расстоянии 10 м), так и

для класса B (на расстоянии 3 м). Если

излучение испытываемого оборудова-

ния достигает этих величин, избыточ-

ная энергия должна быть снижена в

пределах значений, указанных в табли-

це 2. Снижение избыточной величины

электромагнитных помех лишь до вели-

чин, указанных в этой таблице, опасно,

т.к. отклонения условий эксплуатации

и производственного процесса могут

вызвать незначительное увеличение

энергии излучения. Необходим доста-

точный запас предельных величин

электромагнитного излучения, чтобы

гарантировать их допустимые значения

при любых условиях. (По этой причине

многие компании задают допуски сверх

установленных FCC значений).

Причины сбоя работы электронных

устройств при тестировании на нормы

FCC

Можно выделить 10 наиболее рас-

пространенных причин, по которым

продукты не проходят испытания на

требования FCC в первого раза.

1. Игнорирование или преуменьше-

ние значения требований FCC в началь-

ной стадии проектирования устрой-

ства.

2. Выбор слишком быстродейству-

ющих компонентов и максимальной

рабочей частоты в схеме устройства.

3. Использование одно- или двух-

слойной печатной платы вместо много-

слойной платы.

4. Разводка тактового сигнала без

учета электромагнитного излучения.

5. Отсутствие достаточного количе-

ства развязывающих конденсаторов.

6. Использование неэкранирован-

ных кабелей.

7. Использование разъемов с пла-

стиковым корпусом.

8. Отсутствие ферритов в конструк-

ции кабелей.

9. Отсутствие фильтров на шинах

питания.

10. Недостаточно качественное экра-

нирование шасси.

Если при тестировании электрон-

ный продукт не прошел требования

FCC (методика тестирования описана

в [1]), то инженеры должны предпри-

нять шаги для устранения избыточных

электромагнитных помех, создавае-

мых устройством. Эти шаги включа-

ют тщательное изучение созданной

системы, внесение необходимых

изменений и проведение повторного

тестирования. На рисунке 2 показа-

ны последовательные этапы проек-

тирования устройства, включая испы-

тания на электромагнитные помехи.

Эти этапы включают изменение топо-

логии печатной платы, реализацию

необходимых фильтров и проведе-

ние повторных испытаний на соот-

ветствие требованиям FCC. Очевидно,

все эти шаги требуют существенных

дополнительных затрат времени и

денежных средств.

Рис. 1. Стандарты по электромагнитным помехам

Page 13: Электронные компоненты №5/2010

16

РА

ЗР

АБ

ОТ

КА

И К

ОН

СТ

РУ

ИР

ОВ

АН

ИЕ

WWW.ELCP.RU

МЕТОД РАСПРЕДЕЛЕННОГО СПЕКТРА ДЛЯ ПОДАВЛЕНИЯ ЭЛЕКТРОМАГНИТНЫХ ПОМЕХЕще одним методом уменьшения

электромагнитного излучения сиг-

налов является модуляция тактового

сигнала, известная как распределен-

ный спектр. Использование тактовых

сигналов с распределенным спектром

в настоящее время распространено в

портативных устройствах (например,

в навигационных приборах и игровых

устройствах), т.к. в компактных устрой-

ствах частота тактовых сигналов посто-

янно растет и увеличивается степень

интеграции ЖК-дисплеев высокого

разрешения. Поскольку эти устрой-

ства должны быть легкими и недоро-

гими, пассивные методы подавления

электромагнитных помех, например,

использование конденсаторов и экра-

нирование, неприемлемы.

При использовании технологии рас-

пределенного спектра энергия сигнала

распределяется с помощью модуля-

ции в полосе частот, а не концентри-

руется в одной частоте. В отличие от

фильтрации и экранирования, которые

подавляют электромагнитные помехи,

генераторы с распределенным спек-

тром подавляют помехи в самом источ-

нике тактового сигнала. Используя этот

метод, системные электромагнитные

помехи могут быть снижены вплоть

до 20 дБ. Наибольшее снижение помех

достигается на высших гармониче-

ских частотах. Тактовые генераторы с

распределенным спектром особенно

эффективны для подавления синфаз-

ных помех, контролировать которые

труднее всего.

При использовании метода распре-

деленного спектра частота системного

тактового сигнала модулируется намного

меньшей частотой, обычно лежащей в

пределах 30…120 кГц. Систематиче ская

природа тактовых генераторов с рас-

пределенным спектром имеет главное

преимущество над другими методами

подавления электромагнитных помех,

т.к. все сигналы, генерируемые из так-

тового сигнала с распределенным спек-

тром, модулируются в одинаковой про-

порции, что приводит к значительному

подавлению электромагнитных помех

всей системы.

Такой подход создает частотный

спектр с гармониками боковой полосы

частот. Принудительное расширение

узкополосного сигнала одновременно

снижает пиковую спектральную энер-

гию как основной, так и гармонической

частоты. Частота модуляции выбира-

ется достаточно большой, чтобы быть

выше полосы звуковых частот, и доста-

точно малой, чтобы избежать осложне-

ний с синхронизацией в системе.

Модуляция тактового сигнала с рас-

пределенным спектром распростра-

няет электромагнитное излучение по

более широкой полосе частот. Эту поло-

су можно расширить с последующим

подавлением ненужных частот с помо-

щью частотной модуляции тактового

сигнала микроконтроллера или про-

цессора. Важно определить оптималь-

ный профиль распределения частоты

для конкретной системы.

На рисунке 3 показано, что в опти-

мальном частотном профиле скорость

изменения частоты выше на минималь-

ной и максимальной пиковой частоте и

ниже в центральной части частотного

спектра.

Как указано в таблице 2, требова-

ния FCC по классу B устанавливают, что

электромагнитное излучение от такто-

вого сигнала частотой 60 МГц долж-

но быть в пределах 40 дБ (мкВ/м) (см.

рис. 3). Частота модуляции тактового

сигнала равна 120 кГц. Такая низкая

частота модуляции важна по несколь-

ким причинам. Если частота модуля-

ции менее 120 кГц, в системе возможна

генерация шума звуковой частоты. Если

частота модуляции слишком высока,

эффект модуляции может быть аннули-

рован полосой пропускания фильтров,

используемых в ФАПЧ.

Кроме частоты модуляции, важно

учитывать и другие факторы:

– требования по полосе пропуска-

ния;

– тип модуляции: модуляция с цен-

тральным распределением или модуля-

ция со сдвигом несущей частоты вниз;

– степень подавления гармоник.

При проектировании системы

необходимо решить, какой тип моду-

ляции использовать. Как видно из

рисунка 4, модуляция с центральным

распределением сдвигает несущую

частоту выше и ниже основной часто-

ты. Модуляция с распределением

вниз сдвигает несущую частоту вниз

наполовину размаха модуляции, так

Рис. 3. Скорость изменения частоты при распределении спектра

Рис. 2. Этапы проектирования электронного изделия с учетом повторного проведения испытаний на соответствие требованиям по уровню электромагнитных помех

Рис. 4. Модуляция с центральным распределением и модуляция со сдвигом несущей частоты вниз

Таблица 2. Предельные значения электромаг-нитного излучения устройств класса A и класса B согласно требованиям FCC

Частота, МГц

Класс A (10 м) Класс B (3 м)

мкВ/мдБ

(мкВ/м)мкВ/м

дБ (мкВ/м)

30…88 90 39 100 40,0

88…216 150 43,5 150 43,5

216…960 210 46,5 200 46,0

Свыше 960 300 49,5 500 54,0

Page 14: Электронные компоненты №5/2010
Page 15: Электронные компоненты №5/2010

18

РА

ЗР

АБ

ОТ

КА

И К

ОН

СТ

РУ

ИР

ОВ

АН

ИЕ

WWW.ELCP.RU

что модулированный сигнал имеет

другую несущую частоту.

В случае модуляции с центральным

распределением средняя системная

частота всегда одинакова, так что про-

изводительность системы не меняется

от платы к плате. Основной недостаток

этого метода в том, что могут нарушать-

ся временные соотношения в сигналах в

режиме малого потребления мощности.

На практике модуляция с центральным

распределением частоты не ухудшает

характеристик системы при существен-

ном подавлении электромагнитных

помех. Преимуществом модуляции со

сдвигом несущей частоты вниз являет-

ся гарантия того, что система никогда

не достигнет максимальной тактовой

частоты процессора. Однако меньшая

средняя тактовая частота слегка ухуд-

шает производительность системы.

Следует отметить, что в общем слу-

чае метод распределения спектра так-

тового сигнала имеет минимальное

влияние на характеристики системы.

Медленная и контролируемая моду-

ляция не вносит существенного роста

джиттера. Например, тактовый генера-

тор с распределенным спектром ком-

пании Fujitsu [1] имеет джиттер, не пре-

вышающий 100 пс, что соответствует

величине джиттера тактового сигнала

без распределения спектра. Диапазон

распределения частоты может быть

выбран исходя из минимальной вели-

чины периода системного тактового

сигнала.

ПРЕИМУЩЕСТВА ТАКТОВЫХ ГЕНЕРАТОРОВ С РАСПРЕДЕЛЕННЫМ СПЕКТРОМСистематическая природа тактовых

генераторов с распределенным спек-

тром (Spread Spectrum Clock Generator,

SSCG) является их основным преимуще-

ством перед другими методами сниже-

ния электромагнитных помех. Тактовые

генераторы с распределенным спек-

тром позволяют:

– значительно снизить системные

электромагнитные помехи;

– упростить сертификацию продук-

тов в соответствии с требованиями FCC,

что ускоряет время выхода продукта на

рынок;

– значительно упростить и снизить

количество этапов разработки системы

(см. рис. 5);

– обеспечить гибкость программи-

рования оптимального профиля моду-

ляции;

– снизить затраты на тестирование

оборудования на электромагнитные

помехи.

Современные тактовые генераторы

с распределением спектра позволяет

сократить количество компонентов в

системе и обеспечить сертификацию

на требования FCC с первого предъ-

явления без ущерба для характеристик

системы. Используя технологию цифро-

вой модуляции частоты, SSCG обеспе-

чивают подавление электромагнитных

помех как основной частоты, так и гар-

моник на уровне более 20 дБ.

Современные SSCG обеспечивают

джиттер тактового сигнала на уровне не

более 100 пс и возможность контроля

скорости нарастания выходного сигна-

ла. Эти устройства имеют возможность

программирования частоты модуляции

и профиля спектра для оптимизации

подавления электромагнитных помех.

Генераторы компании Fujitsu содер-

жат до 8 выходных тактовых сигналов

и встроенную память FRAM для гибко-

го конфигурирования системы. В этих

приборах предусмотрена возможность

выбора частоты на одном и том же

выходе. Возможна установка широкого

диапазона выходных напряжений: 3,3;

3,0; 2,5 и 1,8 В. Приборы выпускаются в

различных стандартных корпусах, в т.ч.

в компактных.

Примером применения SSCG может

служить использование этих устройств

в мобильных телефонах. Как показано

на рисунке 6, в данном приложении для

синхронизации центрального процессо-

ра, GPS-модуля и радиочастотного блока

используется несколько тактовых сигна-

лов. Основным источником электромаг-

нитных помех в сотовых телефонах явля-

ются линии связи между контроллером,

DSP и памятью, а также гибкий кабель,

соединяющий дисплей с контроллером.

Применение SSCG в мобильных

системах радикально снижает электро-

магнитные помехи и позволяет обеспе-

чить соответствие требованиям FCC.

ЗАКЛЮЧЕНИЕВ целом, технология распределен-

ного спектра тактового сигнала имеет

огромные преимущества перед другими

методами снижения электромагнитных

помех. Этот метод радикально снижает

помехи в системе без какого-либо ухуд-

шения характеристик. Использование

SSCG уменьшает затраты на корпус, филь-

трацию и экранировку прибора, а также

общую стоимость разработки. Наиболее

важно то, что использование SSCG сокра-

щает время выхода продукта на рынок,

т.к. способствует прохождению сертифи-

кационных испытаний с первого раза.

Современные SSCG имеют несколько

выходов тактового сигнала, обеспечива-

ют возможность программирования и

низкий уровень джиттера, что позволяет

использовать эти устройства как весьма

экономичное решение задачи снижения

электромагнитных помех в системе.

ЛИТЕРАТУРА

1. How Spread Spectrum Clock Generators

Accelerate FCC Certifi cation of System Designs//

Fujitsu Microelectronics’ White Paper.

2. Cavit Ozdalga. Spread-spectrum-clock

generators reduce EMI and signal-integrity

problems//EDN, July 24, issue 15/2008.

3. Steve Bolger, Samer Omar Darwish. Use

spread-spectrum techniques to reduce EMI//

EDN Design Feature.

Рис. 5. Упрощенный цикл проектирования электронного продукта при использовании SSCG

Рис. 6. Пример использования тактового генератора с распределенным спектром в мобильном телефоне

Page 16: Электронные компоненты №5/2010

19

Электронные компоненты №5 2010

ТИПЫ АДГЕЗИВОВ ДЛЯ СБОРКИ И ГЕРМЕТИЗАЦИИРассмотрим основные типы клеев и стеклянных при-

поев, применяемых в процессах сборки и герметизации

интегральных микросхем и оптоволоконных приборов. Их

различают по типу связующего вещества и по типу мате-

риала наполнителя.

Связующий материал

Органические полимеры, используемые в качестве

адгезива, могут быть разделены на две основные катего-

рии: реактопласты и термопласты. Все они являются орга-

ническими материалами, но существенно отличаются по

химическим и физическим свойствам.

В реактопластах при нагреве полимерные цепи необ-

ратимо сшиваются в жесткую трехмерную сетчатую струк-

туру. Возникающие при этом связи позволяют получить

высокую адгезионную способность материала, но при этом

ремонтопригодность ограничена.

В термопластичных полимерах не происходит отвер-

ждения. Они сохраняют способность к размягчению и

расплавлению при нагреве, создавая прочные эластичные

связи. Это свойство позволяет использовать термопла-

сты в приложениях, где требуется ремонтопригодность.

Адгезионная способность термопластичных пластмасс

ниже, чем у реактопластов, но в большинстве случаев

вполне достаточна.

Третий тип связующего вещества — смесь термопластов

и реактопластов, объединяющая в себе преимущества двух

типов материалов. Их полимерная композиция представ-

ляет собой взаимопроникающую сеть термопластичных и

реактопластичных структур, что позволяет использовать их

для создания высокопрочных ремонтопригодных соедине-

ний при относительно низких температурах (150…200°С).

Помимо полимерных материалов, для создания тепло-

проводящих соединений активно применяются неоргани-

ческие материалы — т.н. стеклянные припои. Стеклянные

припои — это материалы, состоящие из оксидов металлов.

Они обладают хорошей адгезией к широкому спектру кера-

мики, оксидов, полупроводниковых материалов, металлов

и характеризуются высокой коррозионной стойкостью. Эти

припои активно применяются для создания вакуум-плотных

соединений (герметизация электронных, оптоволоконных

микросхем и т.д.), используются при более высоких темпе-

ратурах по сравнению с полимерными клеями.

Каждая система имеет свои достоинства и недостатки.

Одним из ограничений в использовании термопластичных

паст является медленное удаление растворителя в про-

Производство полупроводниковых приборов и интегральных микросхем включает в себя множество техноло-

гических операций, среди которых особое место занимают сборка и герметизация. От качества сборочных опе-

раций зависят стабильность электрических параметров и надежность конечного изделия. Кроме того, выбор

метода сборки влияет на суммарную стоимость продукта.

В статье рассматриваются современные технологические решения на основе клеев и стеклянных припоев для

следующих операций: присоединение кристалла к основанию корпуса; герметизация полупроводниковых ИС

путем присоединения крышки корпуса к основанию; фиксация и герметизация оптических волокон.

РОМАН КОНДРАТЮК, [email protected]

Применение полимерных клеев и стеклянных припоев в сборке и герметизации ИС и оптоволоконных приборов

цессе оплавления. Прежде для соединения компонентов

с использованием термопластичных материалов требо-

валось выполнить нанесение пасты (соблюдая плоскост-

ность), сушку для удаления растворителя и только затем

установить кристалл на подложку. Такой процесс исключал

образование пустот в клеящем материале, но увеличивал

стоимость и затруднял использование данной технологии

в массовом производстве.

Современные термопластичные пасты обладают способ-

ностью очень быстрого испарения растворителя. Это свой-

ство позволяет наносить их методом дозирования, используя

стандартное оборудование, и устанавливать кристалл на еще

не высушенную пасту. Далее следует этап быстрого низко-

температурного нагрева, во время которого растворитель

удаляется, и после оплавления создаются адгезионные связи.

Долгое время существовали трудности, связанные с

созданием высокотеплопроводящих клеев на основе тер-

мопластов и реактопластов. Эти полимеры не позволяли

увеличить содержание теплопроводящего наполнителя в

пасте, поскольку для хорошей адгезии требовался высо-

кий уровень связующего вещества (60—75%). Для сравне-

ния: в неорганических материалах доля связующего веще-

ства могла быть уменьшена до 15—20%. Современные

полимерные клеи (Diemat DM4130,DM4232, DM4030,

DM5030, DM6030) лишены этого недостатка, и содержание

теплопроводящего наполнителя достигает 80—90%.

Наполнитель

Основную роль в создании теплопроводящего адгези-

ва играют тип, форма, размер и количество наполнителя.

В качестве наполнителя используется серебро (Ag) как

химически стойкий материал с наиболее высоким коэффи-

циентом теплопроводности. Современные пасты содержат

в себе серебро в виде порошка (микросфер) и хлопьев

(чешуек). Точный состав, количество и размер частиц экс-

периментально подбираются каждым производителем и

в значительной степени определяют теплопроводящие и

клеящие свойства материалов.

В задачах, где требуется диэлектрик с теплопроводя-

щими свойствами, в качестве наполнителя используется

керамический порошок.

ПРИСОЕДИНЕНИЕ КРИСТАЛЛА К ОСНОВАНИЮ КОРПУСАОсновными требованиями при присоединении кристал-

ла к основанию корпуса полупроводниковой микросхемы

являются высокая надежность соединения и высокий уро-

вень передачи тепла от кристалла к подложке.

Page 17: Электронные компоненты №5/2010

20

WWW.ELCP.RU

Рис. 2. Зависимость теплового потока от толщины клеевого шва для разных значений теплопроводности

Операцию присоединения проводят с помощью пайки,

приплавления с использованием эвтектических сплавов

или приклеивания. Традиционные решения на основе

сплавов и эвтектики позволяют создавать прочные соеди-

нения с высокими теплопроводящими свойствами, но, как

правило, требуют предварительной металлизации поверх-

ностей и особых условий технологического процесса

(высокая температура, специальная атмосфера, отмывка

после оплавления). В связи с этим в некоторых случаях

возникает необходимость перехода на использование

электро-, теплопроводящих клеев и стеклянных припоев.

Среди преимуществ использования теплопроводящих

полимерных клеев и стеклянных припоев стоит отметить

следующие.

– Повышенная надежность соединения. Увеличение

площади соединяемых поверхностей с различными коэф-

фициентами теплового расширения (КТР) приводит к

возникновению существенных термомеханических напря-

жений, что в значительной степени определяет надеж-

ность сборки. Использование высокотеплопроводящих

полимерных материалов с малыми значениями модуля

упругости позволяют скомпенсировать разницу в КТР и

минимизировать термомеханические напряжения.

– Низкие температуры процесса (150…250°С). Приме-

не ние адгезивов позволяет пересмотреть порядок сборки

изделий микроэлектроники. Появляется возможность

монтировать полупроводниковый кристалл после сборки

печатной платы или использовать более дешевые пласти-

ковые корпуса в массовом производстве.

– Снижение стоимости продукции. Применение адгези-

вов позволяет отказаться от металлизации соединяемых

поверхностей, а также от создания специальной атмосфе-

ры процесса и очистки после оплавления, что положитель-

но сказывается на стоимости продукта.

Рассмотрим подробнее влияние характеристик и базовых

особенностей электро-, теплопроводящих клеев и стеклян-

ных припоев на теплопередачу и надежность соединения.

Теплопередача

Передача тепла от кристалла к корпусу может быть рас-

считана по закону теплопроводности Фурье:

, (1)

где Q — тепловой поток через клеевую линию между

кристаллом и подложкой, Вт; k — коэффициент теплопро-

водности, Вт/(м∙К); A — площадь соединения, м2; dx — тол-

щина клеевого шва, м; dT — перепад температур между

верхней и нижней границами клеевого шва, К (см. рис. 1).

Двумя величинами в уравнении 1, непосредственно

относящимися к клеящему материалу, являются теплопро-

водность k и толщина клеевого шва dx. Таким образом,

тепловой поток через клеевой шов находится в прямой

зависимости от коэффициента теплопроводности адге-

зива. Это важнейшая характеристика материала, и она

оценивается в первую очередь.

При выборе адгезионного материала также должна учи-

тываться и толщина клеевого шва. На рисунке 2 показаны

результаты расчета теплового потока для адгезионных

материалов с разными значениями коэффициентов тепло-

проводности и толщины клеевого шва. Для примера выбра-

ны три материла: стандартный термопласт/реактопласт на

основе эпоксидных смол (k = 3 Вт/(м∙К)); серебросодержа-

щие пасты Diemat на основе термопластов (k = 20 Вт/(м∙К))

и серебросодержащий стеклянный припой Diemat DM3030

(k = 65 Вт/(м∙К)). График рассчитан для кристалла со стороной

10 мм (А = 100 мм2) при температуре кристалла 75°С, при-

соединенного к подложке с температурой 25°С (dT = 50°С).

Как видно из рисунка 2, материалы с низким коэффи-

циентом k и тонким клеевым швом могут обеспечивать

тепловой перенос на таком же уровне, как и материалы с

высокой теплопроводностью и толстым клеевым швом.

Например, серебросодержащий клей Diemat DM 4130Hk

(k = 20 Вт/(м.К)) с толщиной клеевого шва 20 мкм обеспе-

чивает такой же теплоперенос, как серебросодержащий

стеклянный припой Diemat DM3030 (k = 65 Вт/(м.К)) и тол-

щиной соединительного слоя 70 мкм.

При выборе адгезива для соединения кристалла сле-

дует обратить внимание на то, что термопласты, как

правило, имеют малый модуль упругости (<1500 МПа).

Это позволяет соединять компоненты с различными КТР,

используя очень тонкий клеевой шов. Напряжение сдвига в

таком случае мало. Реактопласты и стеклянные припои

имеют гораздо более высокий модуль упругости (3500 МПа),

поэтому минимальная толщина шва и размеры соединяе-

мых компонентов для них могут быть ограничены из-за

возможности возникновения больших термомеханических

напряжений. Тепловой перенос может быть одинаков для

систем на основе термопластов и стеклянных припоев,

несмотря на большую теплопроводность последних.

Надежность

Как упоминалось ранее, полимерные клеи эластичнее,

чем припои. Это позволяет создавать надежные соедине-

ния между материалами с различными КТР (например, при

установке кремниевого кристалла на печатную плату или

непосредственно на радиатор охлаждения). Помимо этого,

на надежность готового устройства положительно влияют

низкие температуры монтажа и отсутствие флюсов.

ГЕРМЕТИЗАЦИЯ ПОЛУПРОВОДНИКОВЫХ МИКРОСХЕМГерметизация полупроводникового кристалла — соз-

дание герметичной, механически прочной оболочки для

защиты кристалла от воздействия окружающей среды.

Герметизация может быть осуществлена с помощью кор-

пуса или в бескорпусном исполнении. Вакуум-плотную

герметизацию обеспечивают металлические, стеклянные

и керамические корпуса, детали которых (основание

и крышку) соединяют с помощью сварки или пайки.

Металлические припои в большинстве случаев не смачи-

вают стекло и керамику, поэтому поверхности соединяе-

мых деталей металлизируют.

Для герметизации полупроводниковых микросхем

современная промышленность предлагает материалы

на основе полимерных клеев и стеклянных припоев. Для

сборки и герметизации нет необходимости металлизиро-

Рис. 1. Передача тепла от кристалла к подложке

Page 18: Электронные компоненты №5/2010

21

Электронные компоненты №5 2010

вать поверхности и создавать специальные условия как

для пайки обычными припоями. Это существенно сокра-

щает стоимость технологического процесса.

Данные припои оплавляются при низких температурах

(300…400°С) и могут поставляться в виде паст или готовых

прокладок (преформ). Паста наносится методом трафа-

ретной печати или дозированием, что позволяет автома-

тизировать процесс герметизации. Преформа заданной

конфигурации и размеров помещается между основанием

микросхемы и крышкой. После нанесения пасты или после

сборки с использованием преформ стеклянный припой

оплавляется. При этом создается прочное, надежное и гер-

метичное соединение.

ГЕРМЕТИЗАЦИЯ ОПТОВОЛОКОННЫХ ПРИБОРОВГерметизация оптоволоконных изделий традиционно

осуществляется с использованием золото-оловянных при-

поев. Для фиксации оптоволокна оно металлизируется и

запаивается в металлическую трубку, которая затем уста-

навливается на металлическую платформу. При исполь-

зовании данного метода критичными являются адгезия

металлизированных слоев к оптоволокну и механические

напряжения, возникающие в процессе герметизации. От

этого зависят качество и надежность соединения.

Преформы для оптоволоконной техники представляют

собой стеклянный порошок, запрессованный в форме шайб

с добавлением органических растворителей (см. рис. 3).

Преформа устанавливается на соединяемые или закре-

пляемые детали и оплавляется любым из доступных мето-

дов нагрева (индукционный, резистивный, инфракрасный,

лазерный и т.д.). Стеклянный припой оказывает малое

давление на оптическое волокно и позволяет создавать

высоконадежные оптоэлектронные сборки.

ТЕХНОЛОГИЧЕСКИЕ ОСОБЕННОСТИ ИСПОЛЬЗОВАНИЯ ПОЛИМЕРНЫХ КЛЕЕВ И СТЕКЛЯННЫХ ПРИПОЕВПринимая решение о применении и выборе полимер-

ных клеев и стеклянных припоев, необходимо учитывать

следующие особенности технологии и характеристики

соединяемых компонентов.

– Длина кристалла определяет величину нагрузки на

клеевой шов после охлаждения системы. Во время пайки

кристалл и подложка расширяются в соответствии со

своими КТР. Для кристаллов большого размера необхо-

димо использовать мягкие (с низким модулем упругости)

адгезивы или согласованные по КТР материалы кристалла/

подложки. Если различие КТР слишком велико для данного

размера кристалла, соединение может быть нарушено, что

приводит к отслаиванию кристалла от подложки. Для каж-

дого типа пасты производитель, как правило, дает рекомен-

дации по максимальным размерам кристалла для опреде-

ленных значений разницы КТР кристалла/подложки.

– Ширина кристалла определяет расстояние, которое

проходит растворитель, содержащийся в адгезиве, до того

как покинет клеевой шов. Поэтому размер кристалла должен

учитываться и для правильного удаления растворителя.

– Металлизация кристалла и подложки, как уже гово-

рилось ранее, не обязательна. Обычно полимерные клеи

и стеклянные припои имеют хорошую адгезию ко многим

неметаллизированым поверхностям. Поверхности должны

быть очищены от органических загрязнений.

– Толщина клеевого шва. Для всех адгезивов, содержа-

щих тепло-, электропроводящий наполнитель, существует

ограничение по минимальной толщине клеевого шва dx (см.

рис. 1). Слишком тонкий шов не имеет достаточного количе-

ства связующего вещества, чтобы покрыть весь наполнитель

и сформировать связи с соединяемыми поверхностями.

Кроме того, для материалов с высоким модулем упругости

(например, стеклянных припоев) толщина шва может огра-

ничиваться различными КТР для соединяемых материалов.

Обычно для клеев на основе термопластов/реактопластов

рекомендуемая минимальная толщина шва составляет

20…50 мкм, для стеклянных припоев — 50…80 мкм.

– Время жизни адгезива до установки компонента. Для

клеев на основе термопластов/реактопластов необходимо

учитывать время жизни до установки компонента. После

нанесения адгезива растворитель из пасты начинает посте-

пенно испаряться. Если клей высыхает, то не происходит

смачивания и приклеивания соединяемых материалов.

Для кристаллов малого размера, где отношение площади

поверхности к объему нанесенного клея велико, раство-

ритель испаряется быстро, и время после нанесения до

установки кристалла необходимо минимизировать. Как пра-

вило, время жизни до установки кристалла для различных

клеев варьируется от десятков минут до нескольких часов.

– Время жизни до термического отверждения клея отсчи-

тывается от момента установки кристалла до помещения

всей системы в печь. При длительной задержке может

происходить расслоение и растекание клея, что негатив-

ным образом сказывается на адгезии и теплопроводности

материала. Чем меньше размер компонента и количество

нанесенного клея, тем быстрее он может высохнуть. Время

жизни до термического отверждения клея может варьиро-

ваться от десятков минут до нескольких часов.

ЗАКЛЮЧЕНИЕПодводя итоги, еще раз подчеркнем, что использование

клеев и стеклянных припоев позволяет:

– получать механически прочные соединения при

более низких температурах (от 150°С);

– соединять различные типы материалов (металлы,

керамику, оксиды, полупроводники);

– упрощать и делать более гибкими технологические

процессы, упрощать конструкцию;

– получать композиции с необходимыми электроизоля-

ционными, оптическими, токопроводящими и теплопрово-

дящими свойствами.

Также стоит отметить, что использование клеев дает

возможность автоматизировать процесс сборки и осу-

ществлять ремонт при низких температурах. Последнее

особенно важно в случаях, когда кристалл тестируется в

составе устройства или монтируется непосредственно на

печатную плату (технология chip-on-board) или на гибкий

носитель (chip-on-fi lm).

Все это создает дополнительные возможности для

настройки технологического процесса с целью увеличения

надежности, снижения стоимости конечного изделия и

повышения эффективности вашего производства.

Более подробную информацию вы можете получить,

обратившись в отдел технологических материалов

Предприятия Остек по тел. (495) 788-44-44 или по

эл. почте [email protected].

Рис. 3. Преформы Diemat

Page 19: Электронные компоненты №5/2010

22

ВС

ТР

АИ

ВА

ЕМ

ЫЕ

СИ

СТ

ЕМ

Ы

WWW.ELCP.RU

Статья посвящена вопросу виртуализации электронных устройств.

Дано описание основных подходов к созданию виртуальных платформ.

Приведены рекомендации по выбору метода виртуализации встраивае-

мых систем и советы по организации виртуального проектирования.

ВИРТУАЛИЗОВАННОЕ

ПРОЕКТИРОВАНИЕ ВСТРАИВАЕМЫХ

ЭЛЕКТРОННЫХ СИСТЕМАЛЕКСАНДР КОЛАЕВ, техн. консультант, «ИД Электроника»

ВВЕДЕНИЕ Виртуализация — многоплановое

понятие. В широком смысле виртуали-

зация — это абстракция некоторого

процесса или объекта, скрывающая его

настоящую реализацию.

Продуктом виртуализации является

нечто удобное для использования, но

на самом деле имеющее более слож-

ную или совсем иную структуру, отлич-

ную от той, которая воспринимается

объектом. Виртуализация делится на

программную и аппаратную.

Программная виртуализация осно-

вана на создании виртуальной плат-

формы (машины) на базе имеющихся

аппаратных и программных средств.

Аппаратная виртуализация предпола-

гает комбинирование или упрощение

представления аппаратных ресурсов

для пользователя и получение пользо-

вательских абстракций оборудования,

пространств имен, сетей и т.д.

Технологии виртуализации позво-

ляют запускать на одном физическом

компьютере (хосте) несколько вирту-

альных экземпляров операционных

систем (гостевых ОС) в целях обе-

спечения их независимости от аппа-

ратной платформы и сосредоточения

нескольких виртуальных машин на

одной физической. Виртуализация

предоставляет множество преиму-

ществ как для инфраструктуры пред-

приятий, так и для конечных поль-

зователей. За счет виртуализации

происходит значительная эконо-

мия на аппаратном обеспечении и

облуживании, повышается гибкость

IT-инфраструктуры, упрощается про-

цедура резервного копирования и

восстановления после сбоев. Не зави-

симые от конкретного оборудования

виртуальные машины могут распро-

страняться в качестве предустанов-

ленных шаблонов и работать на любой

аппаратной платформе поддерживае-

мой архитектуры.

Виртуальная машина (ВМ) — про-

граммная система, созданная на основе

существующих аппаратно-программных

комплексов. Опе ра цион ная система,

предоставляющая аппаратные ресурсы

и программное обеспечение, называет-

ся хостовой (host), а симулируемые ей

системы — гостевыми (guest). Для ста-

бильной работы гостевых систем необ-

ходимо, чтобы программное и аппарат-

ное обеспечение хоста было достаточно

надежным и предоставляло требуемый

набор интерфейсов для доступа к его

ресурсам. Имеется несколько видов

виртуализации платформ, в каждом из

которых осуществляется свой подход

к понятию «виртуализация». В основ-

ном они различаются степенью полно-

ты симуляции аппаратного обеспече-

ния (полная, частичная, виртуализация

адресного пространства, приложения,

ОС и т.д.).

Гипервизор, или монитор виртуаль-

ных машин (VMM — virtual machine

monitor), — программная среда или

аппаратная схема, координирующая

одновременную работу нескольких

ОС на одном физическом компьютере.

При этом каждая гостевая ОС работает

так, как если бы она была запущена

на отдельном компьютере. Гипервизор

обеспечивает изоляцию операцион-

ных систем друг от друга, защиту и

безопасность, разделение ресурсов

между запущенными ОС и управление

ими.

Гипервизор должен поддерживать

не только ОС общего назначения, но и

ОСРВ, а также уметь эмулировать рабо-

ту предыдущих версий аппаратной реа-

лизации, чтобы отлаживать переход ОС

на новую платформу.

Аппаратная виртуализация выпол-

няется на уровне архитектуры про-

цессора и заключается в том, что

когда гостевая операционная систе-

ма генерирует исполняемую процес-

сором привилегированную команду,

то процессор прерывает свою работу

и передает управление гипервизору,

который должен решить, выполнять

команду или эмулировать ее выполне-

ние другими средствами. Гипервизор

способен перехватить не все «про-

блемные» команды, а только привиле-

гированные.

ОТЛИЧИЕ АППАРАТНОЙ ВИРТУАЛИЗАЦИИ ОТ ПРОГРАММНОЙКлассическая архитектура про-

граммной виртуализации подразуме-

вает наличие хостовой операционной

системы, поверх которой запускается

платформа виртуализации, эмулирую-

щая работу аппаратных компонентов и

управляющая аппаратными ресурсами

в отношении гостевой ОС. Реализация

такой платформы достаточно сложна и

трудоемка и нередко приводит к потере

производительности. Безопасность вир-

туальных машин также находится под

угрозой, поскольку получение контроля

над хостовой операционной системой

автоматически означает его установле-

ние над всеми гостевыми системами.

В отличие от программной техни-

ки, с помощью аппаратной виртуали-

зации можно получить изолирован-

ные гостевые системы, управляемые

гипервизором напрямую. Такой под-

ход может обеспечить простоту реа-

лизации ВМ и увеличить ее надеж-

ность при одновременной работе с

несколькими гостевыми ОС. Кроме

того, благодаря отсутствию потерь

быстродействия на обслуживание

хостовой системы производитель-

ность гостевых ОС близка к произво-

дительности реальных.

Аппаратная виртуализация имеет

следующие преимущества над про-

граммной.

– Упрощение разработки платформ

виртуализации за счет предоставления

аппаратных интерфейсов управления

и поддержки виртуальных гостевых

систем.

– Возможность увеличения быстро-

действия платформ виртуализации за

счет использования гипервизора.

– Возможность независимой рабо-

ты нескольких ВМ и переключения

между ними на аппаратном уровне.

При этом повышается быстродействие

и защищенность виртуальных машин.

– Независимость гостевой ОС от

архитектуры хостовой платформы и

ВМ. Например, с помощью технологий

аппаратной виртуализации возмо-

Page 20: Электронные компоненты №5/2010
Page 21: Электронные компоненты №5/2010

24

ВС

ТР

АИ

ВА

ЕМ

ЫЕ

СИ

СТ

ЕМ

Ы

WWW.ELCP.RU

жен запуск 64-битных гостевых ОС на

32-битных хостовых системах.

ВИРТУАЛИЗАЦИЯ ВСТРАИВАЕМЫХ ПРИЛОЖЕНИЙВ рассмотренных нами подходах

преследовалась одна и та же цель: мак-

симально эффективно использовать

имеющиеся аппаратные ресурсы между

несколькими ОС. Для встраиваемых

систем, где основное значение имеют

предсказуемость работы (детерми-

низм) и возможность взаимодействия

с нестандартным или специальным

аппаратным обеспечением, требуется

другой подход.

Главное различие между гиперви-

зором для сервера и встраиваемой

системы лежит в способе распределе-

ния физических ресурсов между вир-

туальными машинами. Гипервизор для

ВС разделяет циклы ЦП, ячейки ОЗУ

и порты ввода-вывода между всеми

гостевыми ОС, а не мультиплексирует

эти ресурсы между ВМ, как это про-

исходит в случае виртуализации сер-

веров. Такой подход также называют

ассиметричной многопроцессорной

обработкой. Он применяется там, где

необходим детерминизм и высокое

быстродействие, а не одинаковый уро-

вень доступа для различных ОС или

наиболее полное использование ресур-

сов имеющихся аппаратных средств.

Средства виртуализации, включен-

ные в большинство современных мно-

гоядерных процессоров, могут быть

использованы для резервирования

ресурсов под нужды конкретной ВМ и ее

гостевой ОС. Заметим, что модель асси-

метричной обработки вовсе не предпо-

лагает назначение всех портов ввода-

вывода только одной ВМ. Некоторые из

них, например жесткий диск, консоль

или адаптер Ethernet, могут быть общи-

ми. В этом случае гипервизор создает

виртуальные устройства, чтобы упро-

стить разделение аппаратного обеспе-

чения между ВМ.

Детерминизм обеспечивается за

счет использования многоядерных про-

цессоров, что позволяет гипервизору

отвести каждой гостевой ОС свое ядро.

При этом отпадает необходимость в

разделении ресурсов или времени

ЦП, которые нежелательны в системах

реального времени. Если в процессоре

имеется достаточное количество ядер,

то этот подход является самым низко-

затратным и простым.

ПРОЕКТИРОВАНИЕ ВИРТУАЛИЗИРОВАННЫХ ВСТРАИВАЕМЫХ СИСТЕМПроектирование виртуализиро-

ванных встраиваемых систем (VSD —

virtualized systems development)

позволяет делать то, что раньше было

невозможным. Выгоды данного подхо-

да проявляются на всех стадиях про-

ектирования, от определения продукта

до его применения.

При виртуализованном проекти-

ровании разработчики аппаратного

и программного обеспечения могут

одновременно работать над одними

и теми же модулями и взаимодейство-

вать более плотно, что в конечном

счете позволяет отслеживать и устра-

нять недоработки на ранней стадии,

сокращая затраты и время выхода

устройства на рынок.

С ростом сложности встраиваемых

электронных систем потребность в раз-

личных инструментальных средствах

проектирования становится более оче-

видной. Даже небольшие современные

устройства могут содержать несколько

процессоров, DSP, СБИС, ПЛИС и другие

устройства. В дополнение к этому в

системе может использоваться сразу

несколько операционных систем и сте-

ков приложений.

К сожалению, средства разработки

не поспевают в развитии за встраивае-

мыми системами. В результате разработ-

чики сталкиваются с проблемой поиска

новых путей для определения систем-

ных архитектур, разработки и интегра-

ции миллионов строк кода, а также для

создания таких сложных систем. С одной

стороны, они должны сократить время

разработки, с другой — представить

качественный и простой в поддержке и

обслуживании продукт.

Высокое быстродействие — одно из

свойств, отличающих VSD-платформы

от САПР и подобных средств. Хотя они

и точные, но их быстродействия не хва-

тает для эмулирования работы ОС, при-

ложений или системных программ.

ПРЕИМУЩЕСТВА И НЕДОСТАТКИ Виртуальные платформы лучше

всего охарактеризовать как функцио-

нальные модели физического обору-

дования. Они, как маяки, служат ори-

ентиром и конечной целью разработки

программного обеспечения. Эти моде-

ли настолько точны, что ПО не сможет

отличить их от реального оборудова-

ния. Таким образом, для симуляции не

требуется писать дополнительный код

или вносить изменения в ПО, которые

потенциально могут повлиять на рабо-

ту встраиваемой системы. Кроме того¸

программную и схемотехническую реа-

лизацию модуля можно разрабатывать

одновременно.

В отличие от традиционного, виртуа-

лизованное проектирование обладает

следующими достоинствами.

1. Гибкость. Виртуальные платформы

развиваются и дополняются постепенно,

по мере проектирования, помогая раз-

работчикам программного обеспечения

на всем пути от идеи устройства до окон-

чательной модели полной системы.

На определенных стадиях такие

блоки как устройства ввода-вывода,

СБИС и модули памяти удобно или

«выкинуть» из системы, или упростить,

заменив стандартными компонентами,

аналогичными по функциональности.

Виртуализованное проектирование

позволяет сделать это.

Кроме того, группы, разрабаты-

вающие аппаратное и программное

обеспечение, могут эксперименти-

ровать с новыми архитектурами, соз-

давая несколько виртуальных плат-

форм и сравнивая их характеристики.

Впоследствии эти платформы можно

использовать при создании прототипа

и отладке проекта на стадии определе-

ния архитектуры.

2. Упрощенная среда проектирова-

ния. Поскольку виртуальная платфор-

ма прогоняет те же двоичные файлы

программного обеспечения, которые

будут запускаться впоследствии гото-

вой физической системой, отсутствует

необходимость в создании специальных

модулей для кросс-компиляции, симу-

ляции и отладки. Этот аспект виртуали-

зованного проектирования может зна-

чительно уменьшить количество версий

кода, сократив расходы на обслужива-

ние и снизив риск появления ошибок

из-за несовместимости версий.

3. Возможность создания библиотек

моделей. Все разработанные виртуаль-

ные платформы можно использовать

повторно, ускоряя разработку после-

дующих продуктов или обновлений

существующих устройств.

Однако не всегда использование

виртуализованного проектирования

оправданно. Чтобы понять, следует ли

его применять, необходимо выделить

проблемы, возникающие при проектиро-

вании, риски и затратность, а затем оце-

нить, насколько виртуализованная реа-

лизация поможет снизить эти издержки.

Кроме того, важно понимать, что

переход на новый принцип проектиро-

вания сопровождается фундаменталь-

ными изменениями налаженного про-

цесса. Это задача не решается быстро,

а требует временных и материальных

затрат на внедрение нового подхода,

обучение персонала и т.д. Для проектов,

имеющих долгосрочные перспективы

на рынке, либо очень сложных и доро-

гостоящих встраиваемых систем, тре-

бующих тщательной настройки и отлад-

ки, этот переход оправдан, поскольку

все затраты быстро окупаются.

В целях снижения рисков испыты-

вать виртуализованный метод разра-

ботки следует в первую очередь на

тех продуктах, которые позволят сде-

лать это проще всего. Например, к ним

относятся устройства, состоящие из

стандартных и недорогих блоков или

имеющие несложную программную

реализацию.

Page 22: Электронные компоненты №5/2010

ВС

ТР

АИ

ВА

ЕМ

ЫЕ

СИ

СТ

ЕМ

Ы

25

Электронные компоненты №5 2010

РЕКОМЕНДАЦИИДля максимальной реализации возможностей виртуа-

лизованного проектирования следует придерживаться

нескольких правил, которые помогут сделать проект уни-

версальным и годным для многократного применения.

– Использование функции импорта стандартных реги-

стровых языков (например, IP-XACT от SPIRIT Consortium) и

моделей (например, TLM-2.0 от SystemC).

– Включение поддержки нескольких языков моделиро-

вания для обеспечения гибкости и межоперационной совме-

стимости.

– Использование нескольких уровней абстракции. Для

большинства компонентов модели в зависимости от про-

граммной задачи, которую они выполняют, требуется раз-

личный уровень функциональности. Среда моделирования

должна поддерживать различные уровни абстракции, от

максимального упрощения представления системы (путем

удаления некоторых блоков) до моделирования полной

функциональности.

– Создание масштабируемых моделей. Крупные и слож-

ные модели следует собирать из более мелких. При этом

предполагается, что инженерные группы имеют доступ не

только к собственным моделям, но и к разработанным дру-

гими коллективами.

– Разработка интерфейса с физическим оборудовани-

ем. Необходимо предусмотреть возможность подключения

виртуальных платформ к физическому оборудованию через

стандартные интерфейсы связи или RTL-эмуляторы, чтобы

расширить сферу применения разработанных виртуальных

платформ.

Чтобы модели отвечали требованиям, предъявляемым

к реальным системам, они должны обладать следующими

свойствами.

– Гибкость и модульность. Сложные модели должны

состоять из более простых. Следует, однако, иметь в виду, что

точные модели физического оборудования могут оказаться

слишком сложными и непригодными для использования в

других проектах. Чтобы этого избежать, необходимо тща-

тельно проанализировать функции, которые должно выпол-

нять ПО, и определить, какие регистры и процессы должны

быть смоделированы отдельно.

– Поддержка различных типов СБИС и многоядерных

процессоров.

– Поддержка смешанных архитектур процессоров.

– Использование ОС смешанного типа (поддержка ОСРВ,

работа с хостовой ОС и без нее, с гипервизором и без него). Это

необходимо для обеспечения работы на любой платформе.

– Поддержка основных стандартов передачи и связи

(Ethernet, PCI, PCI-Express, RapidIO, MIL-STD-1553, ARINC 429,

SpaceWire, FireWire, USB, ATM, последовательный порт и др.).

– Наличие интерфейса между виртуальной и физиче-

ской реализациями для обеспечения взаимодействия между

ними.

– Возможность установки контрольных точек и точек

обратимости, которые позволят вернуться к известному

состоянию системы. Это важно для совместной работы инже-

нерных групп.

Для удобства разработчиков ПО среда проектирования

должна иметь следующие возможности и свойства.

– Наличие привычных инструментов проектирования.

Разработчики ПО для виртуальных платформ должны иметь

возможность применять те же инструменты разработки про-

граммного обеспечения (например, компиляторы, линкеры,

отладчики и IDE), что и для программирования физического

оборудования.

– Возможность отладки системы в неактивном состоя-

нии.

– Повторяемость. Эта функция позволяет несколько раз

моделировать одну и ту же конфигурацию, чтобы несколько

разработчиков могли видеть результаты и совместно отла-

живать проблемные места кода.

– Контрольные точки и снимки системы. С их помощью

можно сохранять и впоследствии полностью восстанавли-

вать состояние системы на определенном этапе. Это позво-

ляет экономить время за счет создания одной многоразовой

аппаратно-программной установки для нескольких разра-

ботчиков.

– Расширенная отладка — поддержка аппаратных и про-

граммных точек останова и выполнения операций в обрат-

ном порядке.

– Полный обзор и контроль аппаратных схем.

Разработчики должны иметь возможность видеть регистры

и данные, которые будут невидимыми на физическом обо-

рудовании.

– Использование скриптов для автоматизации процес-

сов тестирования и оценки системы.

ВЫБОР МЕТОДА ПРОЕКТИРОВАНИЯКак говорилось выше, существует несколько подходов к

созданию виртуальных платформ, каждый из которых имеет

свои сильные стороны. Рассмотрим основные вопросы, кото-

рые помогут выбрать наилучший метод.

1. Аппаратная или программная виртуализация?

Существуют две основные категории виртуальных плат-

форм: программные и аппаратные. В программной реали-

зации на одной виртуальной машине поверх хостовой ОС

запускается несколько гостевых, а при аппаратной реали-

зации гипервизор запускает одновременно несколько ВМ

и осуществляет контроль над обменом данными на низком

уровне без использования ОС хоста.

Для большинства встраиваемых приложений больше под-

ходит аппаратная виртуализация, поскольку гипервизоры

поддерживают ОСРВ и открывают для гостевой ОС прямой

доступ к аппаратным средствам.

Для тестирования ПО, находящегося на стадии проекти-

рования, или запуске приложений, рассчитанных для раз-

ных ОС, лучше выбрать программную виртуализацию, когда

гипервизор работает через хостовую ОС.

Существуют два базовых программных метода виртуали-

зации: динамической трансляции и модификации гостевой

OC (паравиртуализация). При динамической, или бинарной,

трансляции проблемные команды гостевой OC перехваты-

ваются гипервизором и модифицируются (заменяются на

безопасные), после чего управление возвращается гостевой

ОС.

В результате гостевая операционная система вместе со

всеми приложениями фактически становится одним «обыч-

ным» приложением хостовой операционной системы, из-под

которой она запущена.

При паравиртуализации исходный код гостевой ОС

частично подвергается модификации: все проблемные

Page 23: Электронные компоненты №5/2010

26

ВС

ТР

АИ

ВА

ЕМ

ЫЕ

СИ

СТ

ЕМ

Ы

WWW.ELCP.RU

инструкции и спорные места заменя-

ются командами перехода на гиперви-

зор, который генерирует код замеще-

ния проблемной команды. Этот метод

позволяет добиться более высокой

производительности, однако он при-

меним лишь в том случае, если госте-

вые ОС имеют открытые исходные

коды — их можно модифицировать в

соответствии с лицензией.

Не стоит забывать об обратной

стороне использования гипервизора.

Обращение к нему требует резервного

копирования и восстановления данных

о состоянии. Это снижает быстродей-

ствие системы и в некоторых случаях

недопустимо. Наиболее эффективные

виртуализованные решения сводят к

минимуму обращения к гипервизору,

чтобы минимизировать временные

задержки.

2. Какие ОС и наборы инструкций

должен поддерживать гипервизор?

При проектировании встраиваемой

системы следует закладывать в нее

возможности расширения и модерни-

зации. Соответствующие изменения в

архитектуре (переход на новый процес-

сор, добавление ОС и т.д.) необходимо

продумать и учесть заранее.

3. Должны ли ОС иметь возмож-

ность взаимодействовать друг с дру-

гом?

Одно из преимуществ запуска

нескольких операционных систем

на одном компьютере — это прямые

связи между ОС. Как правило, гипер-

визоры поддерживают два способа

обмена данными между ОС: эмулиро-

ванные интерфейсы и общая память.

Эмулированные интерфейсы — это

физические или виртуальные устрой-

ства, которые гипервизор представля-

ет гостевым ОС. При использовании

общей памяти в ОЗУ отводится область,

которую используют обе ОС.

4. Следует ли изолировать вирту-

альные машины друг от друга и в какой

степени?

Виртуализация всегда хотя бы

частично изолирует гостевые ОС.

Некоторые гипервизоры гарантиру-

ют полное разграничение, когда одна

ОС не имеет доступа к данным или

командам другой ОС. Полная изоляция

является обязательным требованием

в таких областях как безопасность,

военная и авиакосмическая промыш-

ленность.

Хотя большинство встраиваемых

систем предназначено для длитель-

ной непрерывной работы, необходимо

предусмотреть реакцию гостевых ОС

на сбой или перезагрузку одной из них.

Для осуществления независимой пере-

загрузки какой-либо из гостевых ОС

в виртуальной машине должна быть

предусмотрена возможность коррект-

ного сброса устройств ввода-вывода

без перезагрузки всей машины.

Если операционные системы связа-

ны (например, одна ОС имеет доступ к

винчестеру через другую), то независи-

мая перезагрузка ОС может оказаться

сложной задачей.

5. Какой гипервизор лучше: стан-

дартный (готовый) или требующий

настройки?

На установку гипервизора низкого

уровня может уйти несколько недель

или месяцев. Особенно это касается

аппаратных гипервизоров и гиперви-

зоров, модифицирующих код гостевой

ОС. Такая тонкая настройка позволя-

ет увеличить производительность,

поэтому она оправдана для массовых

продуктов. В более мелких проектах

лучше воспользоваться стандартными

версиями, чтобы сэкономить время и

облегчить задачу разработчиков.

6. Требуется ли поддержка много-

процессорной обработки?

Гипервизоры могут поддерживать

симметричную (SMP), асимметричную

многопроцессорную обработку (AMP)

или обе одновременно. Эти функции

используются в электронных системах с

несколькими процессорами. Если ядра

разные, то необходима поддержка AMP,

если одинаковые — то SMP. Если же ВМ

использует только один процессор, то

для запуска нескольких ОС одновре-

менно применяется разделение про-

цессорного времени. Соответственно,

гипервизор должен поддерживать эту

функцию.

7. Каковы лицензионные обязатель-

ства и условия предоставления техни-

ческой поддержки?

Выше мы рассматривали только тех-

нические аспекты, однако имеется и

экономическая составляющая.

Любое выбранное решение требует

покупки лицензии на его использова-

ние. Кроме того, возможна дополни-

тельная оплата каждого проекта или

ежегодные комиссии. В случае выбора

гипервизора низкого уровня обрати-

те внимание на условия обслужива-

ния и поддержки, поскольку при его

настройке у вас, наверняка, появятся

вопросы.

Кроме того, важным аспектом явля-

ется обновление гипервизора для

поддержки новых ОС и процессоров.

Стоимость всех этих услуг следует уточ-

нить заранее.

ЗАКЛЮЧЕНИЕВиртуальное проектирование до-

полняет процесс традиционной аппа-

ратно-ориентированной разработки

встраиваемой системы и предостав-

ляет большие преимущества, с точки

зрения эффективности, качества про-

граммного обеспечения, а также сокра-

щения сроков поставки. Однако без

полнофункциональной среды модели-

рования и достаточного набора стан-

дартных и пользовательских моделей

все выгоды сводятся на нет.

Выбор наилучшего решения зависит

от многих факторов: требуемых харак-

теристик, типа виртуальной платфор-

мы, количества проектов и т.д. Единого

универсального решения нет.

Правильно организованный под-

ход позволяет существенно сократить

затраты на разработку, упростить вза-

имодействие между разработчиками и

повысить качество самого продукта.

ЛИТЕРАТУРА

1. Paul Fisher. Getting a Handle on

Virtualization and Putting it to Work//RTC.

Сентябрь. 2008 г.

2. David Beal. Reducing Costs, Risks, Time to

Market with Virtualized Systems Development//

www.embedded.com/design/222700419.

3. А. Самойленко. «Виртуализация: но-

вый подход к построению IT-инфра струк-

ту ры»//w w w.ixbt.com/cm/virtuali za tion.

shtml.

4. Casey Weltzin. 10 questions to ask

when choosing a virtualization solution//

www.eetimes.com/news/design/showArticle.

jhtml?articleID=224000219.

5. Н. Елманова, С. Пахомов. «Вирту аль-

ные машины 2007»//КомпьютерПресс. №9.

2007.

Page 24: Электронные компоненты №5/2010
Page 25: Электронные компоненты №5/2010

28

ВС

ТР

АИ

ВА

ЕМ

ЫЕ

СИ

СТ

ЕМ

Ы

WWW.ELCP.RU

В статье рассматриваются особенности нового семейства процессоров

Intel Core, представленных на международной выставке CES 2010 в январе

2010 г. Обсуждаются ключевые преимущества новых процессоров, позво-

ляющие реализовывать на их базе отказоустойчивые встраиваемые

системы различного назначения. Приведены сведения о программных

средствах разработки и оптимизации программного кода для процессо-

ров данного семейства.

ПРОЦЕССОРЫ INTEL CORE: НОВОЕ

НАСТУПЛЕНИЕ x86-АРХИТЕКТУРЫ

НА РЫНКЕ ВСТРАИВАЕМЫХ СИСТЕМДМИТРИЙ ПРЫГУНОВ, техн. консультант, ИД «Электроника»

В течение многих лет разработчики

встраиваемых систем были вынужде-

ны выбирать между двумя возможными

архитектурами процессоров, имеющи-

ми свои преимуществами и недостатка-

ми. С одной стороны, специалистов при-

влекала высокая производительность

процессоров Intel, хотя их сравнительно

высокое энергопотребление требова-

ло принятия дополнительных мер по

уменьшению рассеиваемого тепла, что

приводило к удорожанию системы.

Следует сказать, что с появлением шин

PCI/PCI Express появилась возможность

создавать экономически более эффек-

тивные решения. К тому же, процес-

соры Intel поддерживаются не только

Windows, но также такими ОС, как Linux

и VxWorks, что делает эту архитектуру

еще более привлекательной.

С другой стороны, компания

Freescale всегда ориентировалась на

встраиваемые системы и предлагала

решения, которые оптимально соче-

тают производительность и энерго-

потребление/рассеяние тепла. Кроме

того, архитектура PowerPC обеспечи-

вает доступ к AltiVec — набору SIMD-

инструкций (векторных) для работы

с плавающей запятой и целочислен-

ной арифметикой, которые позво-

ляют реализовывать такие сложные

приложения, как цифровая обработка

сигнала. Продукты Freescale обеспе-

чивают длительный срок поддержки,

что необходимо для использования

во встраиваемых продуктах, особенно

ответственного применения.

Ситуация на рынке, однако, меняет-

ся. После упорного стремления про-

изводителей процессоров увеличить

тактовую частоту и пропускную способ-

ность систем пришло осознание того,

что все это больше не является гла-

венствующим в проектировании про-

цессоров.

Почему это произошло? Просто

потому, что как на рынке компьютеров,

так и на рынке встраиваемых систем

проявилась бесспорная тенденция —

интеграция все больших вычислитель-

ных возможностей во все более ком-

пактном корпусе, для чего необходимо

снизить потребляемую мощность и

минимизировать рассеиваемое тепло.

Когда корпорация Intel представила

7 января 2010 г. семейство Core, в тот

же день было объявлено о выходе мно-

жества продуктов на базе процессоров

Core i7 и i5 в различных форм-факторах:

COM Express, XMC, 6U Compact-PCI, VME,

VPX и др.

Было предложено 12 новых процес-

соров, предназначенных специально

для рынка встраиваемых систем, кото-

рые имеют 7-летний срок поддержки.

Весьма важно для этого рынка, что эти

процессоры могут быть опционально

оборудованы памятью с коррекцией

ошибок (ECC) и способны выполнять

вычисления с плавающей точкой, что

является фундаментальным требовани-

ем для большинства сложных встраи-

ваемых приложений, таких как радары,

сонары и любые системы, в которых

нужна цифровая обработка сигнала.

Ключевым требованием при разра-

ботке новых процессоров семейства

Core 2010 г. являлось достижение высо-

кой энергоэффективности. В то же время

в них реализованы многие усовершен-

ствованные функции, которые впервые

были представлены в семействе Xeon с

микроархитектурой Nehalem.

Существенное снижение потребляе-

мой мощности получено за счет пере-

хода на 32-нм технологию. Реализация

микроархитектуры Nehalem с двумя

ядрами по 32-нм технологии наделила

новыми возможностями настольные

и мобильные компьютеры, а также

встраиваемые системы с потребляемой

мощностью от 18 до 35 Вт. Уменьшение

площади кристалла центрального про-

цессора сделало возможным интегра-

цию контроллера памяти и графиче-

ского контроллера в так называемом

многочиповом корпусе. Это позво-

ляет отказаться от северного моста

между центральным процессором и

южным мостом. Таким образом, вме-

сто 3-чипового построения системы

стала возможна 2-чиповая реализация.

Снижение требований к системе по

рассеиваемой мощности, в то же время,

позволило сохранить частоту тактового

сигнала на том же уровне.

Появление семейства Core произо-

шло примерно два года спустя после

представления 45-нм семейства про-

цессоров Atom, которое ознаменовало

собой внедрение x86-архитектуры в

маломощные встраиваемые приложе-

ния. Сейчас логичным шагом дальней-

шего развития процессоров Atom был

бы переход с 45-нм технологических

норм на 32 нм.

Целью такого развития, конечно,

является стимулирование использо-

вания x86-архитектуры во все более

компактных и все более глубоко встра-

иваемых приложениях, и в конечном

итоге — расширение этой архитектуры

в сферы применения, которые до насто-

ящего времени занимала архитектура

ARM. Если Intel действительно движет-

ся в этом направлении, то у этой ком-

пании в руках есть серьезное оружие в

лице широко доступных инструментов

проектирования и армии опытных раз-

работчиков, которые хорошо знакомы

с x86-архитектурой.

Кроме того, это также позволит

использовать единую архитектуру для

целого спектра продуктов, например,

когда нужно разработать портативную

версию данного продукта. Переход на

новую, более совершенную версию

продукта с низким энергопотреблени-

ем может быть выполнен тем же соста-

вом разработчиков, с помощью тех

же инструментов разработки и даже,

возможно, с использованием большей

части существующего программного

Page 26: Электронные компоненты №5/2010

ВС

ТР

АИ

ВА

ЕМ

ЫЕ

СИ

СТ

ЕМ

Ы

29

Электронные компоненты №5 2010

кода. Экономия средств при продвиже-

нии продукта на рынке, на проведение

исследований, выполнение верифика-

ции проекта, оплату труда и обучение

персонала может быть весьма значи-

тельной.

Использование памяти со встроен-

ной схемой обнаружения и коррек-

ции ошибок (ECC) также имеет весьма

существенное значение. Во встраи-

ваемых приложениях всегда необхо-

димо было обеспечить высокий уро-

вень целостности данных, особенно в

критически важных системах. Раньше

разработчики вынуждены были выби-

рать между более высоким энерго-

потреблением и ECC-памятью. Теперь

возможность использования памяти

с коррекцией ошибок обеспечивает-

ся при величине энергопотребления,

допустимого для мобильных ком-

пьютеров. Этот тип памяти, который

обычно использовался в серверных

системах, детектирует и корректиру-

ет 1-битовые ошибки и обнаруживает

2-битовые ошибки, что предотвращает

сбои системы. Это весьма позитивно

сказывается на надежности систем,

работающих в непрерывном режиме.

Особенно это касается приложений,

требующих больших объемов памяти,

и медицинских систем.

Многие технологии микроархитек-

туры Nehalem весьма полезны для раз-

работчиков встраиваемых систем. В их

число входят технологии Turbo Boost

и Hyper-Threading, а также технология

удаленного управления и обеспече-

ния безопасности vPro. Все процессо-

ры поставляются в корпусе BGA, что

позволяет уменьшить занимаемую на

плате площадь.

Кроме того, был представлен плат-

форменный контроллер-концентратор

(Platform Controller Hub — PCH) QM57

для 2-чипового решения в мобиль-

ных и встраиваемых приложениях.

Контроллер памяти теперь встроен

внутрь процессора. PCH поддерживает

технологию vPro, а также 14 портов USB

2.0, восемь портов PCI Express, порт

Gigabit Ethernet PHY, 6 портов SATA, а

также видео- и аудиопорты (см. рис. 1).

Процессор Core i7 имеет встроенный

графический контроллер, что исклю-

чает необходимость использования

отдельного графического процессора

для широкого спектра приложений.

Тестирование с помощью пакета 3D Mark

Рис. 1. Во встраиваемых приложениях процессоры Core работают совместно с платформенным контроллером-концентратором QM57 и реализуют 2-чиповое решение, в котором графический процес-сор и контроллер памяти встроены в процессор

Page 27: Электронные компоненты №5/2010

30

ВС

ТР

АИ

ВА

ЕМ

ЫЕ

СИ

СТ

ЕМ

Ы

WWW.ELCP.RU

показало, что уровень производитель-

ности при обработке графики сравним

с предыдущей платформой, которая

использовала отдельный графический

чип. Этот уровень производительности

сделал возможным использование двух

портов DVI, которые могут управлять

двумя независимыми дисплеями высо-

кого разрешения.

Более высокая степень интеграции

чипсета позволила существенно сэко-

номить площадь на плате. Например,

системная шина FSB не проходит по

печатной плате, а интегрирована в чип-

сет. Освобождение дополнительного

места на плате особенно важно для

производителей одноплатных компью-

теров, которые стараются обеспечить

максимально возможную функциональ-

ность своих изделий.

Другое важное преимущество

линейки Core заключается в том, что

компанией предлагается целое семей-

ство процессоров. Процессоры Core i7

имеют уровень энергопотребления 35,

25 и 17 Вт с тактовой частотой 2,53; 2,0

и 1,06 ГГц соответственно, что позволя-

ет заказчикам выбирать процессоры,

исходя из необходимого им соотноше-

ния производительности на ватт потре-

бляемой мощности.

Технология Turbo Boost представ-

ляет собой аппаратную технологию,

которая учитывает вычислительную

нагрузку на процессор и потребляемую

мощность. В 2-ядерных процессорах,

например, когда одно из ядер неактив-

но или недогружено, процессор может

увеличить частоту тактового сигнала.

Частота тактового сигнала может быть

увеличена до двух фиксированных зна-

чений: более высокая частота — когда

второе ядро неактивно и более низкая

частота — если второе ядро активно, но

не полностью нагружено. Если вычисли-

тельная нагрузка на одном из ядер уве-

личивается настолько, что могут быть

превышены предельные значения по

температуре, рассеиваемой мощности

и току, процессор переходит на более

низкую тактовую частоту. С помощью

операционной системы можно опреде-

лить время работы процессора с наи-

высшей производительностью, в зави-

симости от рабочей нагрузки и условий

эксплуатации.

Технология Turbo Boost помогает

улучшить производительность систе-

мы, однако реальные преимущества

разработчики получают при оптими-

зации программного кода для реали-

зации многопотоковой обработки.

Многопотоковость обеспечивается в

семействе процессоров Core на двух

уровнях. Во-первых, имеется возмож-

ность реализовать ее между двумя

процессорными ядрами на каждом

кристалле. Кроме этого, используя

технологию Hyper-Threading, можно

на основе каждого ядра процессора

реализовать два логических процессо-

ра, каждое из которых обрабатывает

многопотоковый код. Таким образом,

можно обрабатывать одновременно

четыре потока на каждом чипе процес-

сора (см. рис. 2).

При этом каждый логический про-

цессор хранит полный набор состояния

архитектуры (два на каждом физиче-

ском процессоре). Состояние архитек-

туры содержит содержимое всех реги-

стров, включая регистры общего

назначения, управляющие регистры,

регистры, принадлежащие контролле-

ру прерываний APIC и другие регистры

состояний машины. Прерывания, высы-

лаемые данному логическому про-

цессору, обрабатываются только этим

процессором. Реализация технологии

Hyper-Threading потребовала лишь 5-%

увеличения площади кристалла и рассе-

иваемой мощности. Сбалансированная

работа потоков обеспечивается буфер-

ным управлением, которое гаранти-

рует, что ни один логический процес-

сор не сможет забрать все доступные

ресурсы, когда обрабатываются два

активных потока. Кроме того, можно

комбинировать разделенные ресурсы,

когда активен только один поток.

Реализация всех преимуществ ново-

го семейства процессоров, связан-

ных с многопотоковым выполнением

алгоритмов, невозможна без соот-

ветствующего программного обеспе-

чения. Разработчикам встраиваемых

систем необходимо оптимизировать

программный код, в результате чего

существенно возрастает произво-

дительность системы без увеличения

мощности процессора. Одна из главных

проблем при переходе на многопото-

ковое выполнение программ заклю-

чается в переносе среды разработки

и созданного программного кода на

параллельную модель без необходимо-

сти переписывания огромного массива

созданного кода. Одним из доступных

инструментов разработки программ

параллельной обработки данных явля-

ется технология Intel Ct.

Ct — это совместимая с Cи++

библиотека, которая работает с любым

стандартным компилятором Cи++.

Библиотека Ct включает все компонен-

ты, необходимые для генерации парал-

лелизованного или векторного кода:

компилятор, потоковую среду выпол-

нения программ и менеджер памяти.

Другим программным набором

инструментов является Intel Parallel

Studio, который работает совместно с

Microsoft Visual Studio для разработки

кода Cи++. С помощью пошаговых реко-

мендаций пакета Parallel Studio можно

определить области в приложениях,

которые получат наибольшие преиму-

щества от параллельного выполнения

задания. Средство Advisor Lite позво-

ляет расширить возможности Parallel

Studio, моделируя и тестируя фраг-

менты программ прежде, чем переда-

вать их в реальный параллельный код.

Существует также Parallel Amplifi er для

поиска фрагментов программ, в кото-

рых процессорные ядра недогружены,

а также для блокирования потоков.

Инструмент Parallel Inspector предна-

значен для поиска ошибок, которые

могут появиться в коде из-за высокой

сложности многопотоковых процессов.

В заключение следует сказать, что

технологии, использованные в новом

семействе процессоров Core, показы-

вают, что корпорация Intel заглядывает

далеко за пределы простого увеличе-

ния тактовой частоты и уменьшения

площади кристалла для улучшения

производительности системы. В новом

семействе процессоров компания

сконцентрировала усилия на повы-

шении удельной производительности

процессоров. Возможность коррекции

ошибок в памяти и реализация вычис-

лений с плавающей точкой имеют

огромное значение для встраиваемых

систем. Включение мощного графиче-

ского контроллера в состав чипсета и

достижение высокой степени интегра-

ции позволили сэкономить доступную

на плате площадь для увеличения функ-

циональной плотности продуктов.

С выходом семейства процессо-

ров Core разработчики встраиваемых

систем получили весьма действенные

аппаратные и программные сред-

ства для многих сфер применения.

Компания Freescale, вероятнее всего,

останется заметным поставщиком на

рынке встраиваемых технологий, одна-

ко корпорация Intel обозначила самые

серьезные намерения на этом расту-

щем и многопрофильном рынке.

ЛИТЕРАТУРА

1. Richard Kirk. Intel’s Core i7: What It Means

to the Embedded Market//RTC Magazine, March

2010

2. Tom Williams. New Intel Processor

Family Extends the Embedded Span of x86//RTC

Magazine, February 2010

3. Peter Lippert. Intel Core i7 processor

powers innovative embedded PC solutions//

Boards & Solutions, February 2010

Рис. 2. Технология Hyper-Threading позволяет реализовать на 2-ядерном процессоре четыре логических процессора, одновременно обрабаты-вающих четыре потока

Page 28: Электронные компоненты №5/2010

ВС

ТР

АИ

ВА

ЕМ

ЫЕ

СИ

СТ

ЕМ

Ы

31

Электронные компоненты №5 2010

В статье освещены вопросы проектирования промышленных приложе-

ний с использованием FPGA. Рассмотрены особенности промышленных

приложений и способы увеличения пропускной способности системы.

Обсуждаются проблемы разделения ресурсов, а также показаны преиму-

щества использования FPGA в качестве аппаратного ускорителя систе-

мы. Статья представляет собой перевод [1].

УВЕЛИЧЕНИЕ ПРОПУСКНОЙ

СПОСОБНОСТИ ПРОМЫШЛЕННЫХ

ПРИЛОЖЕНИЙ С ПОМОЩЬЮ

СОПРОЦЕССОРА НА FPGA

МАЙКЛ ПАРКЕР (MICHAEL PARKER), менеджер по маркетингу, Altera Corp.

Длительное время FPGA использо-

вались как в качестве главного, так

и специализированного процессора

(сопроцессора) в телекоммуникациях.

Цифровая обработка сигнала (DSP) в

промышленных приложениях имеет

фундаментальные отличия от обычных

телекоммуникационных приложений.

В телекоммуникации входные данные

обычно поступают с высокой частотой,

и их обработка должна производиться

в режиме реального времени, что тре-

бует завершения операций до прихода

следующей выборки данных. В DSP-

процессоре может выполняться толь-

ко несколько десятков операций для

выборки входных данных. Подобное

ограничение пропускной способности

может быть устранено применением

нескольких блоков обработки неко-

торых DSP-процессоров. Однако раз-

работка специального конвейерно-

го кода для полной реализации всех

преимуществ параллельной обработ-

ки требует ручной оптимизации на

ассемблере. Обслуживание, повтор-

ное использование и поддержка тако-

го кода может вызывать затруднения

и требует больших затрат. Кроме того,

в этом случае степень параллелизма

(одновременного выполнения зада-

ния) сравнительно низка и может не

обеспечить требований по времени

выполнения задания.

Лучшей альтернативой для выпол-

нения операций с высокой пропускной

способностью является использование

FPGA в качестве сопроцессора, в кото-

ром реализованы повторяющиеся, кри-

тичные к времени выполнения части

алгоритма. Используя программные

средства автоматизированного про-

ектирования для FPGA, разработчики

получают возможность оптимизиро-

вать производительность системы

методами, не доступными для обыч-

ных DSP-процессоров. В данной статье

обсуждаются общие вопросы реализа-

ции промышленных приложений циф-

ровой обработки сигналов на FPGA с

использованием программных инстру-

ментов проектирования.

ПРОГРАММНЫЙ ПАКЕТ АВТОМАТИЗИРОВАННОГО ПРОЕКТИРОВАНИЯПакет программных средств раз-

работки, упоминаемый в этой статье,

состоит из трех основных компонентов:

Quartus II, SOPC Builder и DSP Builder. В

совокупности эти инструменты обра-

зуют платформу автоматизированной

разработки системы, которая обеспе-

чивает высокий уровень интеграции

проекта и гибкость, которая позволя-

ет инженерам сосредоточить усилия

на системном уровне, а не на уровне

HDL-программирования и логических

блоков.

Это инструменты логического уров-

ня, которые поддерживают разработку

программного обеспечения встраи-

ваемых процессоров, каналов данных

DSP, синтез, размещение элементов

и разводку, верификацию и програм-

мирование устройства. Они работают

как функции низкого уровня, которые

выполняют конфигурирование FPGA

из набора проектных файлов, полу-

ченных инструментами разработки.

Инструменты можно использовать раз-

дельно или совместно; они также позво-

ляют выполнять HDL-проектирование

(Verilog или VHDL). Системные разра-

ботчики не обязаны быть VHDL- или

Verilog-программистами. Инструменты

автоматизированной генерации систе-

мы позволяют определить компонен-

ты, создать межсоединения, смоде-

лировать и выполнить верификацию

аппаратной части системы без обраще-

ния к исходному коду HDL. С помощью

метода «указал и выбрал» (point-and-

click) разработчик имеет возможность

сгенерировать всю систему, смодели-

ровать и верифицировать ее, а также

загрузить в FPGA код с персонального

компьютера.

ПРОМЫШЛЕННЫЕ ПРИЛОЖЕНИЯВо многих промышленных при-

ложениях, таких как ультразвуковая

дефектоскопия, скорость передачи

данных от датчиков может дости-

гать 50 MSPS. В других промышлен-

ных приложениях скорость передачи

данных с датчика может быть значи-

тельно меньше (скажем, 100 kSPS), но

количество датчиков велико. В любом

случае, если цепь обработки данных

является сложной, DSP-процессоры

часто не обеспечивают пропускную

способность, необходимую для рабо-

ты системы в режиме реального вре-

мени. В этом случае обработку прихо-

дится выполнять в режиме offline.

Трудности при использовании DSP-

процессоров в таких приложениях

могут быть различными. Во-первых,

DSP-процессоры являются фактически

последовательными устройствами,

которые обрабатывают один элемент

сигнальной цепи в данный момент. В

некоторых сложных DSP-процессорах

специальные команды могут выпол-

нять синхронную обработку данных,

обеспечивая некоторую степень парал-

лелизма. Однако зачастую единствен-

ным способом доступа к этим командам

является либо создание кода на ассем-

блере, либо использование специаль-

ных режимов компилятора. В обоих

случаях это требует большого опыта

и делает такой код непригодным для

других аппаратных платформ.

Page 29: Электронные компоненты №5/2010

32

ВС

ТР

АИ

ВА

ЕМ

ЫЕ

СИ

СТ

ЕМ

Ы

WWW. ELCP.RU

В некоторых приложениях могут

быть использованы несколько DSP-

процессоров для организации настоя-

щей параллельной обработки, но при

этом сложность программного обеспе-

чения и стоимость аппаратных средств

резко возрастает. Программное обе-

спечение для таких систем становит-

ся более сложным и непригодным для

повторного использования из-за зави-

симости от структуры данных и нали-

чия определенных схем связи между

процессорами. Так что и в этом случае

степень истинного параллелизма мала

по сравнению с аппаратным решени-

ем, а издержки, т.е. время разработки,

стоимость комплектующих и скорость

выхода изделия на рынок — слишком

велики.

КАК УВЕЛИЧИТЬ СКОРОСТЬ ОБРАБОТКИ С ПОМОЩЬЮ FPGA В КАЧЕСТВЕ ПАРАЛЛЕЛЬНОГО СОПРОЦЕССОРАЭти проблемы заставили многих

разработчиков обратить внимание на

FPGA, которые позволяют преобразо-

вать набор последовательных команд

в параллельные команды, выполняю-

щие задание за несколько тактовых

циклов на частоте более 200 МГц. Это

и является основным преимуществом

технологии FPGA: ускорение выполне-

ния алгоритма путем параллельного

выполнения заданий.

В большинстве приложений циф-

ровой обработки сигнала, помимо

оптимизации с использованием спе-

циальных команд ассемблера или

при модернизации DSP-процессоров,

инженеры имеют несколько возмож-

ностей для увеличения производи-

тельности. В случае использования

FPGA аппаратная часть и программное

обеспечение могут быть оптимизиро-

ваны одновременно. Более того, раз-

работчик может изменить разделение

ресурсов системы, возлагая большую

часть задач по обработке данных на

аппаратную часть, чтобы удовлетво-

рить требованиям по пропускной спо-

собности. В результате разработчик

получает в свое распоряжение трех-

мерное пространство оптимизации

(см. рис. 1). При неизменной произво-

дительности многих DSP-процессоров

при тактовой частоте 1 ГГц разработчи-

кам, как правило, остается только одна

возможность улучшения пропускной

способности системы — оптимизация

кода. Широкие возможности програм-

мируемых решений помогают создать

системы, которые прежде невозмож-

но было спроектировать либо из-за

недостатка времени или высокой сто-

имости элементов, либо из-за того, что

обычные DSP-процессоры не могли

справиться с вычислительной нагруз-

кой. Теперь специалист имеет еще

одну степень свободы в процессе про-

ектирования — аппаратное ускорение

с помощью сопроцессора.

Кроме очевидного увеличения ско-

рости выполнения операций, исполь-

зование FPGA в качестве сопроцессора

обеспечивает также следующие преи-

мущества, связанные с возможностью

гибкого программирования системы.

1. Увеличение скорости централь-

ных блоков алгоритма уменьшает

нагрузку на остальные его части, что

снижает общие требования к синхрони-

зации системы и степень необходимой

оптимизации. Может быть улучшена и

общая масштабируемость, и пропуск-

ная способность системы.

2. Высокопроизводительные при-

ложения цифровой обработки сигна-

ла способны быстро израсходовать

доступные ресурсы DSP-процессоров,

не оставляя возможности для даль-

нейшего расширения системы. При

использовании FPGA в существующую

систему можно добавить дополнитель-

ные алгоритмы или фильтры, оставляя

за процессором выполнение наиболее

важных функций.

3. Блоки управления, память и

интерфейсы преобразования данных,

которые обычно реализуются вне DSP-

процессора, можно интегрировать в

FPGA и объединить с алгоритмом на

одном кристалле. Это может сокра-

тить время и средства при разработке

системной платы, а гибкость FPGA позво-

ляет легко конфигурировать систему.

4. Использование FPGA позволяет

модернизировать архитектуру каналов

данных аппаратного ускорения после

разработки системы. Это выполняется с

помощью дистанционного обновления

конфигурационного файла FPGA ана-

логично тому, как происходит обновле-

ние микропрограммного обеспечения

с помощью удаленной загрузки.

В DSP-процессорах с Гарвардской

архитектурой операция умножения с

накоплением (multiply-accumulate —

MAC) может быть эффективно выполне-

на за один командный цикл. Некоторые

DSP-процессоры имеют до восьми бло-

ков MAC, которые могут работать одно-

временно. Однако для выполнения,

например, операции свертки могут

понадобиться несколько сотен и тысяч

циклов.

Использование тактовых циклов в

обычных DSP-процессорах может быть

продемонстрировано на примере

выполнения операции свертки, в срав-

нении с реализацией той же операции

на FPGA семейства Stratix с помощью

инструмента разработки DSP Builder.

Представим в развернутом виде одну

итерацию цикла операции свертки

(представлена цветной стрелкой на

рис. 2) и поместим ее в программу

последовательного DSP-процессора.

Если отобразить эти операции в зави-

симости от числа системных тактов

(времени вычисления), то они будут

представлены в виде последователь-

ности линий со стрелками (см. рис. 2).

В некоторых DSP-процессорах про-

граммист имеет возможность создать

оптимизированный код на ассемблере

для конвейерного выполнения опера-

ций. Это уменьшает количество исполь-

зуемых тактовых циклов (см. рис. 2б).

Выигрыш в скорости в 5—10 раз можно

получить как путем ручной оптимиза-

ции, так и путем оптимизации в ком-

пиляторе Cи. Но, хотя компилятор Cи

может обеспечить некоторую степень

параллелизации задачи, наибольший

выигрыш в скорости дает оптимизиро-

ванный ассемблерный код. При необ-

ходимости какой-либо модификации

алгоритма код должен быть переписан.

Явным недостатком такого варианта

является то, что затраты времени и стои-

мость обслуживания такого программ-

ного кода могут быть существенными.

Используя инструмент разработки

DSP Builder и реализуя этот алгоритм

на FPGA, фильтр свертки может быть

спроектирован таким образом, чтобы

эта операция выполнялась за 1—2 так-

Рис. 1. Трехмерное пространство оптимизации, доступное с помощью программных инструмен-тов разработки

Рис. 2. Сравнение выполнения алгоритма свертки на DSP-процессоре и FPGA

Page 30: Электронные компоненты №5/2010

ВС

ТР

АИ

ВА

ЕМ

ЫЕ

СИ

СТ

ЕМ

Ы

33

Электронные компоненты №5 2010

товых цикла, как показано на рисунке 2в

(в обоих рассмотренных случаях началь-

ные тактовые циклы, которые требуются

для загрузки данных перед выполнени-

ем операций, не учитываются).

Проектируя систему на FPGA, важно

иметь в виду, что ключевые подходы

при поиске компромиссного решения

по оптимизации системы отличаются

от тех, которые применяются для обыч-

ных DSP-процессоров. Чтобы увеличить

быстродействие DSP-системы, разра-

ботчик может использовать несколько

вариантов:

– создать оптимизированный код

(обычно на ассемблере);

– выбрать более быстродействую-

щий и более дорогой DSP-процессор;

– добавить в систему больше DSP-

процессоров.

Для FPGA используются другие под-

ходы. Если разработчику нужно увели-

чить быстродействие (уменьшить время

выполнения задания), то он должен

использовать больше логических эле-

ментов, умножителей и блоков памяти

для того, чтобы обеспечить параллель-

ное выполнение операции. Поскольку

каждый логический элемент занимает

определенную площадь на кристалле,

то обычно находят оптимальное соот-

ношение между скоростью и площадью

кристалла. Поэтому наиболее важным

решением при проектировании систе-

мы FPGA является выбор размера FPGA

(количество логических элементов) в

зависимости от стоимости.

Преимущества разработки проекта

на базе FPGA таковы.

1. Выигрыш в быстродействии по

сравнению с оптимизированным ассем-

блерным кодом на DSP-процессоре

часто превышает 100 раз. Крупные

FPGA содержат тысячи умножителей,

блоков памяти, портов ввода/вывода

и связанной с ними программируемой

логики.

2. Инструменты разработки имеют

графический интерфейс, использую-

щий метод перетаскивания (drag-and-

drop). Поэтому время разработки суще-

ственно меньше, чем написание кода

для DSP-процессора.

3. Если организация фильтра требу-

ет интерфейса аппаратного управления

внешних устройств или преобразова-

телей данных, то он реализуется на том

же кристалле FPGA с помощью того же

программного обеспечения, а не путем

создания специального кода взаимо-

действия с прерываниями и шинами

в случае DSP-процессора. Во многих

системах FPGA уже интегрирована для

выполнения интерфейсных задач.

4. Использование современных

программных пакетов разработки

для FPGA позволяет легко и быстро

модифицировать проект и архитектуру

системы. Для тех специалистов, кото-

рые использует традиционный подход

проектирования FPGA на базе HDL-

программирования, широко доступны

такие IP-ядра как FFT, NCO, FEC, FIR- и

CIC-фильтры. Они обычно совместимы

со всеми приборами данного семей-

ства FPGA.

ЧТО ВЫБРАТЬ — СОПРОЦЕССОР НА FPGA ИЛИ DSP-ПРОЦЕССОР?Преимущество в быстродействии

при использовании FPGA очевидно из

рисунка 2. Обработка может осущест-

вляться параллельно, так что отноше-

ние вычислительной производитель-

ности к числу используемых тактовых

циклов весьма велико. Принимая ре ше-

ние использовать сопро цес сор на

FPGA, разработчик должен учесть сле-

дующий ряд факторов.

Во-первых, проект должен быть

сегментирован на те задания, которые

будут размещены в сопроцессоре, и те,

которые останутся за DSP-процессором

или другим системным процессором.

Иногда главный процессор может быть

исключен из аппаратной части проекта

при использовании программного про-

цессора, построенного на базе FPGA.

При решении вопроса о сегмента-

ции самым простым способом является

разделение проекта на два независи-

мых, но связанных компонента: 1) алго-

ритм вычислений и 2) конфигуриро-

вание и управление этим алгоритмом.

Несмотря на то, что эти компоненты

Page 31: Электронные компоненты №5/2010

34

ВС

ТР

АИ

ВА

ЕМ

ЫЕ

СИ

СТ

ЕМ

Ы

WWW. ELCP.RU

взаимозависимы, они могут быть легко

разделены с помощью построения про-

стой блок-схемы.

Рисунок 3 показывает упрощенный

пример сегментации. Эта блок-схема

выполняет фильтрацию с конечной

импульсной характеристикой (fi nite

impulse response — FIR) высокочастот-

ного сигнала, полученного в режиме

реального времени (т.е. реализуется

низкочастотный или полосовой фильтр

для сигнала датчика с помехами) и

отображение полученного параметра

(мощности сигнала).

В верхнем блоке, который обозначен

как «Конфигурация фильтра», вычис-

ляются коэффициенты фильтрации,

выбор которых задает характеристику

фильтра и частоты среза. Управляющий

процессор может загрузить желаемый

набор коэффициентов в зависимости от

конфигурации. Закрашенная стрелка,

направленная к блоку «Конфигурация

фильтра», отражает программное

уп рав ление главным процессором.

Во втором блоке система осущест-

вляет управление входными данны-

ми, например, преобразуя входные

данные для сегментов фильтра. Кроме

того, во время формирования данных

необходимо передать в АЦП несколь-

ко аппаратных сигналов, таких как

«Разрешение» и «Подтверждение»

(показаны белыми стрелками). В блоке

выходных данных также с помощью

аппаратного управления формируют-

ся выходные данные, и обеспечивается

их конечное расположение, например,

происходит прямой доступ к памяти

(DMA) и запись во внешнюю память

SRAM. В последнем блоке вычисляется

мощность отфильт рованного сигнала,

которая затем отображается.

Теперь проведем сегментацию

проекта на те части, которые будут

выполняться в FPGA и в главном про-

цессоре. Серая круговая стрелка на

блок-схеме представляет скоростную

повторяющуюся часть алгоритма. Это

часть алгоритма, которая претерпева-

ет незначительные изменения в про-

цессе работы системы. Свертка данных

может быть выполнена FIR-фильтром с

выбранными пользователем коэффи-

циентами. Это, кроме того, наиболее

критичная ко времени выполнения

часть алгоритма. Она является наилуч-

шим кандидатом для реализации на

FPGA из-за жестких временных требо-

ваний и статического характера пото-

ка данных.

Последний блок имеет прерыви-

стую диагональную линию посередине.

Это показывает возможность разделе-

ния задания на две части. Так как нам

следует отобразить значение мощно-

сти, требования ко времени выпол-

нения этой части алгоритма весьма

низкие (порядка десятков мс). Однако

вычисление мощности может стать

задачей, требующей интенсивных рас-

четов, так как содержит операцию воз-

ведения в квадрат массива данных, а

затем их суммирование. Оставить это

за главным процессором или передать

в FPGA, зависит от ответов на следую-

щие вопросы.

– Какова нагрузка на главный про-

цессор? Может ли передача задания в

FPGA освободить другие ресурсы глав-

ного процессора?

– Сколько логических элементов

FPGA задействовано в операции филь-

трования? Сколько логических элемен-

тов FPGA будет использоваться при

вычислении мощности?

– В главном процессоре использу-

ются операции с плавающей запятой

или целочисленная арифметика? Если

целочисленная арифметика, то понадо-

бится масштабирование, чтобы исклю-

чить возможное переполнение из-за

операции возведения в квадрат.

При сегментации проекта разработ-

чики обычно приходят к выводу, что

некоторые части алгоритма следует

обязательно передать на исполнение в

FPGA, тогда как передача других зави-

сит от количества системных ресурсов.

Для более сложного кода наилучшим

способом определиться с разделе-

нием ресурсов является разработка

модели кода на языке более высоко-

го уровня (Cи/Cи++ или MATLAB). Для

определения времени исполнения

задания и поиска той части алгоритма,

которая задействует основные ресур-

сы центрального процессора, могут

быть использованы функции профили-

рования компилятора.

ЗАКЛЮЧЕНИЕКак в любом сложном проекте,

решение о том, весь алгоритм или

только его часть переносить на FPGA-

сопроцессор, зависит от многих фак-

торов. Однако это решение сводится

к анализу размера и сложности как

аппаратных, так и программных ком-

понентов системы. Простой алгоритм,

работающий со сравнительно низкой

пропускной способностью (напри-

мер, обработка звука) с использова-

нием недорогих DSP-процессоров не

является хорошим кандидатом для

портирования на FPGA, если толь-

ко FPGA уже не находится в составе

системы. Однако в тех случаях, когда

требуется высокая производитель-

ность и пропускная способность

(например, при обработке HD-видео),

а DSP-процессоры не отвечают этим

требованиям, FPGA-сопроцессор, не-

сом ненно, является единственным

вы бо ром.

Инструменты разработки позволяют

эффективно выполнять проектирова-

ние системы. Широкий набор автома-

тизированных средств делает процесс

разработки системы на базе FPGA более

простым и быстрым. Использование

FPGA в качестве сопроцессора в про-

мышленных приложениях обеспечива-

ет существенный выигрыш в скорости

по сравнению с высококачественными

DSP-процессорами, а системный раз-

работчик получает намного более

высокую степень гибкости, чем это

возможно при использовании DSP-

процессоров.

ЛИТЕРАТУРА

1. Michael Parker. Increasing bandwidth in

industrial applications with FPGA co-processors //

www.pldesignline.comРис. 3. Сегментация процесса FIR-фильтрации в режиме реального времени

Page 32: Электронные компоненты №5/2010

ВС

ТР

АИ

ВА

ЕМ

ЫЕ

СИ

СТ

ЕМ

Ы

35

Электронные компоненты №5 2010

В статье представлен обзор основных тенденций в области встраи-

ваемых процессорных систем. Рассмотрены перспективные виды энер-

гонезависимой универсальной памяти, новые направления разработки

гиперинтегрированных устройств, а также некоторые решения, позво-

ляющие создать глубоко встроенные приложения.

КЛЮЧЕВЫЕ НАПРАВЛЕНИЯ РАЗВИТИЯ

ПРОЦЕССОРНЫХ СИСТЕМСЕРГЕЙ ТИХОНОВ, техн. консультант, ИД «Электроника»

В настоящее время разработчики

встраиваемых систем переходят от

постепенного улучшения параметров

устройств к стратегии построения

более долгосрочных и перспективных

решений, которые могут привести к

созданию новых классов встраивае-

мых электронных систем. Многие про-

изводители конечного оборудования

рассчитывают на то, что разработчики

кристаллов смогут сделать качествен-

ный технологический прорыв, позво-

ляющий создать продукты следующих

поколений.

ЭНЕРГОНЕЗАВИСИМАЯ ПАМЯТЬВ течение последних лет рынок

энергонезависимой памяти в основ-

ном был ограничен несколькими при-

ложениями, в частности, в автомо-

бильной промышленности, в области

смарт-карт, в медицинском и косми-

ческом оборудовании, а компании-

заказчики были вынуждены вклады-

вать дополнительные средства, т.к.

процесс производства кристаллов

памяти не был достаточно эффектив-

ным и дешевым.

Однако существует также ряд пер-

спективных приложений, таких как

сбор энергии, сети беспроводных

датчиков, системы автоматизации и

безопасности зданий, а также глубоко

встроенные (deeply embedded) систе-

мы (где ручное управление системой

почти невозможно), в которых требу-

ется память с весьма низким энерго-

потреблением, высокой надежностью

и радиационной стойкостью.

Дальнейшее развитие существую-

щих технологии памяти на рынке

встраиваемых процессоров и систем-

на-кристалле (СнК) в настоящее время

ограничено из-за двух основных про-

блем. Во-первых, скорость процессора

и его эффективность опередили воз-

можности памяти, что вынудило созда-

вать сложные по архитектуре модули, в

которых используются нестандартные

решения.

Во-вторых, многие процессоры

сегодня работают при весьма низком

напряжении питания (1…3 В). Наиболее

широко распространенная на сегод-

няшний день флэш-память использу-

ет для записи напряжение величиной

более 10 В. Это заставляет разработ-

чиков включать в состав микросхем

блоки накачки заряда, что увеличивает

площадь кристалла и, соответственно,

его стоимость.

В таблице 1 приведена сравнитель-

ная оценка нескольких перспективных

технологий памяти, включая память

на фазовых переходах (Phase Change

Memory), магниторезистивное ОЗУ

(Mag ne toresistive RAM), сегнетоэлектри-

ческое ОЗУ (Ferroelectric RAM), а также

флэш-память со структурой SONOS.

В течение следующих нескольких

лет определится, какой вид памяти

будет развиваться успешно, а какой

потерпит поражение. Каждый из этих

типов памяти имеет свои преимуще-

ства и недостатки.

Память на фазовых переходах (PCM)

Базовым принципом функциони-

рования такой памяти является транс-

формация вещества (из семейства т.н.

халькогенидных стекол) из аморфного

состояния в кристаллическую структу-

ру при нагреве (путем инжекции тока в

кристалле или при воздействии лазера

в оптической памяти). Когда материал

меняет свое состояние из аморфного в

кристаллическое, его сопротивление и

отражающая способность резко меня-

ются.

В настоящее время на рынке доступ-

ны кристаллы PCM или PRAM, однако

из-за температурной нестабильности

и высокой стоимости пока не удалось

создать PCM, встроенную в процес-

сор.

Преимущества у PCM следующие.

1. Время доступа не более 100 нс.

2. Программируемость на уровне

произвольных битов (ОЗУ).

3. Скорость записи/стирания в

30 раз превышает этот показатель для

флэш-памяти.

4. Не требуется отдельный цикл

стирания, но скорость записи меньше,

чем у SRAM.

5. Масштабируемость технологии

при использовании новых типов лито-

графии.

6. Возможность использования до

четырех состояний каждого элемента

памяти, что удваивает информацион-

ную емкость.

7. Количество циклов записи дохо-

дит до 1 млн.

8. Срок хранения данных до 300 лет

при температуре 85°C.

Недостатки PCM.

1. Высокая плотность тока (не менее

107 А/см2), которая требуется для изме-

нения состояния памяти.

2. Температурная чувствитель-

ность, особенно для многобитных

ячеек памяти.

3. Программируется только в систе-

ме (а не в процессе производства) из-за

высоких температур размягчения мате-

риала во время процесса стирания.

4. Временной дрейф сопротивле-

ния и порогового напряжения (важно

для многобитного хранения данных).

5. Экономически эффективное мас-

совое производство для применения

в СнК можно запустить не ранее, чем

через несколько лет.

Таблица 1. Типы энергонезависимой памяти

СтоимостьБыстро-

действиеУнивер-

сальностьЭнерго-

потреблениеНадежность

PCM (PRAM) * *** * ** **

MRAM ** *** *** ** **

FRAM (FeRAM) *** *** *** *** ***

Флэш-память SONOS ** ** *** * ***

Page 33: Электронные компоненты №5/2010

36

ВС

ТР

АИ

ВА

ЕМ

ЫЕ

СИ

СТ

ЕМ

Ы

WWW.ELCP.RU

Магниторезистивное ОЗУ (MRAM)

В данной технологии ячейки памяти

также не программируются электриче-

ски, а информация определяется соот-

ветствующим состоянием намагничен-

ности элемента памяти.

Память считывается путем выбо-

ра элемента с помощью транзистора,

затем измеряется его электрическое

сопротивление.

В настоящее время несколько ком-

паний производит кристаллы MRAM, а

одна из них имеет планы по созданию

СнК со встроенной MRAM.

Преимущества MRAM.

1. Топология кристалла может быть

разработана по 65-нм нормам.

2. Высокая плотность размещения

элементов памяти, приближающаяся

по этому показателю к DRAM.

3. Универсальная память: нет жест-

кого разграничения между участками

RAM и памятью программ; системная

память может быть разделена произ-

вольно.

4. Скорость доступа достигает

150 МГц при использовании 90-нм тех-

нологии.

5. Теоретически достижимое время

доступа составляет 2 нс.

6. Устойчивость к радиации.

Недостатки MRAM.

1. Трудности при изготовлении кри-

сталлов с нормами менее 180 нм из-за

сложных методов записи (для 65-нм

процесса требуется использовать

метод переключения и передачи спи-

нового вращательного момента).

2. Непредсказуемые последствия

масштабирования при нормах менее

65 нм.

3. Чувствительность к внешним маг-

нитным полям.

4. Слишком дорогостоящий вид

памяти из-за сложной архитектуры и

необходимости соблюдения точного

расстояния между линиями записи при

изготовлении кристалла.

5. Необходимость использования

высокого тока для генерирования элек-

трического поля для записи в память.

Сегнетоэлектрическое ОЗУ (FRAM)

Сегнетоэлектрический эффект,

который используется в памяти данно-

го типа, — это возможность материала

сохранять электрическую поляриза-

цию в отсутствие внешнего электри-

ческого поля. Сегнетоэлектрические

матери алы нечувствительны к магнит-

ным полям и рентгеновскому излуче-

нию.

В настоящее время только две

компании предоставляют лицензию

на данную технологию и производят

FRAM серийно, в т.ч. Texas Instruments.

Самая высокая доступная емкость

кристалла FRAM, производимая TI для

компании Ramtron, равна 4 Мбайт,

однако существует также несколько

видов памяти для смарт-карт, кото-

рые производятся Ramtron. На сегод-

няшний день наиболее сложные СнК

имеют встроенную FRAM емкостью

лишь 16 Кбайт.

Преимущества FRAM.

1. Малая мощность потребления:

кристаллы можно программировать

напряжением 1,5 В без накачки заряда.

2. Надежность: отсутствие потери

данных при провале питания во время

работы устройства.

3. Долговечность: до 100 трлн цик-

лов записи.

4. Более высокое быстродействие (в

1000 раз), чем у обычной флэш-памяти

(время выборки слова — 50 нс).

5. Компактный элемент памяти

(один транзистор — один элемент):

малый размер кристалла.

6. Почти нулевая частота появления

ошибок: высокая радиационная стой-

кость.

7. Совместимость с существующей

КМОП-технологией и простота перехо-

да на новые технологические нормы.

8. Гибкость: можно использовать

как универсальную память (кэш-память,

память данных и память программ)

из-за ее высокого быстродействия.

9. Безопасность: стойкость к вли-

янию световых вспышек из-за отсут-

ствия накачки заряда; высокая ско-

рость записи/чтения не позволяет

сканировать данные.

10. Высокая плотность размещения

элементов памяти: более компактная

ячейка памяти, чем у флэш-памяти (6T),

но крупнее, чем у DRAM (1T-1C).

Недостатки FRAM.

1. Невысокая информационная

емкость (на сегодня не более 32 Кбайт

встроенной памяти).

2. Разрушающая природа операции

считывания, т.е. каждый цикл чтения

является также циклом записи (этот

недостаток компенсируется чрезвы-

чайно высоким допустимым числом

циклов записи).

Флэш-память со структурой SONOS

Разница между SONOS-памятью и

обычной флэш-памятью заключается в

том, что в SONOS (Silicon-Oxide-Nitride-

Oxide-Silicon) используется изоли-

рующий слой нитрида кремния Si3N4

вместо слоя поликристаллического

кремния. SONOS-транзистор напо-

минает транзистор МНОП (MNOS). В

SONOS-ячейках функцию плавающего

затвора и окружающего его изолятора

выполняет композитный диэлектрик

ONO.

Преимущества флэш-памяти SONOS.

1. Технологический процесс изго-

товления памяти весьма похож на стан-

дартный КМОП-процесс, поэтому для

внедрения серийного производства

нужны минимальные изменения тех-

нологии (не более 10 дополнительных

операций).

2. Масштабируемость техноло-

гии до минимальных размеров менее

45 нм.

3. Число циклов записи достигает

1011.

4. Безопасность: нельзя считать

память с помощью электронного

микроскопа или путем ионного скани-

рования.

5. Время хранения данных до 15 лет

при температуре 85°C, или 100 тыс.

циклов записи.

Недостатки флэш-памяти SONOS.

1. Требуется повышенное напря-

жение записи, как и в существующей

флэш-памяти, поэтому необходимо

применение сложных схем на кристал-

ле.

2. Невысокое быстродействие

(время записи до 5…10 мс, время сти-

рания — до 10 мс) требует сложной

архитектуры для сопряжения с более

быстродействующим ядром процессо-

ра, что увеличивает стоимость кри-

сталла.

3. Проблемы безопасности из-за

возможности потери заряда.

Принимая во внимание преиму-

щества и недостатки рассмотренных

технологий памяти, а также возмож-

ности сегодняшнего производства,

по-видимому, память FRAM наиболее

близка к тому, чтобы занять лидирую-

щее положение в этом соревновании.

ГИПЕРИНТЕГРИРОВАННЫЕ МИКРОКОНТРОЛЛЕРЫМикроконтроллеры (МК) в настоя-

щее время представляют собой удиви-

тельный пример сочетания на одном

кристалле самых передовых решений.

Современные МК могут содержать до

2 млн вентилей на кристалле и выпол-

нять множество функций.

Увеличение степени интеграции

МК и других компонентов диктуется

стремлением выхода на новые рынки

и снижения стоимости существую-

щих приложений. За последние два

года такие функциональные узлы и

блоки как многоядерные процессоры,

ядра с плавающей запятой, различные

варианты USB-контроллеров (Host,

Device и On-The-Go), беспроводное

радио, операционные усилители,

высокопроизводительные АЦП/ЦАП и

усовершенствованные контроллеры

дисплеев (VGA, QVGA) были реали-

зованы на отдельном МК. В течение

следующих двух лет появятся новые

компоненты, интегрированные на

одном кристалле.

Ясно, что несколько направлений

развития интегрированных СнК ждут

хорошие перспективы на рынке. Эти

направления включают беспровод-

Page 34: Электронные компоненты №5/2010
Page 35: Электронные компоненты №5/2010

38

ВС

ТР

АИ

ВА

ЕМ

ЫЕ

СИ

СТ

ЕМ

Ы

WWW.ELCP.RU

ные коммуникационные интерфейсы

(Zigbee, Bluetooth и WiFi), интегриро-

ванные модули управления питани-

ем (многоуровневая система питания

на кристалле и вне его), модули для

аккумулирования энергии, необходи-

мой для работы кристалла, из внешней

среды (системы сбора энергии), а также

специализированные модули, подоб-

ные медицинским датчикам и аналого-

вым интерфейсам.

Проводные и беспроводные

коммуникационные устройства

Реализация беспроводных ком-

муникационных устройств (обычно

РЧ-устройств) на одном кристалле

является, по-видимому, наиболее

заметной областью развития инте-

грированных систем, однако труд-

ной задачей является построение

РЧ-схемы на печатной плате, а в мас-

штабе кристалла сложности возрас-

тают на порядки.

Маломощная радиочастотная СнК

содержит лишь микроконтроллер на

базе процессора 8051. Объединение

таких совершенно разных узлов на кри-

сталле сопряжено с известными труд-

ностями из-за проблем, связанных с

наличием помех, согласованием импе-

дансов, передачей данных и реализа-

цией множества беспроводных прото-

колов и типов модуляции.

Во многих случаях каждое разра-

ботанное монолитное устройство,

содержащее РЧ-часть и МК, позволяет

упростить проектирование кристалла

следующего поколения. В перспективе

это приведет к росту числа интегри-

рованных РЧ-решений, а также к появ-

лению специализированных устройств

данного типа.

Кроме того, успехи в разработке

3-мерных многослойных структур и

новых корпусов позволили интегриро-

вать больше разнотипных приборов в

одном чипе. Например, компания ZeroG

Wireless начала создавать маломощные

модули WiFi, которые позволяют соз-

давать интеллектуальные электросе-

ти. Объединение данной технологии

с существующими МК в пределах кри-

сталла является лишь вопросом вре-

мени.

Интерфейсы для проводной связи

уже являются весьма распространен-

ными периферийными устройствами.

Несколько различных производите-

лей МК использует интерфейсы CAN,

Ethernet 10/100 и full-speed USB на

одном кристалле. На рынке имеется

даже небольшой 100-МГц МК, в кото-

рый встроен высокоскоростной интер-

фейс USB 2.0 (со скоростью передачи

480 Мбит/с).

Совершенствование периферии в

приборах данного класса происходит

не только за счет реализации узлов

аппаратного ускорения, таких как кон-

троллеров PHY- и MAC-уровня и встро-

енных блоков управления питанием, но

и путем внедрения других протоколов,

например IEEE 1394, DALI для систем

освещения и LIN/FlexRay для автомо-

билей.

Интегрированные устройства

для специализированного оборудования

Интегрирование нескольких моду-

лей для специализированного обору-

дования является в настоящее время

одной из важнейших тенденций.

Малое время проектирования и

быстрое создание прототипов позво-

лили производителям предложить

огромное количество интегрирован-

ных аналоговых компонентов, так

что потребители имеют возможность

выбрать те чипы, которые идеально

подходят для узкоспециализированных

крупносерийных приложений.

Конкурентная борьба в этой обла-

сти настолько сильна, что новые

приложения пользуются повышен-

ным спросом еще до появления пер-

вых реальных устройств на рынке.

Примерами такого развития рынка

могут служить персональные меди-

цинские устройства.

Идеальный специализированный

микроконтроллер для применения в

медицинской технике должен обладать

следующими свойствами: компактные

размеры, чрезвычайно малое энерго-

потребление, возможность импланта-

ции и питания от системы сбора энер-

гии или компактной батареи, иметь

встроенные 8- или 10-разрядные АЦП,

операционные усилители для преоб-

разования сигналов, маломощный цен-

тральный процессор и даже, возможно,

415-МГц РЧ-блок.

Другой интересной областью, в кото-

рой происходит интеграция на уровне

специализированных устройств, явля-

ются системы контроля и учета энер-

горесурсов. В настоящее время растет

интерес к созданию интеллектуальных

электросетей, поэтому многие компа-

нии включились в конкурентную борь-

бу на этом рынке.

Управление питанием и сбор энергии

Проблема снижения энергопотре-

бления занимает в настоящее время

одно из важнейших мест в отрасли.

МК всегда были на передовом рубе-

же борьбы за энергоэффективность,

т.к. они интегрируют функции управ-

ления питанием, которые традици-

онно выполняли отдельные микро-

схемы.

Уже существуют устройства с инте-

грированными стабилизаторами с

низким падением напряжения, детек-

торами провалов напряжения пита-

ния, преобразователями напряжения

и многоуровневой системой питания

ядра процессора, но эти элементы

нельзя было программировать.

В перспективе устройства будут

использовать несколько уровней

напряжения в обычном режиме,

причем каждый из них можно изме-

нить через операционную систему

или с помощью конечного автома-

та, запрограммированного в чипе.

Встроенное управление питанием —

ключевое отличительное качество

многих МК, т.к. размер печатных плат

уменьшается, количество компонен-

тов на плате увеличивается, а все они

требуют напряжения и токи разной

величины.

Весьма новой и сложной областью

является сбор и аккумуляция энергии.

Перспективные технологии, такие как

тонкопленочные твердотельные эле-

менты питания и компоненты сбора

энергии от вибрации, солнца, тепла и

РЧ-волн, позволят создать приложения,

не требующие батарей и какого-либо

обслуживания в течение всего срока

службы.

Важнейшим элементом эффектив-

ного приложения, работающего без

батарей, является интеллектуальный

маломощный МК. Такие системы долж-

ны иметь сложные схемы управления

питанием для эффективной работы с

элементами сбора энергии.

Интегральное решение позволя-

ет включить такие элементы в сеть

беспроводных датчиков, которая,

например, осуществляет мониторинг

состояния здания и его структурную

целостность.

В конечном счете, рост степени

интеграции микросхем определяется

несколькими современными тенден-

циями.

Во-первых, центральный процессор

и цифровые компоненты современ-

ных СнК занимают менее 15% площади

кристалла. Большая часть кристалла

используется встроенной памятью, и с

внедрением новых технологий и умень-

шением геометрических размеров

памяти появляется дополнительное

пространство для размещения других

модулей на кристалле без увеличения

общей площади СнК.

Во-вторых, заказчики требуют все

более компактные и, в то же время,

дешевые продукты. Это ставит разра-

ботчиков чипов перед задачей выбора

между построением системы, состоя-

щей из нескольких небольших кристал-

лов, и проектированием более слож-

ной СнК, которая заменяет пять-шесть

обычных чипов.

Наконец, полностью интегриро-

ванные решения обеспечивают боль-

шую надежность и долговечность, что

особенно важно в таких областях как

имплантируемые устройства, встроен-

Page 36: Электронные компоненты №5/2010

ВС

ТР

АИ

ВА

ЕМ

ЫЕ

СИ

СТ

ЕМ

Ы

39

Электронные компоненты №5 2010

ные системы мониторинга состояния

строительных конструкций и комплекс-

ные домашние сети.

ГЛУБОКО ВСТРАИВАЕМЫЕ СИСТЕМЫВ настоящее время встраиваемые

решения встречаются повсюду: напри-

мер, хорошо известны кредитные карты

со встроенными чипами и автопокрыш-

ки, которые с помощью беспроводной

связи передают информацию о состоя-

нии дорожного покрытия, давлении в

шинах и температуре.

Скоро электроника станет состав-

ной частью всех предметов, которых

мы касаемся, включая ткани. Ярким

примером этой тенденции служат

гоночные машины «Формулы 1».

Разработчики систем для этих авто-

мобилей столкнулись с проблемой

увеличения веса машин из-за необхо-

димости размещения дополнительных

электронных блоков для улучшения

характеристик двигателей и других

узлов.

Для снижения веса, габаритных

размеров и коэффициента лобового

сопротивления инженеры нашли спо-

соб встраивания части электронных

узлов в раму автомобиля из легкого

углеволокна.

По существу, имеются два типа глу-

боко встраиваемых решений, оба из

которых не заметны, но имеют срок

службы, измеряемый годами. Первый

вид — это новые интеллектуальные

функции, которые появляются в при-

вычных устройствах, делая их более

удобными и функциональными без

каких-либо видимых внешних изме-

нений. К таким усовершенствовани-

ям относятся, например, беспро-

водное радио в сотовом телефоне,

системы биометрической идентифика-

ции, медицинские пластыри с монито-

ром показателей деятельности сердца

и др.

Ко второму типу встраиваемых

решений относятся приложения, раз-

работанные на основе внедрения

новых технологий. Эти приложения

существенным образом улучшают нашу

безопасность и предоставляют дан-

ные об окружающей среде. Например,

системы на основе датчиков, встро-

енных в стены зданий и мостов для

мониторинга состояния конструкций,

не требующие замены батарей и обслу-

живания.

Успехи в создании глубоко встраива-

емых приложений стали возможными

также в связи с существенным умень-

шением габаритов корпусов чипов по

всем трем координатам, что позволило

получить устройства, которые занима-

ют площадь не более 0,1 мм2.

Наиболее яркими примерами раз-

вития интегрированных решений во

встраиваемых системах служат меди-

цинские приборы и автомобильная

техника. Еще одной областью, которая

претерпит революционные изменения

в связи с внедрением глубоко встраи-

ваемых решений, являются системы

безопасности. Биометрические скане-

ры сделают ненужными замки, ключи и

даже пароли.

Успехи в создании компактных кри-

сталлов, новых видов корпусов, появ-

ление новых видов энергонезависи-

мой памяти, снижение потребляемой

мощности и интегрирование аналого-

вых блоков в СнК позволят проектиро-

вать весьма компактные, универсаль-

ные и надежные устройства, о которых

еще несколько лет назад никто и не

мечтал.

ЛИТЕРАТУРА

1. Jacob Borgeson. Embedded Processing

Trends//www.embedded.com.

2. Krishnaswamy Ramkumar. Cypress

SONOS Technology//www.cypress.com.

3. Shehzaad Kaka. Past, Present, and Future

of MRAM//www.thic.org.

4. FRAM — New Generations of Non-

Volatile Memory, Texas Instruments//focus.

ti.com.

Page 37: Электронные компоненты №5/2010

40

ВС

ТР

АИ

ВА

ЕМ

ЫЕ

СИ

СТ

ЕМ

Ы

WWW.ELCP.RU

Прогнозировать тенденции — неблагодарное занятие даже для искушен-

ных экспертов. Но, по крайней мере, одну тенденцию легко проследить:

это широкое распространение многоядерных СнК, что связано с несколь-

кими причинами, о чем и пойдет речь в этой статье.

СТАНЕТ ЛИ 2010 г. ПОВОРОТНОЙ

ТОЧКОЙ ДЛЯ МНОГОЯДЕРНЫХ СнК?СТЕФЕН ОЛСЕН, разработчик программного обеспечения, отд. встраиваемых систем,

Mentor Graphics Corp. .

Во-первых, прошло много лет, с тех

пор как в рабочей станции стала при-

меняться архитектура многоядерного

процессора для решения таких задач

как рост производительности и сни-

жение потребляемой мощности. Если к

настоящему времени рабочие станции

стали полностью поддерживаться опе-

рационными системами общего назна-

чения (ОСОН), разработчики встраивае-

мых решений ищут методы применения

многоядерной архитектуры.

Во-вторых, несколько поставщиков

СнК предлагает рынку многоядерные

решения, в числе которых компании

Cavium, Freescale, MIPS и ARM. Однако

данные решения ограничены потреб-

ностями той или иной сети и использу-

ются скорее для того, чтобы улучшить

ее производительность, а не для сниже-

ния потребляемой мощности.

Остальные компании рынка встра-

иваемых систем ограничили доступ-

ные параметры оборудования, исходя

из основного определяющего факто-

ра — малой потребляемой мощности.

Если процессор ARM 11 MPCore опе-

редил свое время, то для Cortex-A9

MPCore наступил звездный час — этот

процессор получает все большее вни-

мание со стороны рынка встраивае-

мых систем.

Как следствие, поставщики СнК

приняли Cortex-A9 MPCore в качестве

основы своих проектов следующего

поколения. Более года назад компа-

ния Texas Instruments заблаговремен-

но проанонсировала платформу OMAP

Рис. 1. Гибридная архитектура AMP/SMP на SMP-архитектуре

следующего поколения — OMAP 4 с

двухъядерным процессором Cortex-A9

MPCore, производство которой наме-

чено на вторую половину 2010 г. ST

Microsystems сообщила о выпуске быто-

вых устройств следующего поколения

на базе Cortex-A9 MPCore.

В сфере потребительской электро-

ники также возникла потребность в

многоядерных платформах в резуль-

тате растущего спроса на большие

вычислительные возможности и

более сложные пользовательские

интерфейсы. Таким образом, пришло

время совершенствования и про-

граммного обеспечения, которое

должно соответствовать функцио-

нальности многоядерного оборудо-

вания.

РАЗВИТИЕ SMP И AMPМногоядерные процессоры можно

разделить на две категории: асимме-

тричный мультипроцессор (Asymmetric

Multi Processor, AMP) и симметричный

мультипроцессор (Symmetric Multi

Processor, SMP). Как правило, в AMP

ядра архитектурно отличаются друг

от друга — каждое из них выполняет

свою систему команд с соответствую-

щей операционной системой (ОС) или

даже без нее.

В AMP операционная система,

как правило, выполняется на одном

ядре в соответствии с некоторым

методом (вероятнее всего, собствен-

ной разработки) для осуществления

взаимодействия между ядрами. Она

управляет всеми устройствами, нахо-

дящимися в ее распоряжении, с мини-

мальным совместным использованием

ресурсов. В отличие от AMP, SMP состо-

ит из идентичных ядер центрального

процессора, которые обслуживают те

же события, имеют одинаковый набор

команд, пользуются той же памятью

и совместно используют одинаковые

устройства, прерывания и контроллер

когерентности кэш-памяти. SMP позво-

ляет равномерно распределять нагруз-

ку между ядрами.

Операционная система процессора

SMP может использовать все ядра, нахо-

дящиеся в ее распоряжении, назначая

потоки, обслуживающие устройства и

прерывания на любом ядре SMP.

Можно ли воспользоваться преиму-

ществами AMP на процессоре SMP? Да,

это возможно. Нецелесообразно управ-

лять всеми ядрами в режиме SMP толь-

ко потому, что это SMP-оборудование.

В некоторых оптимизациях система

может и должна разделяться между

несколькими ОС. К такой оптимизации

относится реализация функций AMP на

процессоре SMP.

Гибридный метод (см. рис. 1) может

быть идеальным решением в том слу-

чае, когда SMP-оборудование делится

между несколькими частями ОС, при-

чем каждая из них функционирует на

нескольких ядрах. Например, рассмо-

трим СнК на базе 4-ядерного процес-

сора SMP.

Разделим операционную систему

на две области, например, ОСРВ (Real-

Time Operating System, RTOS) и неко-

торую разновидность ОСОН (General

Purpose Operating System, GPOS). Ядра

0 и 1 относятся к области 0, а ядра 2 и

3 — к области 1. Если все ОС поддер-

живают работу как SMP-, так и AMP-

процессоров, реализуется наиболее

совершенная конфигурация.

Разработчики приложений или

системные интеграторы обычно испы-

тывают трудности, связанные с мигра-

цией кода для одного ядра на несколь-

ко ядер SMP-системы. В этой связи

возникают два важных вопроса: готов

ли код для многоядерного процессора;

код учитывает преимущества многоя-

дерной системы?

ГОТОВ ЛИ КОД ДЛЯ МНОГОЯДЕРНОГО ПРОЦЕССОРА?При подготовке кода к запуску

на планировщике SMP необходимо

учесть все приоритеты, которые могут

нарушить порядок исполнения кода.

Известны следующие две основные

причины потенциальных проблем при

исполнении кода на многоядерной

системе.

Page 38: Электронные компоненты №5/2010

ВС

ТР

АИ

ВА

ЕМ

ЫЕ

СИ

СТ

ЕМ

Ы

41

Электронные компоненты №5 2010

1. Использование мастера прерывания в качестве гло-

бального семафора. Семафор является объектом, который

предотвращает одновременный доступ к совместно исполь-

зуемому ресурсу. Однако его, как правило, применяют в ОС

на единственном ядре в качестве «быстрого» семафора в

масштабе всей системы. Он выглядит следующим образом:

Disable Interrupts

Access and update the global data structure

Enable Interrupts

Из этого псевдокода видно, что при осуществлении досту-

па к структуре глобальных данных не выполняется даже пре-

рывание, что хорошо соблюдается для систем с одним ядром

и выполняется намного быстрее, чем с помощью семафора.

Однако при функционировании двух ядер и выполнении

кода прерывания отключены только на том ядре, на котором

он выполняется в текущий момент времени, и структура дан-

ных открыта для доступа других назначенных ядер SMP. Это

условие состязания делает систему открытой для непредска-

зуемых результатов.

2. Использование приоритета для правильного обслу-

живания системы. Планирование на основе приоритета,

пригодное для системы с одним ядром, может потерпеть

неудачу в случае многоядерной платформы, если оно исполь-

зуется только как гарантия исполнения потока с наивысшим

приоритетом.

При назначении исполнения задачи низшего приорите-

та на отдельном ядре метод блокировки одновременного

доступа двух потоков к данным приводит к возникновению

условия состязания. Одним из решений этой проблемы явля-

ется исключение модификаций структуры глобальных дан-

ных с помощью потоков наивысшего приоритета в каждой

подсистеме. Общепринятая практика в отношении одного

ядра создает потенциально опасное условие состязания для

многоядерной системы.

Наконец, даже если составлен план работы операци-

онной системы на SMP с несколькими ядрами, необходи-

мо предусмотреть достаточное количество потоков для

использования всех ядер. Следует изменить архитектуру

приложения таким образом, чтобы нагрузка была равно-

мерно распределена между всеми ядрами. Такой подход не

только сделает SMP-систему безопаснее, но и оптимизирует

ее работу со всеми ядрами.

AMP-СИСТЕМЫAMP можно использовать в SMP-оборудовании. На самом

деле, это идеальное взаимоотношение между несколькими

объектами операционной системы. Исполнение кода одно-

временно с его разделением между областями операцион-

ной системы является эффективным методом повышения ее

безопасности и производительности.

Такой подход обеспечивает каждую операционную систе-

му детерминистской средой с выделенным кэшем для испол-

нения. В идеальном случае можно назначить AMP одно или

несколько ядер и воспользоваться механизмом межпроцес-

сорной связи (Inter-Processor Communication, IPC) для взаи-

модействия между разными ОС.

Если в системе исполняется одноядерный код безопасно-

сти, можно назначить ядро набору потоков, которые должны

находиться в одноядерном режиме и использовать IPC для

взаимодействия между ядрами. Несмотря на то, что эти дей-

ствия не позволят полностью распределить нагрузку между

ядрами, код действительно будет исполняться, даже если он

не предназначен для работы на многоядерных системах.

Способность системы присвоить несколько исполняе-

мых потоков определенному ядру из среды планирования

SMP реализуется в технологии ограниченных вычислитель-

ных областей (Bounded Computational Domains, BCD), раз-

работанной компанией Mentor Graphics для ОСРВ Nucleus.

Технология BCD позволяет всей системе работать в качестве

единой ОС, гарантируя при этом исполнение на ядре только

назначенных ему потоков. Этот метод идеален для унасле-

дованных приложений, которые не в состоянии работать с

SMP, но нуждаются в тесной интеграции с другими задачами

системы.

Большинство операционных систем использует механизм

IPC для взаимодействия между областями ОС. Проблема

применения IPC-механизмов в том, что они запатентованы и

одновременная работа двух операционных систем, напри-

мер ОСРВ и Linux, с разными методами IPC может оказаться

достаточно проблематичной.

Для решения проблемы использования запатентован-

ных IPC-механизмов ассоциация Multicore Association

создала стандарт на основе API под названием Multicore

Communication API (MCAPI). Если поставщики ОСРВ и ОСОН

принимают MCAPI, любой код, записанный для API, портиру-

ется в другую систему, а все IPC-коды остаются неизменными.

Стандарт MCAPI позволяет при необходимости переносить

код в соответствии с требованиями к синхронизации.

ЗАКЛЮЧЕНИЕИспользование многоядерных СнК стало привычным

решением в 2010 г. Операционные системы быстро приспоса-

бливаются к работе с оборудованием, оснащенным процес-

сорами SMP и AMP. Кроме того, поставщики ОС принимают

новые стандарты в отношении механизмов межпроцессор-

ного взаимодействия, к числу которых относится MCAPI.

Этот стандарт намного больше облегчает работу нескольких

ОС в многоядерной системе, чем поначалу можно было бы

ожидать.

Page 39: Электронные компоненты №5/2010

42

ВС

ТР

АИ

ВА

ЕМ

ЫЕ

СИ

СТ

ЕМ

Ы

WWW. ELCP.COM

В статье рассмотрены особенности популярных интерфейсов PCIe и USB

и приложения, в которых они используются. Проводится сравнение харак-

теристик PCIe и USB и обсуждаются те их свойства, которые необходимо

учитывать разработчикам при построении оптимальной системы меж-

соединений во встраиваемых приложениях. Статья представляет собой

перевод [1].

USB И PCI EXPRESS:

ПЕРСПЕКТИВНЫЕ ИНТЕРФЕЙСЫ

ДЛЯ ВСТРАИВАЕМЫХ СИСТЕМ

АКБЕР КАЗМИ (AKBER KAZMI), менеджер сбыта, PLX TECHNOLOGY

Рис. 1. Применение интерфейса USB в системе DAS

USB и PCI Express — две интерфейс-

ные технологии, которые за последние

20 лет получили широкое распростра-

нение в промышленности, компью-

терных системах и потребительской

электронике. За это время технологии

в соответствии с растущими потреб-

ностями рынка прошли несколько

этапов увеличения скорости передачи

данных и улучшения функциональных

возможностей, поддерживая в то же

время совместимость с предыдущими

поколениями аппаратуры и программ-

ного обеспечения. Высокая надеж-

ность этих интерфейсов привлекает

внимание и другие отраслевые сегмен-

ты рынка, например, сегмента встраи-

ваемых систем, в которых с успехом

используется широкая доступность и

низкая стоимость интерфейсов, обу-

словленная крупносерийным произ-

водством.

USB 3.0 — СВЕРХСКОРОСТНОЙ ИНТЕРФЕЙС USBUSB-технология пережила значи-

тельный прогресс с момента появ-

ления в 1995 г. в качестве простого

интерфейса типа plug-and-play для

подключения мыши и клавиатуры.

Первая версия интерфейса, известная

как USB 1.0, имела скорость передачи

данных 1,2— 12 Мбит/с. В начале 2000 г.

была представлена версия USB 2.0,

которая поддерживает скорость до

480 Мбит/с, что позволило создать

множество приложений, требующих

высокой передачи данных. В настоящее

время интерфейсом USB 2.0 комплек-

туются видеокамеры, приводы внеш-

него диска, флэш-устройства, цифро-

вые фотоаппараты, принтеры, сетевые

адаптеры и широкий ряд других при-

ложений потребительской электрони-

ки. Интерфейс USB – ключевое звено

реализации цифровой революции в

потребительской электронике.

Использование интерфейса USB 2.0 в

таких приложениях, требующих широ-

кой полосы пропускания, как видео-

плееры и драйверы высокоскоростных

дисков, показало, что в них ввод/вывод

данных стал узким местом, поэтому

была разработана следующая версия

интерфейса — USB 3.0. Эта версия поя-

вилась в 2008 г. Она известна также под

названием «Сверхскоростной интер-

фейс USB» (SuperSpeed USB). USB 3.0

обеспечивает десятикратное улучше-

ние быстродействия по сравнению

с USB 2.0 и поддерживает скорость

5 Гбит/с. Кроме многократного увели-

чения скорости, в USB 3.0 реализованы

дополнительные возможности, такие

как повышенное напряжение питания

шины, управление питанием и явная

маршрутизация пакетов. В таблице 1

Таблица 1. Сравнение USB 2.0 и USB 3.0

Характеристики USB 2.0 USB 3.0

Скорость передачи данных 12 Мбит/с и 480 Мбит/с 5 Гбит/сИнтерфейс данных Полудуплексный, дифференциальная пара Двойной симплексный, две дифференциальные парыТранзакции Хост-ориентированная, широковещательная рассылка пакетов Хост-ориентированная, маршрутизация пакетовПитание шины Высокий/низкий уровень напряжения питания Увеличенное на 50—80% напряжение питания по сравнению с версией 2.0

Page 40: Электронные компоненты №5/2010

ВС

ТР

АИ

ВА

ЕМ

ЫЕ

СИ

СТ

ЕМ

Ы

43

Электронные компоненты №5 2010

сравниваются ключевые особенности

USB 2.0 и USB 3.0.

Разъемы интерфейса USB 3.0 совме-

стимы с предыдущей версией USB 2.0,

что обеспечивает простоту их вклю-

чения и использования. Хотя разъе-

мы совместимы, в действительности

они различаются, т.к. интерфейс USB

3.0 требует дополнительных сигналов

для поддержки дуплексной высоко-

скоростной связи и питания. Имеются

некоторые ограничения на длину кабе-

ля USB 3.0 по сравнению с предыдущей

версией, поскольку скорость передачи

сигналов значительно выше.

Хотя производители x86-сов-

местимых процессоров только собира-

ются выпускать устройства с поддерж-

кой USB 3.0, несколько вендоров уже

представило такие продукты и реали-

зует их крупными партиями. Высокая

скорость, новые функциональные воз-

можности и доступность в кремние-

вом исполнении обеспечат успешное

применение интерфейса USB 3.0 для

быстродействующего ввода/вывода

данных во встраиваемых системах,

приводах жесткого диска, видео высо-

кого разрешения и многоканальных

аудиосистемах. Кроме того, в настоя-

щее время получают развитие такие

усовершенствованные системы хране-

Рис. 2. Применение интерфейса USB в системе NAS

Таблица 2. Сравнение PCIe 2.0 и PCIe 3.0

ХАРАКТЕРИСТИКИ PCIe 2.0 PCIe 3.0

Скорость передачи сигналов 5 Гбит/с 8 Гбит/с

Скорость передачи данных 4 Гбит/с 7,9 Гбит/сИнтерфейс данных Дуплексный, дифференциальная параТранзакции Хост-ориентированное одноранговое соединениеПитание шины Мощность свыше 100 ВтРасширение протокола Расширение r2.1 Включено

ния данных как DAS (Direct Attached

Storage) и NAS (Network Attached

Storage), в которых USB 3.0 позволя-

ет достичь максимальной производи-

тельности (см. рис. 1 и 2).

PCI EXPRESSИнтерфейс PCI Express (PCIe) был

представлен, когда производитель-

ность и возможности параллельной

шины PCI достигли максимума при

64-разрядной ширине шины и такто-

вой частоте 133 МГц. PCIe представляет

собой кардинальное расширение шины

PCI. Этот интерфейс реализует после-

довательную технологию межсоедине-

ний типа «точка-точка». Интерфейс PCIe

прошел несколько этапов увеличения

производительности от 2,5 Гбит/с до

8 Гбит/с и совершенствования функ-

циональных возможностей в соответ-

ствии с требованиями встраиваемых,

графических, коммуникационных при-

ложений и систем хранения данных.

Совершенствуя быстродействие и функ-

ционал, интерфейс PCIe каждого нового

поколения, как и USB, поддерживает

совместимость с предыдущими версия-

ми в трех ключевых для встраиваемых

систем требованиях: стеке программ,

форм-факторе и протоколе. В таблице

2 приведено сравнение версий интер-

фейса PCIe 2.0 и 3.0. Ожидается, что

устройства на базе PCIe 3.0 со скоро-

стью передачи данных 8 Гбит/с будут

выпущены в текущем году.

Как и USB, интерфейс PCIe предна-

значен для приложений все возрас-

тающего числа отраслевых сегментов.

Этот интерфейс обеспечивает переда-

чу данных в канале с масштабируемой

полосой пропускания между централь-

ным процессором и портами ввода/

вывода сервера; согласует скорость

передачи в интерфейсах SAS/SATA и в

оптоволоконном канале (Fibre Channel,

FC) для устройств хранения данных;

обеспечивает высокоскоростные кана-

лы управления или каналы пакетной

обработки в коммуникациях; обслужи-

вает каналы аудио/видеопроцессоров

реального времени в потребительской

электронике и позволяет реализовать

широкополосные последовательные

каналы во многих встраиваемых при-

ложениях.

Серверы, смонтированные в стой-

ках, и блейд-серверы. Эти высококаче-

ственные приложения можно разде-

лить на два класса — смонтированные

в стойках серверы и блейд-серверы.

Графика. Эти приложения являются

основной движущей силой технологии

Page 41: Электронные компоненты №5/2010

44

ВС

ТР

АИ

ВА

ЕМ

ЫЕ

СИ

СТ

ЕМ

Ы

WWW. ELCP.COM

PCIe, т.к. соответствующее серийное

производство компонентов снижает их

стоимость. Стремительный рост про-

должается, например, в области видео-

игр, поэтому поставщики графических

чипов стараются обеспечить передо-

вые характеристики своей продукции

за счет внедрения графических про-

цессоров высокого разрешения.

Распределение видеоданных. Главной

тенденцией, которая позволяет увели-

чить производительность и улучшить

возможности настольных издательских

систем, CAD, CAM, CAID, систем финан-

сового анализа, биржевых продаж, раз-

работки программного обеспечения,

моделирования и анимации, является

использование нескольких мониторов

с помощью интерфейса PCIe.

Системы хранения данных. PCIe

обеспечивает межсоединение между

интерфейсами систем хранения дан-

ных, например FC, SCSI и SATA, и управ-

ляющими процессорами.

Промышленные/встраиваемые при-

ложения. Технология PCIe введена мно-

гими органами по стандартизации в

промышленные и встраиваемые при-

ложения, например телекоммуника-

ции, системы MicroTCA и AMC-системы.

PCIe-коммутаторы от компании PLX

Technology используются для связи

встраиваемых и сетевых процессоров

благодаря интегрированным в них

интерфейсам PCIe.

Системы безопасности. При исполь-

зовании большого числа установленных

камер высокого разрешения требуется

увеличение пропускной способности

системы. Например, устройство захва-

та изображения принимает сигналы от

видеокамер, обрабатывает их и переда-

ет информацию на главный компьютер

для анализа и выполнения соответству-

ющих процедур (см. рис. 3).

Платы цифровых видеомагни-

тофонов и ТВ-тюнеры. В настоящее

время платы цифровых видеомагни-

тофонов комплектуются обычными

PCI-интерфейсами. Однако в связи с

заменой слотов PCI на PCIe платы циф-

ровых видеомагнитофонов следующе-

го поколения будут оснащены PCIe-

интерфейсом.

СРАВНЕНИЕ ИНТЕРФЕЙСОВ PCIE И USBСравнивая интерфейсы PCIe 2.0 и

USB 3.0, можно отметить ряд совпаде-

ний и отличий, которые следует учи-

тывать при разработке встраиваемых

приложений.

Одноранговое соединение. USB-

концентратор поддерживает мно-

жество конечных устройств, однако

эти устройства не способны обмени-

ваться трафиком друг с другом. PCIe-

коммутаторы поддерживают одно-

ранговую связь. Сконфигурированные

главным компьютером, конечные

устройства могут непосредственно

связываться друг с другом. Однако

необходимо тщательно подходить

к выбору поставщика коммутатора,

т.к. некоторые поставщики требуют

поддержки однорангового соедине-

ния со стороны центрального про-

цессора.

Кабель и разъемы. Кабели и разъемы

для USB обеспечивают полную совме-

стимость всех версий. Широкое исполь-

зование USB-интерфейса в потреби-

тельской электронике обеспечило

низкую стоимость разъемов и кабе-

лей для всех версий USB. Разработка

кабелей и разъемов для PCIe в силу

ряда причин была слишком затянута.

В результате, создание спецификации

на кабель PCIe 1-го поколения заняло

слишком много времени и стало весь-

ма сложным, поэтому до настоящего

времени стоимость кабеля и разъемов

все еще чрезмерно высока. Хотя интер-

фейс PCIe 2-го поколения появился в

начале 2007 г., разработка специфи-

кации на кабель для данной версии

еще не завершена. Это было вызвано

желанием вендоров быть в стороне от

разработки спецификации на PCIe или

проектировать разъемы и кабели для

своих нужд.

Полоса пропускания. USB 3.0 работа-

ет на скорости 5 Гбит/с и обеспечивает

4,8-Гбит/с полосу пропускания в обоих

направлениях, что делает их весьма при-

влекательными для множества потре-

бительских и встраиваемых приложе-

ний. PCIe 3-го поколения работает на

скорости 8 Гбит/с, обеспечивая эффек-

тивную полосу пропускания 7,9 Гбит/с

после кодирования, а PCIe 2-го поколе-

ния работает на скорости 5 Гбит/с, обе-

спечивая 4-Гбит/с полосу пропускания

после кодирования. PCIe не только пре-

восходит USB по полосе пропускания,

но также допускает масштабирование

полосы пропускания до 16 раз за счет

использования 16 PCIe-трактов в одном

канале данных. Увеличить пропускную

способность таким способом можно в 2,

4, 8 и 16 раз.

Качество сервиса. USB не обеспе-

чивает какой-либо вид сервиса, и он

не требуется в большинстве приложе-

ний, которые обслуживает интерфейс.

PCIe поддерживает сервис благода-

ря множеству виртуальных каналов,

арбитражу портов и наличию классов

трафика. Встраиваемые приложения

могут воспользоваться этим серви-

сом.

Восстановление работоспособно-

сти. USB не обеспечивает какой-либо

механизм восстановления работо-

способности системы после сбоя в

канале. PCIe поддерживает положи-

Рис. 3. Применение интерфейса PCIe в системе видеонаблюдения

Page 42: Электронные компоненты №5/2010

ВС

ТР

АИ

ВА

ЕМ

ЫЕ

СИ

СТ

ЕМ

Ы

45

Электронные компоненты №5 2010

тельное подтверждение приема паке-

тов данных, пересылаемых между

устройствами, и ретранслирует их в

случае сбоя. PCIe также поддержива-

ет уменьшение ширины портов и/или

скорости в канале, если фиксируется

слишком много сбоев.

Управление питанием. USB под-

держивает некоторые функции

управления питанием. PCIe под-

держивает все функции управления

питанием на уровне устройства,

канала и системы.

Расширения протокола. В USB 3.0

была проведена некоторая опти-

мизация протокола для поддерж-

ки маршрутизации пакетов на базе

адресов вместо широковещательной

рассылки через USB-концентратор.

Специальная группа PCI-SIG разра-

ботала значительный список рас-

ширений протокола для того, чтобы

разработчики имели возможность

улучшать производительность систе-

мы и управлять потребляемой мощ-

ностью.

В заключение следует отметить, что

как PCIe, так и USB, являются весь-

ма ценными технологиями для раз-

работчиков встраиваемых систем.

Интерфейс USB весьма полезен для

организации связи с периферией бла-

годаря недорогому кабелю и разъему

и повсеместной доступности. К сожа-

лению, этот интерфейс нельзя мас-

штабировать для канала данных со

скоростью выше 4,8 Гбит/с. PCIe обе-

спечивает недорогую альтернативу

для межсоединений во многих встра-

иваемых приложениях. PCIe хорошо

масштабируется для поддержки при-

ложений с широкой полосой пропуска-

ния и обеспечивает ряд расширений,

по сравнению с простыми каналами

данных, например Ethernet.

ЛИТЕРАТУРА

1. Akber Kazmi. USB and PCI Express:

Ad van ced, Evolving Interconnects for Embedded

Systems//RTC Magazine. April 2010.

НОВОСТИ ЦИФРОВОЙ ЭЛЕКТРОНИКИ

| КОМПЛЕКТ ПРОГРАММНОГО ОБЕСПЕЧЕНИЯ ДЛЯ МЕДИЦИНСКОЙ ВИЗУАЛИЗАЦИИ ОТ TEXAS INSTRUMENTS ПРЕДЛАГАЕТ НОВЫЕ АЛГОРИТМЫ ОБРАБОТКИ ИЗОБРАЖЕНИЙ | Компания Texas Instruments (TI) анонсировала версию 2.0 комплекта про-

граммного обеспечения для медицинской визуализации, обновленной и расширенной коллекции алгоритмов, оптимизиро-

ванных для цифровых сигнальных процессоров TMS320C64x+TM. Дополняя широкую номенклатуру аналоговых и встроенных

решений TI для медицинской визуализации, обновленный комплект предлагает новые ядра обработки изображений, которые

сокращают время разработки продукции и запускают приложения медицинской визуализации в реальном времени, такие как

ультразвуковая диагностика и оптическая когерентная томография (ОКГ).

www.russianelectronics.ru

Page 43: Электронные компоненты №5/2010

46

WWW.ELCP.RU

ЗАИМСТВОВАНИЕ ТЕХНОЛОГИЙПлатформа Intel Core i3/i5/i7 интересна и необычна

уже тем, что число новых встраиваемых разработок на

базе Intel Core i3/i5/i7 грозит затмить все, что мы видели

до сих пор, причем произойдет это в самое ближайшее

время. Подобное развитие событий предопределено

тремя факторами разной степени банальности: 1) ростом

рынка ВКТ (встраиваемых компьютерных технологий) в

целом; 2) все более масштабным перетеканием техноло-

гий из индустрии массовых систем на рынок Embedded и

3) ускорением темпов этого перетекания.

Первый пункт в особых комментариях не нуждается:

ясно, что если рынок растет, то растет и число продуктов

на нем, особенно в самых бурно развивающихся сегмен-

тах. Второй и третий пункты относятся к разряду вещей,

лежащих на поверхности, но не очень серьезно анализи-

руемых. Между тем, процесс заимствования технологий

с рынка настольных компьютеров, ноутбуков и серверов

идет уже не первое десятилетие, и его влияние на инду-

стрию Embedded колоссально. Во встраиваемых решениях

давным-давно используются те же самые полупрово-

дниковые компоненты, шины и внешние интерфейсы, что

и в десктопах. Каждый новый процессор, появившийся

в сегменте ПК, через некоторое время в обязательном

порядке начинает устанавливаться и на встраиваемые

платы. Индустрия Embedded мало-помалу утрачивает

свою технологическую эксклюзивность, причем это

явление приобрело необратимый характер, поскольку

с течением времени его темпы лишь растут: процессоры

i7 отметились на рынке встраиваемых приложений всего

через несколько месяцев после их появления в массовом

сегменте.

Процессоры Intel Core i3/i5/i7, как и другие популярные

решения из индустрии ПК/серверов/ноутбуков, захва-

тывают индустрию встраиваемых приложений только и

исключительно потому, что представляют собой недо-

рогие технологии, прошедшие всестороннюю обкатку

в миллионах реальных систем. Рынок Embedded охотно

принимает подобную комбинацию качеств, поскольку

она позволяет экономить деньги и время (т.е., в конечном

счете, опять-таки деньги). Если посмотреть на появление

процессоров Intel Core i3/i5/i7 в секторе встраиваемых

систем под этим углом зрения, можно заметить двой-

ственность ситуации. С одной стороны, для индустрии

Embedded это действительно совершенно новые процес-

соры. Еще никогда прежде в распоряжении разработчиков

встраиваемых приложений не было x86-совместимых

компонентов с настольно большой производительностью

на Ватт потребляемой мощности. Есть основания пола-

гать, что данная особенность платформы Intel Core i3/i5/i7

будет использоваться как для расширения возможностей

существующих систем, так и для создания принципиально

С точки зрения разработчиков встраиваемых приложений, платформа Intel Core i3/i5/i7 действительно несет с

собой новое качество. Выдающиеся базовые эксплуатационные свойства этих ЦП удачно дополняются новыми

интегрированными технологиями. Преимущества результирующей комбинации характеристик уже оценили

ведущие игроки рынка Embedded, включающие изделия на базе процессоров Intel Core i3/i5/i7 во все основные высо-

копроизводительные линейки.

ЛЕОНИД АКИНШИН, к.ф-м.н.

О новизне процессоров Intel Core i3/i5/i7. Часть 1

новых решений, ориентированных на те прикладные обла-

сти, где ранее микроархитектура x86 могла иметь лишь

ограниченное применение. С другой стороны, появление

большого числа Embedded-платформ на базе Intel Core i3/

i5/i7 есть явление вполне естественное и предсказуемое,

поскольку игроки рынка встраиваемых систем проявляют

сильную заинтересованность в новых процессорах Intel,

а компания Intel не делает секрета из планов по выпуску

новых x86-совместимых процессоров. Например, холдинг

Kontron, ведущий поставщик встраиваемых компьютерных

технологий с годовым оборотом около полумиллиарда

евро, уже в начале 2010 г. анонсировал интеграцию ЦП Intel

Core i5/i7 в свои основные продуктовые линейки, начиная

с изделий типа «компьютер-на-модуле» (Computer-On-

Module, COM) и заканчивая платами для перспективных

магистрально-модульных систем стандарта VPX. В даль-

нейшем ЦП нового поколения должны появиться на абсо-

лютно всех аппаратных платформах, где использовались

высокопроизводительные x86-совместимые процессоры

предыдущих серий, поскольку чипы Intel Core i3/i5/i7 явля-

ются официально назначенными преемниками семейства

Intel Core 2. Можно с уверенностью утверждать, что в

зону ответственности продуктов на базе Intel Core i3/i5/i7

войдут и оборонно-аэрокосмический сектор, и все другие

сегменты, где уже используются или вскоре будут исполь-

зоваться высокопроизводительные x86-совместимые

решения. Получается, что, несмотря на свою полную пред-

сказуемость, приход процессоров Intel Core i3/i5/i7 в инду-

стрию встраиваемых систем является все же событием не

самым заурядным хотя бы в силу его масштабности.

ИНТЕГРАЦИЯ ИЛИ НАНОМЕТРЫ?С архитектурной точки зрения, создав платформу Intel

Core i3/i5/i7, инженеры Intel сделали шаг в том же направ-

лении, что и их коллеги из компаний Freescale (www.

freescale.com) и AMD (www.amd.com) при разработке

топовых моделей своих процессоров. Мы говорим об

интеграции в ЦП некоторых ключевых функциональных

блоков чипсета, таких как контроллер памяти и видеопод-

система (с недавних пор последняя также воспринимается

как часть чипсета). По части мастерства владения данным

архитектурным приемом компания Freescale впереди

планеты всей: ее новейшие многоядерные процессоры

содержат в себе не только контроллеры памяти, но и такие

чисто чипсетные функциональные модули как контролле-

ры PCI Express, Gigabit Ethernet и Serial RapidIO (см. рис. 1).

Встраивать в ЦП графическое ядро инженеры Freescale

пока не додумались, но к тому все идет, поскольку общий

вектор развития процессорной техники ко все большей

степени интеграции просматривается уже достаточно

отчетливо. Процессоры Intel Core i3/i5/i7 также следуют

данной общеотраслевой тенденции.

Page 44: Электронные компоненты №5/2010

47

Электронные компоненты №5 2010

Помимо интегрированных контроллеров, к числу реа-

лизованных в платформе Intel Core i3/i5/i7 нововведений

принято относить технологии Hyper-Threading и Turbo

Boost. Однако Hyper-Threading, превращающая одно физи-

ческое ядро в два виртуальных (а четыре, соответственно,

в восемь), является ровесницей процессоров Pentium 4; с

не меньшим, если не с большим, основанием можно счи-

тать новаторством увеличившиеся объемы кэш-памяти и

возросшую тактовую частоту. Прямое отношение к послед-

нему параметру имеет технология Turbo Boost, действие

которой можно охарактеризовать как «динамический

разгон»: когда от процессора требуется бóльшая произво-

дительность, его частота повышается. Время пребывания в

состоянии Turbo Boost конечно и определяется необходи-

мостью соблюдения ограничений по максимальному энер-

гопотреблению, или тепловым пакетом (Thermal Design

Power — TDP). Однако методы разгона процессоров, в т.ч.

разгона динамического, известны человечеству едва ли

не с момента появления самых первых процессоров, и тот

факт, что в случае Intel Core i5/i7 соответствующая функция

интегрирована в сам ЦП, не дает оснований считать ее

инновационной.

Но если вспомнить, что в мире, где мы живем, техноло-

гии и архитектуры вторичны по отношению к финансам

и маркетингу, что само существование технологий под-

чинено задаче удовлетворения потребностей пользова-

телей, можно увидеть иную картину. Не так уж интересно

пользователю, что именно находится внутри приобре-

таемого им продукта либо решения, для него гораздо

важнее, какие реальные преимущества этот продукт либо

это решение могут дать. Если речь идет о процессоре,

пользователю в первую очередь необходима информа-

ция не об особенностях его внутреннего устройства, а

о том, 1) насколько быстро данный процессор сможет

перемалывать числа в его задачах; 2) какую мощность он

при этом будет потреблять и 3) сколько он будет стоить

(на практике список несколько шире, однако для про-

стоты мы ограничимся тремя основными пунктами). И

вот здесь-то с процессорами Core i3/i5/i7 корпорации

Intel тягаться очень трудно: ЦП из данного семейства

являются на сегодняшний день абсолютными лидера-

ми рынка как по общей производительности, так и по

производительности на Ватт потребляемой мощности.

Добиться таких результатов специалисты Intel смогли и

за счет оптимизации архитектуры, и, что более важно,

за счет успешного освоения технологических процессов

с разрешениями 45 и 32 нм. Делать многоядерные чипы

умеет не только компания Intel, однако именно эта ком-

пания смогла стать первым производителем, освоившим

выпуск серийных полупроводниковых устройств по

32-нм процессу. В данном аспекте конкурентной борьбы

компания AMD традиционно выступает в роли догоняю-

щей — 45-нм техпроцесс покорился ей лишь год спустя

после того, как он был освоен корпорацией Intel, а пер-

вые чипы AMD с разрешением 32 нм появятся аж в 2011 г.,

когда Intel уже перейдет на следующий, 22-нм техпроцесс

(см. рис. 2).

Впрочем, перед результатами, достигнутыми в гонке

нанометров компанией Freescale, меркнут даже «достиже-

ния» AMD: процессоры марки Freescale, буквально напич-

канные разнообразнейшими новациями (см. рис. 1), до сих

пор не перешагнули даже 65-нм рубеж!

Рис. 1. Основные функциональные модули в составе флагманского двуядерного процессора Freescale PowerPC MPC8641D. Несмотря на высочайшую степень инте-грации, данный ЦП изготавливается по технологическим нормам 65 нм

Page 45: Электронные компоненты №5/2010

48

WWW.ELCP.RU

Получается, что новации новациями, а значимость

значимостью. Процессоры Intel Core i3/i5/i7 представляют

собой, безусловно, явление значимое и знаковое: благо-

даря им, разработчики встраиваемых систем получают

устройства с еще большей производительностью в уже

привычном многоядерном формате. В этом смысле появ-

ление процессоров Intel Core i3/i5/i7 можно расценить не

как революционный скачок в развитии, а как толчок, как

придание рынку Embedded дополнительного импульса в

его движении по многоядерному вектору.

НОВЫЕ ПРОЦЕССОРЫ НА МАРШЕКак мы уже говорили, лидеры рынка ВКТ весьма актив-

но принимают на вооружение процессоры Intel Core i3/

i5/i7. Такой известнейший производитель как Kontron уже

устанавливает ЦП серии Intel i7 на платы VPX, а также на

мезонины AdvancedMC и модули стандарта COM Express.

Также на базе процессоров Intel Core i5/i7 холдинг Kontron

анонсировал выпуск одноплатных компьютеров в класси-

ческом конструктиве CompactPCI, причем ясно, что этим

дело не ограничится.

ПЛАТА ФОРМАТА VPX 6U (KONTRON VX6060)В силу специфики системного стандарта VPX продукт

Kontron VX6060, выполненный в виде VPX-платы удвоен-

ной высоты (6U), рассчитан на использование в требова-

тельных приложениях с параллельной обработкой данных

и сигналов. По сравнению со своими предшественницами

плата Kontron VX6060 работает вдвое быстрее и выделяет

при этом вдвое меньше тепла (см. рис. 3).

Благодаря двум независимым вычислительным узлам

на базе процессоров Intel Core i7 с подключением к мощ-

ной коммуникационной инфраструктуре Ethernet/PCI

Express, изделие Kontron VX6060 является идеальной стро-

ительной единицей для организации интенсивной парал-

лельной обработки данных, в т.ч. в конфигурациях с топо-

логией «полноячеистая сеть». Каждый из двух имеющихся

у платы вычислительных узлов образован высокопроиз-

водительным встраиваемым процессором Intel Core i7, в

котором уже имеется контроллер памяти и графическое

ядро Intel HD Graphics, и чипсетом Intel Platform Controller

Hub (PCH) QM57, реализующим поддержку интерфейсов

Gigabit Ethernet, Serial ATA, USB 2.0 и PCI Express. При этом

Kontron VX6060 подходит для создания защищенных встра-

иваемых систем, рассчитанных на эксплуатацию в жестких

температурных условиях, где ранее применение подобных

чипов было неприемлемо в силу ограничений по энерго-

потреблению и рассеиваемой мощности.

Представители холдинга Kontron относят данную

плату к категории встраиваемых компьютерных про-

дуктов высшей производительности (High Performance

Embedded Computing, HPEC) и считают, что она и ей

подобные будут способствовать отказу от процессоров

PowerPC с технологией AltiVec, доминировавших в сег-

ментах радаров, сонаров и систем работы с изображе-

ниями на протяжении 10 лет1. По их мнению, подобные

Kontron VX6060 изделия смогут дать начало новому

классу HPEC-приложений, которые будут опираться

Рис. 2. Временной разрыв между Intel и AMD по переходу на новые технологические процессы достаточно стабилен и составляет приблизительно год

Рис. 3. Сверхпроизводительная плата Kontron VX6060, выполненная в форм-факторе VPX 6U, несет на себе два независимых процессора Intel Core i7 с соб-ственными чипсетами и подсистемами памяти

1 Авторам статьи данная точка зрения представляется спорной, подробнее см. ниже.

Page 46: Электронные компоненты №5/2010

49

Электронные компоненты №5 2010

исключительно на стандартные технологии: микроархи-

тектуру x86, операционные системы и ОСРВ для микро-

архитектуры x86 и протоколы TCP/IP. Будучи оснащен-

ной двумя многоядерными высокопроизводительными

процессорами, плата Kontron VX6060 подходит для соз-

дания защищенных встраиваемых систем, рассчитанных

на эксплуатацию в жестких температурных условиях, где

ранее применение многоядерных чипов было неприем-

лемо в силу ограничений по энергопотреблению и рас-

сеиваемой мощности.

Целевыми рынками для Kontron VX6060 являются рада-

ры, сонары, системы работы с изображениями, радиолока-

торы истребителей и беспилотных летательных аппаратов.

Платы Kontron VX6060 очень хороши для использования в

кластерных конфигурациях. С другой стороны, в защищен-

ных многодисплейных консолях и других встраиваемых

системах один-единственный модуль Kontron VX6060,

занимающий лишь один слот объединительной панели

VPX 6U, может заменить собой два независимых одно-

платных компьютера, при этом сохраняется возможность

запуска двух разных ОС на двух процессорах.

Плата Kontron VX6060 доступна в версиях с воздушным

и кондуктивным охлаждением, в т.ч. для температурного

диапазона –40...85°. Ее программная поддержка включает

BSP-пакеты на основе ОС Linux и ОСРВ VxWorks 6 2. Данное

изделие подпадает под действие программы долгосроч-

ных поставок холдинга Kontron, которая гарантирует

доступность продуктов на протяжении многих лет по

окончании их активной рыночной жизни.

МЕЗОНИН ADVANCEDMC ОДИНАРНОЙ ШИРИНЫ (KONTRON AM4020)Изделие Kontron AM4020 является самым мощным из

предлагающихся на рынке процессорных модулей фор-

мата AdvancedMC одинарной ширины. Несмотря на свои

малые габариты, он адресован наиболее требовательным

задачам, решаемым при помощи оборудования MicroTCA

и AdvancedTCA. Модуль Kontron AM4020 несет на себе

мобильный процессор Intel Mobile Core i7 с тактовой

частотой до 2,53 ГГц и является превосходным продуктом

для наращивания вычислительных и графических возмож-

ностей систем стандартов MicroTCA/AdvancedTCA.

Благодаря применению процессора нового поколе-

ния, Kontron AM4020 не имеет аналогов в своем классе.

Данный модуль построен на базе чипсета Intel QM 57

Platform Controller Hub (PCH) и в силу своей компактности

позволяет получить весьма значительную вычислитель-

ную мощь в единице объема. Чрезвычайно высокая про-

изводительность продукта Kontron AM4020 делает его

подходящим для использования в коммуникационных

системах MicroTCA и AdvancedTCA, обеспечивающих

поддержку функций IP-телевидения, медиа-серверов и

медиа-шлюзов, телеконференций и тестирования прово-

дных сетей. Кроме того, изделие Kontron AM4020 может

с успехом применяться в медицинских, аэрокосмических

и оборонных приложениях, а также в задачах автома-

тизации и обеспечения безопасности, предполагающих

быструю обработку данных. Модуль Kontron AM4020

удовлетворяет требованиям спецификации MicroTCA.1 к

продуктам жесткого исполнения, поддерживает темпера-

турный диапазон –40...70°C и рассчитан на эксплуатацию

в самых неблагоприятных механических и климатических

условиях.

Продукт может оснащаться процессором Intel Core i7

двух версий: Core i7-620LE (тактовая частота 2,0 ГГц, тепло-

вой пакет 25 Вт) и Core i7-610E (тактовая частота 2,53 ГГц,

тепловой пакет 35 Вт). Объем кэша L3 в обоих случаях

составляет 4 Мбайт, а интегрированный контроллер памя-

ти обеспечивает прямой двухканальный доступ процес-

сора к ОЗУ типа DDR ECC объемом до 8 Гбайт на частоте

1066 МГц. По сравнению с предыдущими процессорными

платами формата AdvancedMC, которые строились на базе

ЦП Intel Core 2 Duo, новый продукт обеспечивает прирост

общей производительности до 100%.

Изделие Kontron AM4020 имеет 8 портов PCI Express x1,

которые могут быть сконфигурированы как 2 интерфейса

PCI Express x4 либо как 8 одинарных интерфейсов. Кроме

того, у модуля есть четыре порта Gigabit Ethernet, два из

которых выведены на переднюю панель, а два других —

в разъем объединительной панели (спецификация AMC.2),

а также 4 интерфейса Serial ATA. Для систем без жестких дис-

ков предусмотрен флеш-накопитель объемом до 32 Гбайт с

интерфейсом Serial ATA и надежным винтовым креплением.

На передней панели продукта Kontron AM4020 имеются

порты DisplayPort и USB 2.0 типа mini. Опциональный после-

довательный интерфейс может использоваться для органи-

зации внешнего управления. Поддерживаются режим «горя-

чей» замены и функции интеллектуального управления IPMI.

Новый AdvancedMC-модуль марки Kontron может рабо-

тать под управлением операционных систем Windows XP,

Windows 7, а также ОСРВ VxWorks 6.8 и различных версий ОС

Linux, таких как RedHat 5.3, SUSE 11.2 и Wind River Linux PNE 3.X.

Продолжение см. в следующем номере.

2 Микроархитектура x86 является фактически «стандартной», и потому ясно, что для платы Kontron VX6060 могут быть без особых про-

блем разработаны BSP-пакеты на основе ОС Windows Embedded Standard, Windows 7, QNX, LynxOS и других популярных ОС и ОСРВ.

НОВОСТИ ЦИФРОВОЙ ЭЛЕКТРОНИКИ

| LINARO: ПОСЛЕДНИЙ БОЙ МЕЖДУ ARM И ATOM | Компании ARM, Freescale, IBM, Samsung, ST-Ericsson и Texas Instruments

объявили о создании компании Linaro, цель которой — усовершенствование программного обеспечения под Linux-

дистрибутивы, такие как Android, MeeGo, webOS и Ubuntu.

Первые системы будут оптимизировать Linux под новейшие процессоры ARM семейства Cortex-A. Этот вывод можно сде-

лать из заявления вице-президента ARM, тем более что у всех компаний консорциума есть продукты, основанные на ARM.

Изначально Linux была разработана под процессоры Intel («Unix для ПК»), соответственно он может работать и на

Intel Atom. Новый консорциум хочет убедиться, что Linux лучше работает на ARM платформах. Сейчас ни один из произ-

водителей полупроводников не может поставлять продукцию для нетбуков и смартфонов, не оптимизируя ее под Linux.

Объединив свои ресурсы, члены консорциума получат пакет программного обеспечения с достаточно низкой ценой и

без добавочной себестоимости. Компании не собираются конкурировать на уровне ОС и ПО.

Но в этом также заключается проблема. При одинаковом ПО будет очень трудно разнести продукцию на аппаратном

уровне. Производительность и энергопотребление будут разными, но при использовании одинаковых ARM-процессоров

эти различия будут минимальны.

www.russianelectronics.ru

Page 47: Электронные компоненты №5/2010

50

ИЗ

МЕ

РИ

ТЕ

ЛЬ

НЫ

Е С

РЕ

ДС

ТВ

А и

СИ

СТ

ЕМ

Ы

WWW.ELCP.RU

В статье представлен метод проектирования измерительной системы

сбора данных для управления высокоэнергетическим электромагнитным

клапаном. Приводится анализ применения существующих типов датчи-

ков тока, положения и температуры, дается численная оценка внешнего

магнитного поля соленоида и расчет электромагнитной совместимо-

сти. Подробно рассмотрен перспективный дистанционный магнитооп-

тический датчик тока для силовой катушки. Представлена структур-

ная схема измерительной системы для контроллера разрабатываемого

высокоэнергетического электромагнитного клапана нового поколения.

ПРОЕКТИРОВАНИЕ ИЗМЕРИТЕЛЬНОЙ

СИСТЕМЫ ДЛЯ КОНТРОЛЛЕРА

ЭЛЕКТРОМАГНИТНОГО КЛАПАНАВАЛЕРИЙ ЖИЖИН, вед. инженер-разработчик, ЗАО «Криогенная технология»

В настоящее время цифровые систе-

мы управления повсеместно вытесняют

аналоговые. Это связано, прежде всего,

с широким распространением цифро-

вых сигнальных процессоров и много-

функциональных микроконтроллеров.

Их использование позволяет достаточ-

но легко реализовать алгоритм управ-

ления практически любой сложности и

подстраивать его при изменении внеш-

них факторов. В последние годы тен-

денция перехода от аналоговых про-

мышленных контроллеров к цифровым

коснулась также нефтегазовой и хими-

ческой промышленности. Вместе с тем,

существующая промышленная техника

измерений в большинстве своем осно-

вывается на аналоговых принципах и

зачастую не может обеспечить требуе-

мую точность измерения контролируе-

мых величин в условиях современного

производства.

Особенно остро это проявляется

в трубопроводных комплексах, где

используются мощные электромагнит-

ные (ЭМ) клапаны. Они получают все

большее распространение, т.к., в отли-

чие от электромоторных, имеют высо-

кое быстродействие и практически

незаменимы при устранении аварий-

ной ситуации. Вместе с тем, ЭМ-клапаны

имеют следующий ряд особенностей.

– Для управления запорными

задвижками используются значитель-

ные импульсные токи 5...15 А;

– Высокоиндуктивные 2...20-Гн

соленоиды запасают магнитную энер-

гию порядка 100…500 Дж и при сра-

батывании за счет электромагнитной

индукции создают сильные электромаг-

нитные помехи в широком спектре (до

100 кГц) на расстояниях порядка длины

соленоида. Особенно это характерно

для цифровых систем с ШИМ- и ЧИМ-

управлением. Генерация помех проис-

ходит на фронтах и срезах сигналов

управления.

– В приводе присутствуют квази-

стационарные магнитные поля, порож-

даемые намагниченностью материала

сердечника.

– Происходит разогрев обмотки

и материала сердечника управляю-

щего соленоида за счет поглощения

части магнитного потока (скин-эффект)

и теплового действия идущего через

соленоид тока.

Все перечисленные факторы необ-

ходимо учитывать при проектирова-

нии блока управления и системы сбора

данных с сенсоров клапана.

СБОР ДАННЫХ С ДАТЧИКОВ ТОКА И ТЕМПЕРАТУРЫ В качестве примера проектирова-

ния измерительной системы рассмо-

трим систему для однокатушечного

запорного ЭМ-клапана нового поко-

ления, разрабатываемого в настоящее

время. Он управляет работой отсечным

затвором, регулирующим расход газа.

Для данного типа устройства необхо-

димо контролировать значение тока в

соленоиде в момент старта и удержа-

ния, отслеживать начальное и конеч-

ное положение штока, связанного с

клапаном (датчики верхнего и нижнего

уровней), а также температуру рабочей

среды. Сформулируем требования к

измерительной системе, состоящей из

датчиков и платы сбора данных.

1. Плата сбора данных находится на

расстоянии порядка 1 м относительно

корпуса электромагнитного клапана и

должна быть помещена в экранирован-

ный корпус.

2. Измерительная система должна

иметь помехоустойчивый канал связи

с автономным блоком управления или

промышленным контроллером. В каче-

стве интерфейса был выбран стандарт-

ный промышленный интерфейс RS-232.

3. Контроль крайних положений

штока необходим с точностью ±0,5 мм.

При достижении верхнего положения

стартовый сигнал постоянного тока

переключается на ШИМ-сигнал удержа-

ния.

4. Измерение температуры рабочей

среды в диапазоне –55…150°С с точно-

стью ±2°С.

5. Измерение рабочего тока.

Стартовые токи в силовых катушках

находятся в диапазоне 5…10 А при дли-

тельности импульса 1…5 с, а средние

токи удержания затвора составляют

порядка 0,5...1 А при скважности удер-

живающего ШИМ- или ЧИМ-сигнала

равной 10. Временной диапазон и диа-

пазон значений токов связан с различ-

ными энергетическими характеристи-

ками электромагнитных клапанов.

Токоизмерительное устройство

должно обеспечить измерение указан-

ных величин токов с точностью не хуже

3% и временем обработки и выдачи

результата в блок управления не более

1 мс. Точность измерения амплитуды

тока связана с требованиями к допу-

стимым флуктуациям магнитомеха-

нической силы старта/удержания, а

высокое быстродействие необходимо,

т.к. данное устройство дополнительно

выполняет функцию аварийного отклю-

чения блока управления в случае обры-

ва или короткого замыкания силовой

катушки.

Поскольку требуется проводить

измерение тока в широком диапазоне

и в условиях сильных электромагнит-

ных помех от силовой катушки, с целью

повышения помехозащищенности про-

цесса измерения и обработки сигнала

были выбраны бесконтактные методы

измерения тока соленоида, основан-

ные на измерении вектора магнитной

индукции в данной точке с последую-

щим вычислением тока через катушку

соленоида. Для выбора способа измере-

ния проведем оценку величины магнит-

ной индукции для токов старта и удер-

Page 48: Электронные компоненты №5/2010

ИЗ

МЕ

РИ

ТЕ

ЛЬ

НЫ

Е С

РЕ

ДС

ТВ

А и

СИ

СТ

ЕМ

Ы

51

Электронные компоненты №5 2010

жания, а также величины индуктивных

наводок на датчик поля. Проведенные

предварительные измерения показали,

что длительность фронта индуктивно-

го выброса на срезе сигнала управ-

ления составляют примерно 100 мкс,

что соответствует длине волны излуче-

ния ~30000 м, что намного больше раз-

мера соленоида, и его эффективность

как излучающей антенны близка к нулю.

Поэтому в расчетах электромагнитных

помех будем учитывать только индук-

тивную составляющую.

ОЦЕНКА ВЕЛИЧИНЫ МАГНИТНОЙ ИНДУКЦИИВ данном электромагнитном при-

воде используется соленоид со сле-

дующими характеристиками: отно-

сительная магнитная проницаемость

сердечника μ = 2000; ток в режиме стар-

та составляет Is = 10 А, а в режиме удер-

жания требуется средний ток If = 1А.

Количество витков обмотки N = 4500

при внешнем и внутреннем радиусах

намотки A1 = 62 мм и A2 = 32 мм, соот-

ветственно. Высота сердечника солено-

ида Lm = 115 мм. Сигнал управления в

режиме удержания представляет собой

ШИМ импульсную последовательность

с периодом 100 мс и скважностью 10

(может изменяться системой автома-

тического регулирования для стабили-

зации тока удержания If в процессе

работы). Длительность сигнала старта

составляет около 2 с.

1. Оценка информационной состав-

ляющей магнитного поля (ИМП).

ИМП состоит из двух составляю-

щих: собственного поля обмотки; поля

намагниченного ферромагнитного сер-

дечника.

В [1] предложена оригинальная

методика расчета магнитного поля

соленоида через магнитные моменты

его составляющих. При этом принима-

ется, что наибольший результирующий

магнитный момент ЭМ-клапана возни-

кает после срабатывания, когда якорь и

сердечник образуют единую ферромаг-

нитную конструкцию.

Магнитный момент обмотки созда-

ется током, протекающим в ее гори-

зонтальных витках, и определяется

формулой:

MJ = π∙Is∙N∙(A12 +A1∙A2 + A22)/3, А∙м2. (1)

Магнитный момент эквивалентного

ферромагнитного сердечника рассчи-

тывается по формуле:

MQ = V∙H/[Kr + 1/(μ — 1)], А∙м2, (2)

где V — объем сердечника, м3; H —

напряженность магнитного поля обмот-

ки в сердечнике, А/м; Kr — коэффициент

размагничивания сердечника по оси Z.

Величины H и Kr вычисляются по

довольно сложным и громоздким фор-

мулам и, чтобы не утомлять читателей,

мы приводим только конечный резуль-

тат. Для выбранных характеристик кла-

пана H = 2,7∙(10Е + 5) А/м, Kr = 1,2.

С учетом одинакового направления

векторов MJ и MQ результирующий маг-

нитный момент определяется выраже-

нием:

МА = MJ + MQ. (3)

Исходя из особенностей конструкции

корпуса привода и для достижения мак-

симальной чувствительности датчика

магнитного поля, следует расположить

сенсорный элемент параллельно оси

соленоида в плоскости Z, как показано на

рисунке 1. Величина R составляет поряд-

ка 20 мм, поэтому при оценке величин

магнитного поля токов и индуктивных

наводок можно ограничиться расчетом

z-компоненты вектора магнитной индук-

ции, создаваемой силовой катушкой:

Bz = 100МА∙(3z2 — r2)/r3∙r2, Тл, (4)

где r = , м.

Коэффициент 100 учитывает гео-

метрию соленоида, рассеяние магнит-

ного потока вне соленоида, относи-

тельную магнитную проницаемость

Page 49: Электронные компоненты №5/2010

52

ИЗ

МЕ

РИ

ТЕ

ЛЬ

НЫ

Е С

РЕ

ДС

ТВ

А и

СИ

СТ

ЕМ

Ы

WWW.ELCP.RU

сердечника и магнитную постоянную,

Тл∙м/А. При у = R = 0,2 м и рассчитан-

ным МА = 626 А∙м2 получим Bz = 7,9 Тл в

импульсе длительностью 10 мс.

Следует заметить, что погрешности

данного метода расчета магнитной

индукции ЭМ-клапанов могут состав-

лять порядка 15—30%, причем боль-

шие из них относятся к случаю, когда

корпус клапана изготовлен из материа-

лов с относительно высокой магнитной

проницаемостью.

Установленные элементы внутри

ЭМ-клапана подвержены влиянию вибра-

ционных воздействий, возникающих при

его работе, в результате чего с течением

времени происходит линейное смеще-

ние элементов, которое может достигать

1—5 мм. Этот фактор особенно важно

учитывать при размещении бесконтакт-

ного датчика тока. В противном случае, в

процессе эксплуатации изделия придет-

ся производить перекалибровку датчика

тока, что далеко не всегда возможно.

На графике (см. рис. 2а) показана

зависимость Bz от расстояния вдоль у.

Зависимость Bz от расстояния х анало-

гична. С помощью графика на рисунке

2б можно оценить погрешность пози-

ционирования датчика в вертикальном

направлении z.

2. Оценка величины ЭДС электро-

магнитной индукции.

Как известно из теории электромаг-

нитной индукции, величина наводимой

ЭДС определяется производной маг-

нитного потока по времени. В случае

импульсного токового сигнала с дли-

тельностью фронта Δt, который создает

магнитный поток ΔФ = rt∙Bz∙S перпен-

дикулярно плате датчика площадью S,

ЭДС можно оценить по формуле:

U = ΔФ/Δt, В. (5),

Коэффициент rt ~ 0,8 учитывает

рассеяние магнитного потока в кор-

пусе ЭМ-клапана. При Δt = 100 мкс и

S = 1 см2 напряжение U ~ 6 В. Эта вели-

чина сравнима с порогов ым напряже-

нием пробоя для 3- и 5-В КМОП-схем,

и соответствующие наведенные токо-

вые импульсы могут привести к выходу

из строя или временному нарушению

работы интегральных датчиков.

ВЫБОР ДАТЧИКОВ ДЛЯ ИЗМЕРИТЕЛЬНОЙ СИСТЕМЫ1. Датчики положения

Исходя из полученных оценок элек-

тромагнитных помех и квазистацио-

нарных магнитных полей, представля-

ется нецелесообразным использовать

традиционные магнитоконтактные

сенсоры (герконы) и дифференциаль-

ные датчики положения (LVDT). В дан-

ном случае оптимальным вариантом по

отношению к показателю функциональ-

ность/стоимость явилось применение

экранированных щелевых оптронов с

апертурой оптической системы 0,25 мм.

Оптроны имеют выход с открытым кол-

лектором и подключаются через пре-

дохранитель F1 к помехоподавляющей

схеме, состоящей из триггера Шмидта

на микросхеме 74НСT14, на вход кото-

рого включен пассивный Г-образный

ФНЧ LC-фильтр и защитные быстродей-

ствующие супрессоры серии 1.5КЕ6V8

фирмы Transil (см. рис. 3). Назначение

супрессоров — поглощать энергию кон-

дуктивных импульсных помех, которые

возникают на шлейфе связи «оптрон —

плата сбора данных». Выходы триггеров

Шмидта подключаются к цифровым пор-

там микроконтроллера.

2. Температурный датчик

В проектируемой измерительной

системе было решено использовать

недорогой интегральный полупрово-

дниковый датчик температуры AST 1100

с линейным выходом. Датчик соответ-

ствует указанным требованиям и имеет

передаточную характеристику

U = E/5∙(1,375 + 0,0225T), (6)

где U — выходное напряжение, В; Е —

напряжение питание микросхемы, В;

Т — измеряемая температура, °С.

Выход AD 1100 подключается через

активный повторитель и RC-цепь ко

входу 12-разрядного АЦП микрокон-

троллера (МК) платы сбора данных.

Рис. 2а. Зависимость Bz от расстояния вдоль оси у

Рис. 2б. Зависимость Bz смещения по оси z

Рис. 1. Датчик располагается параллельно оси соленоида в плоскости Z

Page 50: Электронные компоненты №5/2010

ИЗ

МЕ

РИ

ТЕ

ЛЬ

НЫ

Е С

РЕ

ДС

ТВ

А и

СИ

СТ

ЕМ

Ы

53

Электронные компоненты №5 2010

Постоянная времени RC-цепи была выбрана равной 100 мс.

Ко входу температурного канала также подключаются защит-

ные диоды 1.5КЕ6V8, а для повышения помехозащищен-

ности в МК программно реализован цифровой КИХ-фильтр

Баттерворта четвертого порядка с частотой среза 10 Гц.

3. Датчики тока

В настоящее время в промышленности и технике физи-

ческого эксперимента получили широкое распространение

методы дистанционного измерения постоянных или медлен-

но меняющихся токов, использующие эффект Холла [2], и на

основе анизотропного магниторезистивного эффекта (АМР)

в тонких пленках [2]. Вместе с тем, благодаря развитию и

удешевлению элементной базы оптоэлектроники, появлению

дешевого и технологичного в монтаже пластикового (POF)

оптоволокна получают распространение и оптические мето-

ды измерений, в частности, магнитооптические датчики [3].

1. В бесконтактных датчиках Холла используется кольце-

вой магнитопровод с зазором, в котором установлен магни-

точувствительный элемент. Токоведущая жила проходит через

центр кольца. При этом все поле сосредоточено в зазоре,

и внешнее влияние практически отсутствует. Этот принцип

измерения тока реализован в интегральных датчиках ком-

пании Allegro Microsystems серии ACS 7xx. Эти компоненты

включают в себя электронную схему обработки сигнала и

схему термокомпенсации. Интегральный сенсор ACS712ELC-

015 позволяет измерять токи в диапазоне 0...15 А в частотной

полосе 0—50 кГц, имеет линейную передаточную характери-

стику с коэффициентом нелинейности ±1,5%, что в принципе

позволяет его использовать для решения нашей задачи.

Передаточная функция датчика ACS712ELC-015 определяется

выражением:

U = 0,1I + 2,5, (7)

где U — напряжение на выходе микросхемы, В; I — измеряе-

мый ток, А.

2. Работа анизотропных магниторезистивных датчиков

основана на способности длинной пермаллоевой пленки

(NiFe) изменять свое сопротивление в зависимости от ориен-

тации протекающего через нее тока и направления вектора

намагниченности. Внешнее магнитное поле поворачивает

вектор намагниченности пленки на угол θ. Это значение

зависит от направления и величины поля.

Сопротивление пленки определяется выражением:

R = R0 + ΔR∙cos2θ. (8)

Величина ΔR составляет ~10% от R0.

АМР-датчики строятся по мостовой схеме, которая подклю-

чается к внешнему измерительному усилителю. Датчики этого

типа обладают высокой чувствительностью и линейностью.

Предварительные эксперименты, проведенные с одноо-

севым АМР-датчиком HMC 1001 фирмы Honeywell, показали,

что при измерении стартового тока (сильное магнитное

поле) происходит насыщение чувствительного элемента,

и для измерения более слабых полей (режим удержания)

датчик необходимо сбрасывать с помощью дополнительной

схемы формирования импульса размагничивания, разме-

щаемой рядом с датчиком. Кроме того, в непосредственной

близости (20…50 мм) от микросхемы сенсора должен рас-

полагаться и измерительный усилитель, т.к. величина диф-

ференциального сигнала с моста датчика мала (~1...10 мВ).

Плата со схемой обработки и усиления сигнала должна быть

тщательно экранирована.

Таким образом, учитывая необходимость в электрон-

ных схемах в составе или вблизи рассмотренных датчи-

ков и рассчитанную выше величину ЭДС наводки электро-

магнитной индукции, сравнимую с напряжением пробоя

Page 51: Электронные компоненты №5/2010

54

ИЗ

МЕ

РИ

ТЕ

ЛЬ

НЫ

Е С

РЕ

ДС

ТВ

А и

СИ

СТ

ЕМ

Ы

WWW.ELCP.RU

Рис. 4. Функциональная схема магнитооптического измерителя тока

Учитывая, как показано выше, что

между величиной магнитной индук-

ции B и протекающим в обмотке соле-

ноида током существует однозначная

связь, магнитооптический датчик можно

использовать для измерения тока.

На практике угол поворота плоско-

сти поляризации удобнее всего реги-

стрировать через изменение интен-

сивности световой волны. Для этого

активный магнитооптический кристалл

помещают между поляризатором и

анализатором, расположенными под

углом 45°. Тогда отношение мощности

световой волны на выходе ко входу

определится соотношением

Рout/Рin = exp(–αL)∙(1+sinφ) (10),

где α — коэффициент поглощения све-

товой волны в кристалле.

В технике физического эксперимен-

та для измерения магнитных полей

получили распространение магни-

тооптические монокристаллы желе-

зоиттриевого граната. Датчики на их

основе обладают чувствительностью

порядка 15% от изменения интенсив-

ности света на каждые 100 Э магнитной

индукции в частотной полосе ~15 кГц.

Колебания амплитуды выходного сиг-

нала составляет около 2% при темпе-

ломления n. Показатели преломления n+

и n– для излучения правой и левой кру-

говых поляризаций становятся различ-

ными (магнитная анизотропия). Различие

n+ и n– приводит к тому, что поляризо-

ванные по правому и левому кругу ком-

поненты излучения распространяются в

среде с различными фазовыми скоро-

стями, приобретая разность фаз, которая

линейно зависит от оптической длины

пути. В результате на выходе из вещества

плоскость поляризации монохромати-

ческой световой волны поворачивается

на угол φ. При этом знак угла поворота

плоскости поляризации не зависит от

направления распространения света (по

вектору магнитной индукции или против

него). Существенно лишь, чтобы вектор

индукции был параллелен направлению

распространения оптической волны.

Угол фарадеевского вращения φ при

магнитной индукции B и длине оптиче-

ского пути в веществе L выражается как

φ = V∙B∙L, радиан, (9)

где V — постоянная Верде, учитываю-

щая магнитооптическую активность

для данного вещества. Величина посто-

янной Верде зависит от химического

состава вещества, температуры и рабо-

чей длины волны.

КМОП-элементов (более 5 В для 3-В

элементной базы и более 7 В для 5-В

компонентов), приходится значительно

усложнять конструкцию датчика тока

соленоида.

3). В качестве альтернативы можно

использовать магнитооптический метод

измерения тока. Данный метод — срав-

нительно новый способ бесконтактно-

го относительного измерения, осно-

ванный на эффекте Фарадея, поэтому

подробно рассмотрим его физический

принцип и способ реализации токового

сенсора.

Магнитооптический эффект заклю-

чается в том, что при распространении

линейно поляризованного света через

вещество, находящееся в магнитном

поле, наблюдается вращение плоско-

сти поляризации света. Это открытие

М. Фарадея в 1845 г. явилось первым

доказательством наличия прямой связи

между магнетизмом и светом.

Проходящее через среду линей-

но поляризованное излучение всегда

может быть формально представлено

как суперпозиция двух поляризованных

по правому и левому кругу волн с проти-

воположным направлением вращения.

Намагниченное оптически прозрачное

вещество в общем случае нельзя оха-

рактеризовать единым показателем пре-

Рис. 3. Электронная схема защиты датчика положения

Page 52: Электронные компоненты №5/2010

ИЗ

МЕ

РИ

ТЕ

ЛЬ

НЫ

Е С

РЕ

ДС

ТВ

А и

СИ

СТ

ЕМ

Ы

55

Электронные компоненты №5 2010

ратуре –30–120°С. Рабочая длина световой волны составляет λ = 1,3 мкм.

Данные характеристики вполне удовлетворяют требова-

ниям измерения тока в электромагнитных клапанах. Кроме

того, фарадеевские датчики не содержат полупроводнико-

вых компонентов и поэтому нечувствительны к электромаг-

нитным помехам.

Таким образом, эти факторы позволяют использовать

магнитооптический датчик с волокном в качестве линии

передачи для измерения тока силовой катушки в электро-

магнитном клапане.

МАГНИТООПТИЧЕСКИЙ ИЗМЕРИТЕЛЬ ТОКАФункциональная схема магнитооптического измерителя

тока показана на рисунке 4.

Для повышения помехозащищенности измеритель рабо-

тает на гармонической поднесущей 10 кГц. Светодиодный

излучатель 1 с выходной стабилизированной оптической

мощностью каждые 0,5 с (скважность 2) излучает некогерент-

ные световые волны, которые по волоконно-оптическому

кабелю 2 подводятся к магнитооптическому датчику 4,

заключенному между поляризатором 3 и анализатором 5.

Промодулированное за счет фарадеевского вращения свето-

вое поле поступает в фотоприемник 6 на основе малошумя-

щего р-i-n фотодиода, где происходит его преобразование в

электрические колебания. Полученный АМ-сигнал выделяет-

ся полосовым фильтром 7 и поступает на вход гомодинного

синхронного детектора 10, выход которого связан с пор-

том АЦП микроконтроллера. Опорный сигнал формируется

из задающего гармонического колебания с помощью цепи

фазовращателя 8 и компаратора 9. Фазовращатель обеспе-

чивает синхронизацию опорного и детектируемого сигналов

с учетом фазовых задержек в элементах тракта. Применение

синхронного детектора позволяет повысить отношение сиг-

нал/шум в тракте измерения примерно на 6 дБ.

Программное обеспечение микроконтроллера рассчи-

тывает ток по предварительно снятой для каждой силовой

катушки зависимости Рout/Рin = f(I) с учетом температурных

коэффициентов.

В настоящее время идет макетирование магнитооптиче-

ского датчика тока для нового электромагнитного клапана.

ИЗМЕРИТЕЛЬНАЯ СИСТЕМАИзмерительная система состоит из платы сбора данных,

к которой подключен модуль питания и датчики. На плате

сбора данных размещен управляющий микроконтроллер,

стабилизированные источники питания датчиков, схемы

предварительной обработки сигналов со щелевых оптронов,

Рис. 5. Структурная схема измерительной системы

температурного датчика, оптоэлектронный модуль датчика

тока и схема преобразования UART — RS-232, соединенная

с блоком управления. Сигналы с датчиков обрабатываются

12-разрядными АЦП микроконтроллера.

Структурная схема измерительной системы изображена

на рисунке 5.

Алгоритм работы измерительной системы состоит в сле-

дующем.

– Программное обеспечение (ПО) микроконтролле-

ра осуществляет цифровую КИХ- фильтрацию четвертого

порядка (реализованы фильтры Баттерворта) для сигналов

с температурного и магнитооптического датчиков. Полоса

среза фильтров — 10 Гц по уровню –3 дБ. 12-разрядные АЦП

работают со скоростью 100 выб./с.

– Сигналы со щелевых оптронов нижнего и верхнего

положения штока привода вызывают прерывание основной

программы и передачу по RS-232 соответствующих маркеров

в блок управления.

– ПО микроконтроллера осуществляет опрос токового

и температурного датчиков с частотой 1 Гц. Данные также

передаются в блок управления.

– В случае возникновения аварийной ситуации в блок

управления передается сигнал аварии с помощью резкого

изменения температуры или тока в силовой катушке.

ЗАКЛЮЧЕНИЕРазвитие техники высокоэнергетических электромаг-

нитных клапанов, появление потребности нефтеперера-

батывающей и химической промышленности в запорно-

регулируемых клапанах с линейным электромагнитным

управлением, находящихся в настоящее время на стадии

разработки, а также ужесточение требований к отказоустой-

чивости трубопроводной арматуры диктуют необходимость

в создании высокоточных многоканальных помехоустойчи-

вых измерительных систем. Поэтому основное направление

развития подобных систем должно быть связано с широ-

ким внедрением методов цифровой обработки сигналов и

применением датчиков на новых физических принципах —

нанофотоники, МЭМС-технологии, магнитных наноматериа-

лах. В 2010 г. отдельные виды этих изделий стали появляться

на российском рынке.

ЛИТЕРАТУРА

1. С. Щучинский. Проектирование и выбор электромагнитных

приводов для трубопроводной арматуры. ЦНИТИХИМНЕФТЕМАШ.

1991 г. с. 49—55.

2. А. Борисов. Современные АМР-датчики. Компоненты и тех-

нологии. №7. 2006 г.

3. А. Полищук. Некоторые применения интегральных датчи-

ков Холла. Компоненты и технологии. №7. 2006 г.

4. Т. Окоси и др. Волоконно-оптические датчики. Пер. с японско-

го. Энергоатомиздат. 1991 г. с. 157—161.

Page 53: Электронные компоненты №5/2010

56

WWW.ELCP.RU

Расширение областей применения светодиодов опре-

деляется не только снижением их стоимости, но и стоимо-

сти драйверов. Ключевое свойство новинок — низкая цена

при высокой функциональности.

Разработками драйверов светодиодов в ON Semi

занимаются два подразделения: компания Semiconductor

Components Industries LLC, вошедшая в состав ON Semi в

декабре 2004 г., и фирма Catalyst Semiconductor Inc., кото-

рая примкнула к ON Semi в 2008 г. Префиксы NSI, NCL, NUD,

NLSF, NCP имеют разработки подразделения компании

Semiconductor Components Industries LLC, а микросхемы

Catalyst Semiconductor Inc. — префикс CAT.

ЛИНЕЙНЫЕ ДРАЙВЕРЫ СВЕТОДИОДОВНесмотря на то, что линейные драйверы светодиодов

не обеспечивают высокой эффективности использования

энергии источника, они обладают несомненными преиму-

ществами, к которым относятся простота применения,

отсутствие ЭМИ, дешевизна. Во многих применениях,

например в автомобильном секторе, эффективность менее

важна, чем цена. В секторе линейных драйверов можно

выделить двухполюсники с фиксированным значением

тока; трехполюсники с регулируемым током и многока-

нальные драйверы. Выходы линейных драйверов пред-

ставляют собой генератор тока. Стабильный ток в широком

диапазоне входных напряжений обеспечивает постоянную

яркость и долговечность светодиодов.

NSI50010YT1G — МАЛОМОЩНЫЙ ЛИНЕЙНЫЙ ИСТОЧНИК ПОСТОЯННОГО ТОКА ДЛЯ СВЕТОДИОДОВ Особенностью микросхемы генератора тока на 10 мА

является то, что это двухполюсник, не требующий каких-либо

дополнительных элементов для задания тока. Значение тока

постоянное и задается внутренней структурой.

Компания ON Semiconductor выпускает широкую номенклатуру светодиодных драйверов с использованием раз-

личных технологий преобразования энергии. Номенклатура драйверов ON Semi постоянно обновляется и рас-

ширяется в соответствии с требованиями рынка. В статье дается обзор новинок светодиодных драйверов,

разработанных компанией ON Semi за последние полгода. В составе новинок — драйверы Flyback для сетевых све-

тодиодных источников, линейные драйверы, драйверы для модулей задней подсветки ЖК-панелей, драйверы для

автомобильных светодиодных фонарей. Высокая эффективность преобразования энергии при малых размерах

изделий, адекватной цене и высокой степени надежности — ключевые элементы стратегии фирмы.

ИРИНА РОМАДИНА, бренд-менеджер по продукции ON Semiconductor, «Компэл»

Новинки микросхем светодиодных драйверов ON Semi

По сути, это электронный балласт для очень эконо-

мичных решений, являющийся отличной альтернативой

традиционному токозадающему резистору. Уровень цены

электронного балласта практически равен цене мощного

SMD-резистора (около 7 центов).

Широкий температурный диапазон –55…150°С, а также

широкий диапазон входных напряжений (до 50 В) микро-

схем драйверов обеспечивают возможность использова-

ния их как в автомобильном, так и в промышленном секто-

рах. На рисунке 1 показаны эквивалентная схема и корпус

драйвера NSI5001YTIG.

Для увеличения тока приборы допускают параллельное

включение. На рисунке 2 показаны варианты использова-

ния электронного балласта для питания светодиодов на

основе драйвера NSI50010YT1G.

Микросхема найдет широкое применение в цепях

питания маломощных светодиодных цепей, в частности,

в автомобильном секторе (питание светодиодов прибор-

ных панелей, локальных источников подсветки, например

ключа зажигания, порогов и прочее).

ОБЛАСТИ ПРИМЕНЕНИЯ– автомобильный сектор: светодиодная подсветка

поворота на боковых зеркалах, подсветка приборной

панели;

– светодиодные ночники, световые указатели (аварий-

ный выход), декоративная подсветка, подсветка надписей

и значков приборных панелей;

– генераторы тока для телекоммуникационных модем-

ных цепей (Switch Contact Wetting).

В телекоммуникационных сетях довольно часто

используются контактные соединения типа «скрутка» или

соединители с прижимными контактами. Эти контакты

могут окисляться или намокать, приводя к увеличению

Рис. 1. Эквивалентная схема и корпус драйвера NSI50010YT1G Рис. 2. Варианты использования электронных балластов

Page 54: Электронные компоненты №5/2010

57

Электронные компоненты №5 2010

сопротивления линии и нарушению связи. Использование

генераторов тока в модемных линиях позволяет обеспе-

чить прохождение импульсных сигналов в связном кана-

ле, несмотря на изменение сопротивления в контактных

соединениях.

NSI45060DDT4G И NSI45090DDT4G — РЕГУЛИРУЕМЫЕ ИСТОЧНИКИ ТОКА ДЛЯ ПИТАНИЯ СВЕТОДИОДОВИсточники тока имеют одинаковые структуры и рас-

считаны на больший ток, чем у драйвера NSI50010YT1G.

Диапазон регулирования тока в NSI45060DDT4G: 40…100 мА.

Диапазон регулирования тока в NSI45090DDT4G: 90…160 мА.

Максимальное входное напряжение для обоих драйверов:

45 В. Корпус DPAK обеспечивает рассеяние мощности до

2,7 Вт. Установка тока производится внешним резистором

по цепи управления Radj.

Эти драйверы, как и NSI50010YT1G, допускают для уве-

личения тока параллельное включение. Области приме-

нения — автомобильный сектор, декоративная подсветка,

подсветка световых указателей. Температурный рабочий

диапазон микросхем: –55…150°С.

6-КАНАЛЬНЫЙ КОНТРОЛЛЕР-ДРАЙВЕР СВЕТОДИОДОВ CAT4026Микросхема CAT4026 представляет собой 6-канальный

источник тока для питания цепочек светодиодов сред-

ней мощности в модулях задней подсветки ЖК-панелей.

В драйвере реализованы следующие функции: защита от

коротких замыканий, обнаружение обрывов в цепочках,

адаптивная обратная связь по SMPS, раздельная регули-

ровка тока по каналам, термозащита, общее диммирова-

ние по всем каналам в режиме ШИМ или аналоговом режи-

ме. Микросхема выпускается в корпусе SOIC-28. Драйвер

может также использоваться и в светодиодных источниках

освещения.

NCV7680 — ЛИНЕЙНЫЙ ТОКОВЫЙ РЕГУЛЯТОР И КОН-ТРОЛЛЕР ДЛЯ УПРАВЛЕНИЯ СВЕТОДИОДНОЙ СИСТЕМОЙ ЗАДНЕЙ ФАРЫ АВТОМОБИЛЯВ заднем фонаре автомобиля размещаются габарит-

ные огни, габаритные сигналы и стоп-сигналы. В одних

конструкциях фонарей каждый из световых сигналов

представлен отдельным сегментом, которому соответ-

ствует свой источник света. Пока доминирующим источ-

ником света в задних фонарях остаются лампы накали-

вания мощностью 5 и 21 Вт. Распространенной является

и конструкция заднего фонаря, в которой световые

сигналы габаритов и стоп-сигнала реализованы в одном

сегменте, а для его подсветки используется двухнитевая

лампа накаливания 21/5 Вт яркостью до 300 лм. Нить 5 Вт

включается для обозначения габаритов, а 21 Вт — для

включения стоп-сигнала. Габаритные огни включаются

водителем, а стоп-сигнал связан с педалью торможения.

Фары с лампами накаливания имеют массу недостатков:

низкая световая эффективность, большая температура в

районе цоколя, необходимость использования красных

светофильтров уменьшает и без того малый КПД источ-

ника света, нити лампы чувствительны к вибрации и тря-

ске. Для формирования заданной световой диаграммы

используется отражатель сложной формы, для которого

требуется приличная глубина конструкции фонаря.

Включение и выключение лампы стоп-сигнала при каж-

дом торможении существенно уменьшают ресурс ламп

до нескольких сотен, а то и десятков часов. Смена ламп в

заднем фонаре в ряде случаев является непростой опе-

рацией, требующей времени и навыков. Для стоп-сигнала

существенным является время задержки от момента

нажатия на тормоз до получения номинальной яркости

свечения нити. Среднее время зажигания лампы состав-

ляет около 200 мс.

Светодиодные фонари лишены всех этих недостатков.

Во-первых, в конструкции не требуется красный свето-

фильтр — достаточно использовать красные светодиоды.

Световая эффективность красных мощных светодиодов

выше, чем у ламп накаливания. Светодиоды не боятся тря-

ски. Их ресурс в тысячи раз больше, поэтому при монтаже

светодиодов можно полностью отказаться от ненадежной

системы цоколь-патрон.

Быстродействие светодиода — несколько сотен микро-

секунд, поэтому время включения светодиодного стоп-

сигнала на 200 мс меньше, чем сигнала на лампе накалива-

ния. Водитель следующей машины заметит этот световой

сигнал и начнет торможение раньше на 200 мс. При тормо-

жении на скорости 120 км/ч это даст лишние 6,6 м, которые

позволят избежать столкновения.

Как правило, в светодиодных задних фонарях исполь-

зуются массивы светодиодов средней мощности. Массив

состоит из нескольких цепочек светодиодов. Сигнальный

сектор заднего фонаря может содержать до 50 светодио-

дов. На рисунке 5 показана конструкция светодиодного

фонаря автомобиля серии BMW7.

Массив светодиодов обеспечивает более эффективное

распределение света, не требуя отражателя. Угловая диа-

Рис. 3. Эквивалентная схема и корпус источника тока NSI45060/90DDT4G

Рис. 4. При использовании светодиодной подсветки в задней фаре можно суще-ственно сократить объем пространства ниши. Оранжевый контур — свето-диодный модуль с боковой подсветкой. Оранжевая контурная линия — гибкая плата модуля с прямой подсветкой

Рис. 5. Конструкция светодиодной задней фары автомобиля серии BMW 7

Page 55: Электронные компоненты №5/2010

58

WWW.ELCP.RU

грамма распределенного источника света согласована с

условиями наблюдения как со стороны дороги, так и со

стороны тротуара.

Секция стоп-сигнала разделена на две зоны из 15 и

20 отдельных светодиодов.

Драйвер NCV7680 спроектирован специально для обе-

спечения комбинированной функции стопового и габа-

ритного огня в одной фаре и состоит из восьми линейных

программируемых источников тока. На рисунке 6 показана

схема применения драйвера NCV7680.

Драйвер NCV7680 обеспечивает два уровня яркости,

меньший уровень — для сигнала габаритов, больший — для

стоп-сигнала. Для формирования дополнительных уровней

яркости может использоваться и ШИМ-модуляция. Для

сигнала «Стоп» используется постоянный ток через свето-

диоды, а для габаритов — ШИМ. Дополнительный внешний

балласт на FET-транзисторе обеспечивает защиту от повы-

шения напряжения в бортовой сети.

ОСНОВНЫЕ ХАРАКТЕРИСТИКИ– постоянный ток до 75 мА для каждой цепочки свето-

диодов;

– диагностика обрывов цепей (индикация обрыва

выходом флага);

– управление сигналами ШИМ Slew Rate для снижения

уровня ЭМИ;

– вход для сигнала внешней модуляции яркости;

– встроенный генератор ШИМ 1 кГц для регулировки

яркости;

– установка внешними резисторами токов для огней

«Стоп» и «Габариты»;

– защита от перенапряжения.

ОСНОВНЫЕ ПРИМЕНЕНИЯ– управление светом задних фонарей с комбинирова-

нием огней «Стоп» и «Габариты» (RCL);

– управление светом фонарей дневного света Daytime

Running Lights (DRL);

– управление светом противотуманных фар (Fog Lights);

– управление светом линейки светодиодов заднего

центрального фонаря стоп-сигнала;

– управление световыми сигналами фонарей поворот-

ников, а также других дополнительных фонарей для обозна-

чения сигнала поворота на крыльях и боковых зеркалах;

– модули задней подсветки ЖК-панелей.

За счет ШИМ можно регулировать яркость стоп-сигнала

в соответствии с силой нажатия на педаль тормоза.

Водитель следующей сзади машины может оценить силу

торможения и принять решение о степени торможения,

чтобы предотвратить столкновение. Это повышает безо-

пасность движения.

Использование в задних фарах массивов дискретных

светодиодных источников в совокупности с возможно-

стью диммирования позволяют реализовать новые очень

полезные функции с позиции повышения комфортности

управления и безопасности движения.

Предложена новая концепция адаптивных световых

сигналов «Стоп» и «Габариты». В зависимости от условий

освещенности дороги (день-ночь), погодных условий

(туман или сильный дождь), меняется площадь освещен-

ных секторов световых сигналов «Стоп» и «Габариты», а

также яркость включенных секторов. Кроме того, стоп-

сигнал может индицировать и силу торможения за счет

изменения яркости светодиодных сегментов или же за

счет включения дополнительных секторов света в фона-

ре. На рисунке 7 показан пример реализации концепции

Рис. 7. Расширение функций при индикации световых сигналов «Cтоп» и «Габариты» на секторном светодиодном заднем фонаре

Рис. 6. Схема применения драйвера NCV7680

Таблица 1. Сравнительные параметры задних фонарей на светодиодах и лам-пах накаливания

Параметры Светодиоды Лампы

Потребление, Вт 4 21

Глубина отражателя фонаря, мм 50 150

Температура внутри фонаря, °С 50 100

Ресурс, ч >20000 <1000

Надежность и периодичность замены

Обслуживание не требуется

Необходимо сменить до 15 ламп за время эксплуатации

автомобиля

Время включения, мс <1мс 200

Page 56: Электронные компоненты №5/2010

59

Электронные компоненты №5 2010

адаптивных сигналов «Стоп» и «Габариты» заднего фонаря

современного автомобиля.

Драйвер NCV6780 может использоваться для реализа-

ции концепции адаптивной яркости задних фонарей как

стоп-сигналов, так и сигнала габаритов.

В настоящее время в той или иной мере данная концеп-

ция находит все большую поддержку у известных автопро-

изводителей. Во всех новых моделях автогигантов в насто-

ящее время используются только светодиодные задние

фонари. На рисунке 8 показана реализация светодиодного

заднего фонаря новой модели автомобиля Фольксваген.

В заднем фонаре используется матрица из 48 светодио-

дов. Для драйвера NCV7680 можно увеличить число управ-

ляемых светодиодов, если использовать дополнительно

повышающий преобразователь напряжения. На рисунке 9

показана схема управления массивом светодиодов 8×8,

реализованная на базе 8-канального линейного драйвера

NCV7680 и повышающего преобразователя напряжения

NCV3163.

NCL30000 — СВЕТОДИОДНЫЙ ДРАЙВЕР С КОРРЕКЦИЕЙ КОЭФФИЦИЕНТА МОЩНОСТИ И РЕГУЛИРОВКОЙ ЯРКОСТИМикросхема NCL30000 является контроллером обрат-

ноходового преобразователя напряжения Flyback — раз-

новидности импульсного преобразователей напряжения с

гальванической развязкой. Его особенность заключается в

функции коррекции коэффициента мощности. Контроллер

предназначен для реализации сетевых светодиодных

источников света низкой и средней мощности до 20 Вт.

Выходное напряжение преобразователя позволяет под-

ключать цепочку мощных белых светодиодов от 4 до 15 шт.

Режим управления преобразованием CrM (Critical

Conduction Mode) позволяет реализовать как тополо-

гию fl yback, так и режим понижения напряжения (buck).

В режиме CrM частота переключения преобразователя

изменяется в соответствии с изменением как входного

Рис. 10. Структура схемы Flyback-преобразователя на основе контроллера NCL30000

Рис. 9. Режим с повышением напряжения бортовой сети для увеличения числа светодиодов в матрице фары до 64

Рис. 8. Светодиодные фонари в новой модели Фольксваген

Page 57: Электронные компоненты №5/2010

60

WWW.ELCP.RU

напряжения, так и тока в нагрузке, что позволяет умень-

шить потери на переключении, а также на выпрямлении

во вторичной цепи. Контроллер обеспечивает низкий

стартовый ток и малый ток в цепи датчика обратной связи.

Микросхема драйвера обеспечивает надежную работу в

широком диапазоне температур −40…125°C.

NCL30001 — СЕТЕВОЙ СВЕТОДИОДНЫЙ ДРАЙВЕР-КОНТРОЛЛЕР ДЛЯ ИСТОЧНИКОВ СВЕТА МОЩНОСТЬЮ ДО 150 ВТ Структура этого контроллера отличается от NCL30000.

Во-первых, он рассчитан на диапазон большей отдаваемой

в нагрузку мощности — 40…150 Вт. В нем используется

режим понижающего преобразования AC/DC с гальвани-

ческой развязкой и схемой импульсного управления типа

CCM (Continuous Conduction) с постоянной частотой преоб-

разования. Частота преобразования может регулироваться

в диапазоне 20…250 КГц. Микросхема также обеспечивает

уменьшение акустических шумов в цепях нагрузки за счет

патентованного алгоритма Soft−Skip™. В микросхеме также

используется функция коррекции мощности, как и в драй-

вере NCL30001. В структуре драйвера имеется встроенная

защита от перенапряжения, перегрева и от понижения

входного напряжения. Микросхема имеет корпус SOIC-16.

Рис. 11. Структура преобразователя напряжения для питания светодиодных источников света с мощностью 40…150 Вт

Рис. 12. Базовая схема применения драйвера NCP3066

Рис. 13. Схема демонстрационной платы NCP3066DFSEPGEVB

Page 58: Электронные компоненты №5/2010

61

Электронные компоненты №5 2010

Микросхема драйвера обеспечивает надежную рабо-

ту в широком диапазоне температур от −40…125°C.

Основные области применения — внутреннее и наружное

освещение, уличные светильники, ландшафтная и архитек-

турная подсветка.

NCP3066 — ИМПУЛЬСНЫЙ ДРАЙВЕР СВЕТОДИОДОВ Драйвер NCP3066 (см. рис. 12) обеспечивает питание

цепочки мощных белых светодиодов постоянным током

до 1,5 A. Регулятор может работать как в режиме Step-

Down (Buck), так и в режиме Step-Up (Boost), а также как

инвертор напряжения. Широкий диапазон входных напря-

жений 3…40 В обеспечивает надежную работу при пита-

нии от источников 12–36 В. Частота преобразования может

подстраиваться вплоть до 250 кГц. Встроенный источник

опорного напряжения имеет очень низкий номинал, всего

235 мВ, что обеспечивает низкие потери на датчике тока

в цепи обратной связи. Через вывод ON/OFF может обе-

спечиваться как диммирование источника света, так и

перевод в режим энергосбережения с выключением тока

в нагрузке. Управление яркостью выполняется с помощью

ШИМ или аналогового сигнала. Модификация NCV3066

ориентирована специально на автомобильный сектор и

имеет расширенный рабочий температурный диапазон

–40…125°С. Микросхема может также использоваться в

качестве источника тока для зарядных устройств аккуму-

ляторов.

Схема демонстрационной платы NCP3066DFSEPGEVB

приведена на рисунке 13.

ДЕМОНСТРАЦИОННЫЕ ПЛАТЫ СВЕТОДИОДНЫХ КОН-ТРОЛЛЕРОВ И ДРАЙВЕРОВДля отладки проектов и оценки возможностей светоди-

одных регуляторов компания ON Semi разработала серию

демонстрационных плат. Демо-платы доступны и для рос-

сийских разработчиков. Требуемый вариант демо-платы

можно выбрать из каталога и заказать через «Компэл».

В частности, разработаны демонстрационные платы

для оценки возможностей описанных в статье драйверов и

контроллеров светодиодов.

ЛИТЕРАТУРА

1. Jim Young. AND8448 Confi guring the NCL30000 for TRIAC

Dimming. ON Semiconductor.

2. И. Ромадина. Драйверы для светодиодных источников

света ON Semiconductor. Электронные компоненты. № 12. 2009 г.

3. Jim Young. NCL30000LED1GEVB/D 90–135 Vac up to 15 Watt

Dimmable LED Driver Demo Board Operation. ON Semiconductor.

4. Datasheet. NSI50010YT1G Constant Current Regulator & LED

Driver. On Semi.

Название демо-платы Тип драйвера, контроллера Основные параметры

NCL30000LED2GEVB NCL30000 15 Вт, 4–15 белых светодиодов, ток 350 мА 220/240 Vac Triac Dimmer, PF >0,96

NCL30000LED3GEVB NCL30000 17 Вт, 350 мA, диапазон сетевого напряжения 90…305 Vac, без диммирования

NCL30001LEDGEVB NCL30001 80 Вт, 0,9 PF CC LED DRIVER, диапазон сетевого напряжения 90…265 Vac, выходной ток до 1,5 А

NCP3066DFSEPGEVB NCP3066DFN SEPIC DB, диапазон входных напряжений до 40 В. Размер платы:

77×37×15мм

NCV7680PWR2GEVB NCV7680 и NCV3163 (Boost Regulator) Матрица светодиодов 8×8. Программирование тока режима «Стоп»

Page 59: Электронные компоненты №5/2010
Page 60: Электронные компоненты №5/2010

ЭЛ

ЕК

ТР

ОП

РИ

ВО

Д

63

Электронные компоненты №5 2010

Окончание. Начало см. в ЭК11, 2009 г.

ТЯГОВОЕ ЭЛЕКТРООБОРУДОВАНИЕ АВТОБУСА ЛИАЗ 5292ХХКомплект тягового электрооборудо-

вания автобуса ЛИАЗ 5292ХХ включает:

– МГ (асинхронный двигатель);

– ТАД (асинхронный двигатель);

– СП МГ, СП ТАД (силовые преобра-

зователи с микропроцессорной систе-

мой управления);

– буферный накопитель;

– контроллер верхнего уровня

(КВУ) для управления потоками мощ-

ности и тягой с органами управления

и отображения информации в кабине

водителя;

– системы охлаждения электриче-

ских машин и силовых преобразовате-

лей;

– системы питания собственных

нужд.

Силовые преобразователи выполне-

ны на интегральных интеллектуальных

силовых преобразователях SKAI фирмы

SEMIKRON. Максимальный эффектив-

ный фазный ток каждого модуля 300 А

ТЯГОВЫЙ ЭЛЕКТРОПРИВОД

В ГИБРИДНЫХ ТРАНСПОРТНЫХ

СРЕДСТВАХ. Часть 5. Разработки КТЭО для гибридных

транспортных средств в Концерне «РУСЭЛПРОМ»

СТАНИСЛАВ ФЛОРЕНЦЕВ, ген. директор, ООО «Русэлпром-электропривод»

ДМИТРИЙ ИЗОСИМОВ, зам. ген. директора по науке, ООО «Русэлпром-электропривод»

ЛЕВ МАКАРОВ, ген. конструктор, ООО «Русэлпром»

АНДРЕЙ ЗАЙЦЕВ, гл. конструктор, ОАО «Русэлпром-НИПТИ ЭМ»*

ДМИТРИЙ ГАРОНИН, техн. директор, дивизион «Русские автобусы группа ГАЗ»

1 В разработке устройств КТЭЭО и транспортных средств принимали участие сотрудники Концерна «Русэлпром» и предприятий-

изготовителей конкретной транспортной техники.

Рис. 4. Блок силовой электроники с SKAI фирмы SEMIKRON

(длительно), максимальное напряже-

ние звена постоянного тока — 900 В.

Управление ТАД и МГ реализовано на

контроллерах на базе процессоров

серии TMS 320 (векторная система

управления).

Силовые преобразователи объе-

динены в блок силовой электроники

(БСЭ) (см. рис. 4). Охлаждение блока

силовой электроники жидкостное.

Охлаждение МГ и ТАД — воздушное,

принудительное. В последующем

планируется применять жидкостное

охлаждение электрических машин.

Установка КТЭО не потребовала пере-

работки конструкции автобуса. ТАД,

МГ и блок силовой электроники рас-

положены в заднем свесе автобуса,

рядом с ДВС (см. рис. 5), буферный

накопитель — на крыше. В качестве

накопителей используются суперкон-

денсаторы компании MAXWELL, 21 Ф,

750 В (см. рис. 6). Батареи накопителя

имеют последовательно-параллельное

соединение модулей и укомплекто-

ваны управляющим контроллером

для обеспечения контроля за состо-

янием всех модулей накопительной

батареи. Контроллер разработан ООО

«Русэлпром-электропривод». Схема

контроллера и программное обеспе-

чение позволяют управлять процес-

сами заряда/разряда накопительной

батареи и вести контроль следующих

параметров:

– текущее напряжение накопите-

ля (с точностью до 0,5 В);

– текущее напряжение каждой из

емкостей в сборке (с точностью до 0,2 В);

Рис. 5. Расположение ДВС, МГ и ТАД на автобусеРис. 6. Буферный накопитель — батарея суперконденсаторов Maxwell, 21 Ф, 750 В

Page 61: Электронные компоненты №5/2010

64

ЭЛ

ЕК

ТР

ОП

РИ

ВО

Д

WWW.ELCP.RU

– симметричность заряда емкостей;

– температура окружающей среды;

– температура каждого модуля батареи накопителя.

Применение специализированных алгоритмов позволяет

вести контроль над внутренним сопротивлением накопите-

ля, учитывая его в балансе мощностей.

Тяговый электродвигатель (ТАД) развивает кратковремен-

ную мощность 250 кВт, что превышает мощность штатного

дизеля серийного прототипа — автобуса ЛиАЗ 5292 (180 кВт),

обеспечивая тем самым достойную динамику при разгоне.

Долговременная мощность ТАД соответствует долговременной

мощности МГ и ДВС — 125 кВт. Пониженная (по сравнению с

прототипом) мощность используемого в гибридном автобусе

дизеля (Cummins ISBe4+185, 136 кВт, ЕВРО-4) достаточна для

движения в городском цикле и равномерного движения на

скоростях вплоть до 80…90 км/ч, а недостаток мощности при

разгоне емкостным накопителем. В то же время снижение мощ-

ности дизеля — одна из составляющих экономии топлива.

Другой составляющей экономии топлива, возможной для

последовательной схемы, является оптимизация статиче-

ского режима работы дизеля. В соответствии с необходимой

для ТАД мощностью выбирается такое соотношение момента

ДВС и скорости его вращения, которое обеспечивает наи-

лучшую топливную экономичность. Распределение энергии

осуществляется алгоритмом управления потоками мощно-

сти, который обеспечивает основную экономию топлива за

счет следующих факторов:

– во-первых, необходимая для ТАД мощность разделяет-

ся на быстропеременную и медленно меняющуюся состав-

ляющие, первая из которых поставляется накопителем, вто-

рая — ДВС; тем самым обеспечивается работа ДВС в режиме,

близком к стационарному. При этом минимизируется также

токсичность выхлопа.

– во-вторых, накопитель может принимать энергию

рекуперации при торможении, обычно теряемую в механи-

ческих тормозах; это особенно существенно для маршрут-

ных транспортных средств с относительно частыми останов-

ками (городские автобусы).

Управление потоками мощности является функцией

КВУ, он же осуществляет управление движением, включая

логическую обработку входных сигналов кабины водите-

ля, датчиков, измерение аналоговых сигналов, управление

режимами движения автобуса, а также обработку и фикса-

цию аварийных ситуаций. КВУ имеет четыре независимых

CAN-интерфейса передачи данных, широко применяемого в

автомобильной промышленности. Два из CAN-интерфейсов

работают по протоколу CANOpen и осуществляют связь с

контроллерами мотор-генератора, тягового двигателя, нако-

пителя и табло водителя со скоростью 1 Мбит/с. По ним КВУ

получает всю необходимую информацию и осуществляет

управление элементами электрической трансмиссии. Третий

канал CAN работает по протоколу SAE J1939 со скоростью 250

Кбит/с. Контроллер верхнего уровня включен в общую сеть

J1939 автобуса и имеет возможность получать всю информа-

цию о состоянии ДВС, контроллеров ABS и ASR. По данному

каналу связи КВУ посылает задание частоты вращения ДВС.

Контроль над основными параметрами и аварийными ситуа-

циями ДВС возложен на КВУ. Если один из параметров вышел

за допустимые границы или произошла аварийная ситуация,

то КВУ выдает предупреждающее сообщение на табло води-

теля. КВУ ведет запись в энергозависимую память состояния

всех органов управления, исполнительных устройств, а также

уставок ПО управления через определенные, относитель-

но небольшие моменты времени. На пункте технического

обслуживания инженеры могут увидеть всю последователь-

ность действий водителя при работе. При возникновении

аварийной ситуации в контроллерах МГ и ТАД осуществля-

ется запись соответствующего аварийного лога во внутрен-

нюю память этих контроллеров. Впоследствии информация

о развитии аварийной ситуации по каналу CAN считывается

и записывается в КВУ с кодом этой ситуации. КВУ также запи-

сывает свой лог, предшествующий аварийной ситуации. Это

позволяет иметь полную картину состояний всех устройств

электрической трансмиссии за несколько секунд до аварии

и выявить причину неисправности. Аварийные логи могут

быть переписаны в сервисную вычислительную систему для

сохранения их на внешнем носителе; с помощью СВС можно

получить графики поведения того или иного параметра

системы перед аварией.

Основными режимами перемещения автобуса являются

движение вперед, назад и парковка (удержание автобуса в

определенном положении). Имеется режим стабилизации

текущей скорости и два уровня ограничения скорости (60 и

20 км/ч). В режиме аварийного движения возможно продол-

жение движения в ряде некритических аварийных ситуаций,

однако возможности управления при этом ограничены (нет

стабилизации скорости) и ограничена скорость движения.

Педаль тормоза объединяет две тормозные системы —

электрическое торможение с рекуперацией (в начале хода

педали) и механическое торможение (в конце хода педали).

Электрическое торможение может осуществляться до пол-

ной остановки автобуса; более того, при этом автоматически

выполняется переход к режиму парковки, и автобус удержи-

вается в одном положении без нажатия на педаль тормоза

или включения стояночного тормоза (даже на спуске или

подъеме). Для возобновления движения достаточно нажать

на педаль газа.

Структура программного обеспечения КВУ показана на

рисунке 7.

Стоимость КТЭО при серийности более 100 комплектов в

год — не более 1,5 млн. руб.

Специалистами ООО «Русэлпром-электропривод» разра-

ботано специальное программное обеспечение для сопро-

вождения, наладки и диагностики ошибок — сервисная

вычислительная система (СВС), которая предназначена для

визуализации параметров рабочих характеристик КТЭО;

предоставления и обработки графической информации;

загрузки, сохранения и отображения в графической и

табличной форме файлов аварийных логов КВУ, МГ и ТАД.

Оно может быть установлено на любой персональный ком-

пьютер (минималь ные требования — Windows XP; 1,4 ГГц

или выше; ОЗУ 512 Мбайт, объем жесткого диска — 100

Мбайт; слот PCI-Express, 2×USB 2.0). СВС предназначено для

визуализации параметров рабочих характеристик КТЭО.

Программа СВС состоит из следующих функциональных

частей (экранов).

Экран «Мониторинг» — отображение таких основных

параметров системы управления как:

– обороты ДВС;

– ток и момент мотор-генератора;

– напряжение и мощность накопителя;

Page 62: Электронные компоненты №5/2010

ЭЛ

ЕК

ТР

ОП

РИ

ВО

Д

65

Электронные компоненты №5 2010

Рис. 7. Структура программного обеспечения контроллера верхнего уровня

Рис. 8. Блок-схема стенда

– ток и момент ТАД;

– обороты ТАД;

– момент ДВС;

– положение педали газа;

– датчики давления педали тор-

моза;

– авария;

– пробой;

– перегрев;

– аварийное движение;

– аварийное отключение;

– отображение состояние и на-

правления движения автобуса (ней-

траль, вперед, парковка, назад);

– отображение параметров термо-

защиты (МГ, ТАД, СП ТАД, СП МГ);

– КПД электрической трансмиссии;

– состояние стояночного тормоза.

СВС позволяет в значительной мере

упростить процесс наладки и контроля

КТЭО, а также анализ аварийных логов,

что позволяет ускорить поиск и устра-

нение неисправностей.

СТЕНДОВЫЕ ИСПЫТАНИЯ ЭЛЕКТРООБОРУДОВАНИЯ АВТОБУСА ЛИАЗ 5292ХХ До установки на автобус штатный

комплект тягового электрооборудо-

вания прошел наладку и всесторон-

нее исследование на полноразмерном

стенде с расширенным составом изме-

рительных приборов. Стенд реализо-

ван в Цехе испытаний НИПТИЭМ. Блок-

схема стенда приведена на рисунке 8.

Кроме штатных устройств КТЭО

автобуса — МГ, ТАД, БСЭ, БН, КВУ, СВС,

систем охлаждения и систем питания

электроники — стенд содержит ими-

татор ДВС (управляемый привод пере-

менного тока фирмы KEB) и имитатор

нагрузки ТАД (также управляемый

привод переменного тока фирмы KEB).

Наладка оборудования стенда и про-

ведение испытаний осуществлялись

с помощью специально написанной

программы — автоматизированного

рабочего места (АРМ). АРМ выполняет

роль задатчика скорости для ТАД и для

имитатора ДВС, момента для имитатора

нагрузки, а также фиксирует результаты

эксперимента. При этом используются

минимальные дополнительные аппа-

ратные средства — переходник USB-

CAN, данные передаются/принимаются

КВУ по каналу CAN. В программе име-

ется возможность установить желае-

мый цикл движения (до 12-ти участков

с задаваемой постоянной скоростью на

каждом из них и с желаемыми углами

наклона дороги на каждом участке).

Задаются также коэффициенты сопро-

тивления трения качения шин, аэроди-

намическое сопротивление и настро-

ечный коэффициент для имитации

загрузки автобуса.

После отладки и настройки обору-

дования были проведены:

– статические испытания КТЭО;

Page 63: Электронные компоненты №5/2010

66

ЭЛ

ЕК

ТР

ОП

РИ

ВО

Д

WWW.ELCP.RU

Рис. 9. Прохождение испытательного маршрута, состоящего из трех участков разгона

Рис. 10. Движение в цикле НАМИ II

ному ускорению, вместе с расчетным

сопротивлением шин, силой скатыва-

ния и аэродинамическим сопротивле-

нием. Момент нагрузки имитировался

нагрузочным управляемым приводом в

моментном режиме.

Результаты отдельных испытаний

приведены на рисунках.

На рисунке 9 показано прохождение

испытательного маршрута, состоящего

из трех участков разгона до 20, 30 и

40 км/ч, соответственно, с торможе-

нием до остановки после каждого из

них. На рисунке 10 показано движение

в цикле НАМИ II с разгоном до 50 км/с

за 20 с при движении на подъеме 2%.

Из графиков 1 следует, что желаемый

график движения (заданная скорость

движения, зеленый цвет) выдержива-

ется (фактическая скорость обозначена

черным цветом), хотя необходимая для

этого мощность ТАД (третий график)

может принимать значения, значи-

тельно превосходящие возможности

МГ-ДВС. На втором графике показан

момент ТАД. Таким образом, автобус

со сниженной мощностью ДВС обеспе-

чивает необходимый темп разгона и не

будет являться помехой в транспорт-

ном потоке.

Были также проведены измерения

КПД (совокупный КПД МГ — ТАД от вала

имитатора ДВС до вала ТАД в режи-

ме максимальной мощности составил

около 0,85, что представляется доста-

точным для первого образца КТЭО —

имеются резервы повышения КПД),

проверено функционирование систем

защит, проведены тепловые испытания

КТЭО; МГ и ТАД выдерживают длитель-

ный режим 100 кВт (для МГ) и 750 Нм

(для ТАД). В процессе стендовых испы-

таний КТЭО был проверен алгоритм

реакций КВУ на аварийные ситуации.

Испытания показали:

– время реакции КВУ на аварию

составляет 3 мс;

– время записи аварийных логов

КВУ, МГ и ТАД в файлы составляет 5 с.

В процессе отладки совместной

работы СВС и КВУ получены следующие

результаты:

– на основном экране СВС отобра-

жаются все основные параметры КТЭО,

необходимые для контроля его работы;

– время обновления основных

параметров составляет 10 мс;

– СВС также отображает направле-

ния потоков мощности во всей системе;

– проверена возможность отобра-

жения параметров КВУ в виде графиков

в реальном времени;

– СВС позволяет записывать с

определенной частотой и заданной

длительностью данные в буфер и ото-

бражать их в виде графиков;

– система дает возможность расче-

та КПД КТЭО за требуемый промежуток

времени.

Таблица 2. Варианты конденсаторных систем ЭЛТОН в сравнении с системой Maxwell

Вариант 2 Вариант 6

Тип системы4×6×BMOD0063-Р125 Maxwell

2×25×20ЭК404 ЭЛТОН

36×10ЭК303 ЭЛТОН

Диапазон рабочих напряжений, В 750…375 750…375 540…270

Емкость, Ф 42 48 139

Запасаемая энергия, МДж 8,86 10,1 15,2

Масса, т 1,39 1,20 1,22

Габаритный объем, м3 2,38 0,97 0,84

Плотность запасаемой энергии, Вт∙ч/кг (Вт∙ч/л) 1,8 (1,0) 2,3 (3,2) 3,4 (5,0)

Отдаваемая энергия при мощности 360 кВт, МДж 8,2 7.4 8,2

Время разряда при мощности 360 кВт, с 23 21 23

Цена опытного образца с учетом НДС, млн руб. ? 3,96 3,68

Цена при промышленном производстве, млн руб. ? 1,0—1,3 1,1—1,9

Таблица 3. Тягово-динамические расчеты

Автобус Грузовик

Параметр90 км/ч

0%10 км/ч

20% 60 км/ч1,75%

Цикл НАМИ-20%

ПАЗ-3237 ЭГ«Валдай»

Мощность ДВС, кВт 92,6 86,3 89,7 28,3 (средняя)

Мощность ТАД, кВт 85 63,1 79 165 (максимальная)

Сила тяги, Н 3400 16700 4800 12000 (разгон)

Момент ТАД, Нм 198,6 998 213,6 522 (разгон)

Скорость ТАД, об./мин 5440 604 3623 3020 (50 км/ч)

– тепловые испытания;

– динамические испытания (имита-

ция циклов движения автобуса);

– проверка систем защит оборудо-

вания;

– проверка системы регистрации

состояния устройств.

Момент инерции автобуса моде-

лировался эквивалентным моментом

нагрузки, рассчитываемым по задан-

Page 64: Электронные компоненты №5/2010

ЭЛ

ЕК

ТР

ОП

РИ

ВО

Д

67

Электронные компоненты №5 2010

Стендовые испытания подтвердили соответствие основ-

ных характеристик оборудования КТЭО проектным значе-

ниям.

После завершения стендовых испытаний оборудование

КТЭО смонтировано на шасси автобуса. В настоящее время

концепт автобуса ЛИАЗ 5292ХХ проходит испытания на

топливную эффективность при типовом движении по улицам

города (г. Ликино).

ПРОЕКТЫ СОЗДАНИЯ ДРУГИХ ГОРОДСКИХ АВТОБУСОВСпециалистами ООО «Русэлпром-Электропривод» про-

ведены тягово-динамические расчеты и оценки характе-

ристик основных силовых узлов КТЭО для ряда различных

городских автобусов, выпускаемых предприятиями России

и Белоруссии:

– 9-м ПАЗ-3237, «Богдан»;

– 12-м 4202А («Белкоммунмаш»), МАЗ-203;

– 15-м 627006 («Волжанин»);

– 18-м ЛиАЗ-6292, МАЗ-205.

Специалистами концерна «Русэл пром» выполнено про-

ектирование всех компонентов тягового электрообору-

дования: электрических машин, силовой и управляющей

электроники, вспомогательных систем питания и охлажде-

ния для указанных выше различных автобусов. Предприятия

концерна готовы к изготовлению комплектных КТЭО.

Что касается вариантов систем буферных накопителей, то

отметим, во-первых, что «Русэлпром» проработал вариант

использования отечественных суперконденсаторов (см. табл. 2).

Во-вторых, в «Русэлпром» имеются проработки исполь-

зования в качестве буферного накопителя литиево-ионной

аккумуляторной батареи. В качестве примера приведем

тягово-динамические расчеты (см. табл. 3) автобуса ПАЗ-3237

и развозного грузовика «Валдай» (данные взяты из заявки,

поданной «Русэлпром» в августе 2009 г. в Министерство про-

мышленности и торговли РФ по конкурсу КД «Олимпиада»).

По этим данным определены исходные требования к

электрическим машинам, ДВС и буферному накопителю,

который должен обеспечить автономное движение с пита-

нием от АБ не менее 25 км (в городском цикле движения).

Емкость буферного накопителя должна быть не менее 24

кВт∙ч. Характеристики литиево-ионной батареи, удовлетво-

ряющей поставленным условиям, уже приводились ранее

(см. первую статью цикла). Поскольку такая аккумуляторная

батарея «привязана» к конкретному проекту транспортного

средства, нелишне будет повторить ее характеристики:

– количество аккумуляторов (в т.ч. в запас) — 240 (260);

– масса 385 кг;

– максимальное зарядное напряжение — 850 В;

– минимальное разрядное напряжение — 720 В;

– энергоемкость номинальная — 30,7 кВт;

– энергоемкость в конце службы — 25 кВт;

– энергоемкость при 70% DOD в конце службы — 17,5 кВт;

– стоимость с тестированием и подбором аккумулято-

ров, комплектом силовых перемычек и конструктивом —

2,5 млн руб.

Таким образом, концерн «Русэл пром» готов к разработке,

изготовлению и поставкам КТЭО для различных городских

автобусов и развозных грузовиков в комплектации, отве-

чающей требованиям заказчиков. Имеющихся наработок

достаточно не только для выполнения отдельных иннова-

ционных проектов, но и для модернизации, что представ-

ляется необходимым ввиду многообразия требований и

условий применения транспортных средств. Сопоставляя

характеристики, структуру и состав КТЭО отечественных

гибридных автобусов и их зарубежных аналогов, можно с

полным основанием утверждать, что отечественные произ-

водители транспортной техники в состоянии в кратчайшие

сроки выйти на передовые рубежи в создании современного

общественного городского транспорта нового поколения,

было бы желание. Внедрение гибридной транспортной тех-

ники может являться достойным примером инновационного

выхода отрасли транспортного машиностроения из эконо-

мического кризиса. Существенно, что создание энергосбере-

гающих, дружественных к окружающей среде транспортных

средств возможно на коммерческой основе, без привлече-

ния средств инновационной поддержки, хотя, разумеется,

финансовая и организационная помощь государственных

органов не была бы лишней.

ЛИТЕРАТУРА

1. Станислав Флоренцев, Лев Макаров, Владимир Менухов,

Игорь Варакин. Экономичный, экологичный городской гибридный

автобус//Электронные компоненты. №12, 2008 г. С. 1–9.

2. С.Н. Флоренцев, Д.Л. Гаронин, И.К. Воробьев, Л.И. Гордеев.

Городской маршрутный автобус ЛИАЗ 5292ХХ с комбинирован-

ной энергоустановкой. Часть I. Общие характеристики автобуса

ЛИАЗ 529ХХ. Электротехника. №7. 2009 г. С. 20–25.

3. Д.Б. Изосимов, С.В. Журавлев, С.В. Байда, А.А. Белоусов.

Городской маршрутный автобус ЛИАЗ 5292ХХ с комбинирован-

ной энергоустановкой. Часть II. Стендовые испытания комплек-

та тягово-энергетического оборудования автобуса ЛИАЗ 529ХХ.

Электротехника. №8. 2009 г. С. 2–7.

4. Stanislav N. Florentsev. From Russia with Automotive. AC electric

drive-train of a hybrid city bus//Power System Design Europe (PSDE). July/

August 2009. P.50–51.

5. Stanislav N. Florentsev. Traction Electric Equipment Set for AC

Electric Transmission Various Vehicles//Proceedings of International

Exhibition & Conference “Power Electronics, Intelligent Motion. Power

Quality” (PCIM-2009). 12–14 May 2009. Nurenberg. Germany. P. 625–627.

6. Изосимов Д.Б., Флоренцев С.Н. Комплекты тягового электроо-

борудования (КТЭО) транспортных средств. Международный научно-

практический семинар «Проблемы и тенденции развития автома-

тизированного электропривода», Москва, Экспоцентр, 10.06.2008 г.

Page 65: Электронные компоненты №5/2010

68

WWW.ELCP.RU

В настоящее время номенклатура ОУ более чем широ-

ка. Каждый из ведущих производителей выпускает более

сотни (а иногда и несколько сотен) типов операционных

усилителей. Так, линейка ОУ компании Maxim Integrated

Products содержит около 150 типов микросхем (не учиты-

вая исполнения в разных корпусах). На фоне этого изо-

билия разработчику сложно сделать оптимальный выбор

микросхемы для конкретного приложения. Решение

проблемы выбора предполагает определить несколько

ключевых параметров, критичных для разрабатываемого

изделия и, тем самым, ограничить список возможных вари-

антов до разумных пределов.

ОСНОВНЫЕ ПАРАМЕТРЫ ОПЕРАЦИОННЫХ УСИЛИТЕЛЕЙПараметры, которые определяют качество ОУ, принято

разделять на три группы: эксплуатационные, точностные и

динамические.

К основным эксплуатационным параметрам относят:

– минимальное и максимальное напряжение питания;

– потребляемый ток (для сдвоенных и счетверенных

усилителей дается на один канал);

– наличие свойств Rail-to-Rail по входу и выходу;

– наличие входа Shutdown (отключение от нагрузки).

К основным точностным параметрам относят:

– напряжение смещения нуля VOS;

– коэффициент ослабления синфазного сигнала (КОСС);

– коэффициент подавления пульсаций напряжения пита-

ния (КППНП), или другое название — коэффициент ослабле-

ния влияния нестабильности источников питания (КОНИП);

– входной ток IBIAS;

– спектральную плотность шума по напряжению en.

К основным динамическим параметрам относят:

– частоту единичного усиления (GBW);

– скорость нарастания выходного напряжения r.

Ограниченный объем статьи не позволяет пояснить

физический смысл данных параметров. Однако они доста-

точно подробно разобраны во всех монографиях, посвя-

щенных принципам работы операционных усилителей,

например в [1].

КЛАССИФИКАЦИЯ ОПЕРАЦИОННЫХ УСИЛИТЕЛЕЙ ПО НАЗНАЧЕНИЮВ теории ОУ [1] используется термин «идеальный опера-

ционный усилитель». В реальности приходится учитывать:

высокий, но все-таки конечный коэффициент усиления,

ненулевой входной ток, ненулевое выходное сопротивле-

ние, ограниченную полосу пропускания и т.д.

Выпускаемые промышленностью операционные уси-

лители постоянно совершенствуются, их параметры «при-

ближаются к идеальным». Однако улучшить все параметры

одновременно технически невозможно (не говоря уже о

нецелесообразности подобных мероприятий из-за стои-

мости полученного решения). Для того чтобы расширить

область применения ОУ, выпускаются различные их типы, в

У разработчиков электронной аппаратуры компания Maxim Integrated Products ассоциируется в т.ч. как один из

ведущих производителей аналоговых интегральных схем. В статье предлагается обзор микро- и маломощных

операционных усилителей (ОУ), выпускаемых компанией Maxim, а также некоторые соображения, позволяющие

сделать оптимальный для конкретного приложения выбор из широкой номенклатуры изделий компании.

НАТАЛЬЯ КРИВАНДИНА, менеджер по бренду MAXIM, ЗАО «Компэл»

Микро- и маломощные операционные усилители компании Maxim

каждом из которых один или несколько параметров явля-

ются доминирующими, а остальные на обычном уровне

(или даже чуть хуже). Это оправдано, т.к. в зависимости от

сферы применения от ОУ требуется высокое значение того

или иного параметра, но не всех сразу. Компания Maxim

использует следующую классификацию ОУ по назначению.

– Операционные усилители со сверхмалым энергопо-

треблением (микромощные). К этой категории компания

Maxim относит ОУ, типовой потребляемый ток которых не

превышает 20 мкА.

– Операционные усилители с малым энергопотребле-

нием (маломощные). К этой категории большинство про-

изводителей относит ОУ с максимальным потребляемым

током не более 500 мкА.

– Низковольтные операционные усилители — ОУ,

минимальное напряжение питания которых не превышает

1,8 В. Очень часто микро- и маломощные ОУ попадают в

категорию низковольтных.

– Малошумящие операционные усилители. Усилители,

спектральная плотность шума которых менее 15 нВ/ .

– Прецизионные операционные усилители. К данной

категории относят ОУ, напряжение смещения нуля в кото-

рых не превышает 200 мкВ.

– Высокоскоростные операционные усилители. Это

усилители, у которых скорость нарастания выходного

сигнала превышает 10 В/мкс, а частота единичного усиле-

ния — более 5 МГц.

– Высоковольтные операционные усилители.

Операционные усилители с максимальным напряжением

питания более 20 В. Как правило, минимальное напряже-

ние питания у этой группы также выше обычного.

– Операционные усилители общего назначения. Речь

идет об изделиях, при проектировании которых ни один

из параметров не считался доминирующим. С другой сто-

роны, предполагалось, что ни один из параметров не будет

завален «ниже нижнего». В силу этого изделие может быть

востребовано в самых разнообразных приложениях, в тех

фрагментах схемы, в которых к параметрам усилителя не

предъявляются какие-то повышенные требования.

Очевидно, что одно и то же изделие зачастую может

быть отнесено к двум и более группам одновременно, если

оно удовлетворяет требованиям по ключевому критерию.

В рамках данной статьи будут рассмотрены линейки

микро- и маломощных операционных усилителей, предла-

гаемые компанией Maxim.

ОПЕРАЦИОННЫЕ УСИЛИТЕЛИ СО СВЕРХМАЛЫМ ЭНЕРГО-ПОТРЕБЛЕНИЕМЛинейка ОУ со сверхмалым энергопотреблением и их

параметры приведены в таблице 1.

В последнее время растет интерес к ОУ, которые, в

отличие от традиционных двухполярных источников

питания, используют однополярный источник, причем,

все чаще низковольтный. Их распространение связано с

Page 66: Электронные компоненты №5/2010

69

Электронные компоненты №5 2010

Табл

ица 1

. Ном

енкл

атур

а и п

арам

етры

мик

ромо

щны

х ОУ к

омпа

нии M

axim

Изд

елие

Усил

ител

ей в

ко

рпус

еОд

но

пита

ние

Rail-

To-R

ail

Нап

ряж

ение

пит

ания

, ВП

отре

бляе

мы

й то

к,

мкА

Нап

ряж

ение

см

ещен

ия

нуля

, мкВ

Коэф

фиц

иент

осл

а-бл

ения

син

фаз

ной

сост

авля

ющ

ей, д

Б

Коэф

фиц

иент

под

а-вл

ения

пул

ьсац

ий

напр

яжен

ия п

ита-

ния,

дБ

Вход

ной

ток,

нА

Час

тота

ед

инич

ного

ус

илен

ия,

мГц

Скор

ость

нар

ас-

тани

я вы

ход

ного

на

пряж

ения

, В/

мкс

Спек

трал

ьная

пл

отно

сть

шум

а, н

В/V

Гц

Вход

Sh

utdo

wn

Исп

олне

ние

Auto

mot

ive

Вход

ыВ

ыхо

ды

Мин

акс.

Тип.

Мак

с.М

акс.

ICL7

631

3V

216

615

2000

8080

0,05

0,04

40,

016

100

ICL7

632

3V

216

615

2000

8080

0,05

0,04

40,

016

100

ICL7

641

42

166

1520

0080

800,

050,

044

0,01

610

0

ICL7

642

4V

216

615

2000

8080

0,05

0,04

40,

016

100

MAX

4036

1V

V1,

43,

60,

81,

220

0070

820,

010,

004

0,00

0450

0V

MAX

4037

1V

V1,

43,

61,

92,

420

0070

840,

010,

004

0,00

0450

0

MAX

4038

2V

V1,

43,

60,

81,

220

0070

820,

010,

004

0,00

0450

0V

MAX

4039

2V

V1,

43,

61,

42

2000

7084

0,01

0,00

40,

0004

500

MAX

4040

1V

VV

2,4

5,5

1220

1500

9485

100,

090,

0470

MAX

4041

1V

VV

2,4

5,5

1220

1500

9485

100,

090,

0470

V

MAX

4042

2V

VV

2,4

5,5

1220

1500

9485

100,

090,

0470

MAX

4043

2V

VV

2,4

5,5

1220

1500

9485

100,

090,

0470

V

MAX

4044

4V

VV

2,4

5,5

1220

1500

9485

100,

090,

0470

MAX

406

1V

2,5

101

1,2

500

8086

0,01

0,00

40,

005

150

MAX

407

2V

2,5

101

1,2

3000

8074

0,01

0,00

80,

005

150

MAX

409

1V

2,5

101

1,2

500

8086

0,01

0,15

0,08

150

MAX

417

2V

2,5

101

1,2

3000

8074

0,01

0,15

0,08

150

MAX

418

4V

2,5

101

1,2

4000

8074

0,01

0,00

80,

005

150

MAX

419

4V

2,5

101

1,2

4000

8074

0,01

0,15

0,08

150

MAX

4240

1V

VV

1,8

5,5

1418

1400

9485

60,

090,

0470

MAX

4241

1V

VV

1,8

5,5

1418

750

9485

60,

090,

0470

V

MAX

4242

2V

VV

1,8

5,5

1418

880

9485

60,

090,

0470

MAX

4243

2V

VV

1,8

5,5

1418

880

9485

60,

090,

0470

V

MAX

4244

4V

VV

1,8

5,5

1418

880

9485

60,

090,

0470

MAX

4289

1V

V1

5,5

914

2000

8575

150,

017

MAX

4464

1V

V1,

85,

50,

751,

270

0095

901,

50,

040,

0212

0

MAX

4470

1V

V1,

85,

50,

751,

270

0095

901,

50,

009

0,00

212

0

MAX

4471

2V

V1,

85,

50,

751,

270

0095

901,

50,

009

0,00

212

0

MAX

4472

4V

V1,

85,

50,

751,

270

0095

901,

50,

009

0,00

212

0

MAX

4474

2V

V1,

85,

50,

751,

270

0095

901,

50,

040,

0212

0

MAX

9910

1V

VV

1,8

5,5

45

1000

8095

0,01

0,2

0,1

400

MAX

9911

1V

VV

1,8

5,5

45

1000

8095

0,01

0,2

0,1

400

V

MAX

9912

2V

VV

1,8

5,5

45

1000

8095

0,01

0,2

0,1

400

MAX

9913

2V

VV

1,8

5,5

45

1000

8095

0,01

0,2

0,1

400

V

MAX

9914

1V

VV

1,8

5,5

2025

1000

8085

0,01

10,

516

0

MAX

9915

1V

VV

1,8

5,5

2025

1000

8085

0,01

10,

516

0V

MAX

9916

2V

VV

1,8

5,5

2025

1000

8085

0,01

10,

516

0

MAX

9917

2V

VV

1,8

5,5

2025

1000

8085

0,01

10,

516

0

Page 67: Электронные компоненты №5/2010

70

WWW.ELCP.RU

Таблица 2. Номенклатура и параметры маломощных ОУ компании Maxim

Изделие

Усили-телей в кор-пусе

Rail-To-RailНапряжение

питания, В

Потребля-емый ток,

мкА

Напряже-ние сме-

ще ния нуля, мкВ

Коэффи-циент

ослабле ния синфазной составляю-

щей, дБ

Коэффициент подавления пульсаций

напряжения питания, дБ

Вход-ной ток, нА

Частота единич-

ного усиле-

ния, мГц

Скорость нарас-тания

выходно-го напря-жения, В/

мкс

Спектраль-ная плот-

ность шума, нВ/

VГц

Вход Shutdown

Исполнение Automotive

Вхо-ды

Выхо-ды

Мин. Макс. Тип. Макс. Макс.

MAX4076 1 V 2,5 5,5 45 60 3500 95 95 0,2 0,23 0,09 110 —

MAX4077 2 V 2,5 5,5 45 60 3500 95 95 0,2 0,23 0,09 110 —

MAX4078 4 V 2,5 5,5 45 60 3500 95 95 0,2 0,23 0,09 110 —

MAX4091 1 V V 2,7 6 115 165 1400 90 100 180 0,5 0,2 12 V

MAX4092 2 V V 2,7 6 115 165 1400 90 100 180 0,5 0,2 12 V

MAX4094 4 V V 2,7 6 115 165 1400 90 100 180 0,5 0,2 12 V

MAX4162 1 V V 2,5 10 25 40 3000 100 110 0,1 0,2 0,115 80 —

MAX4163 2 V V 2,5 10 25 40 4000 100 110 0,1 0,2 0,115 80 —

MAX4164 4 V V 2,5 10 25 40 5000 100 110 0,1 0,2 0,115 80 —

MAX4236 1 V 2,4 5,5 350 440 50 103 120 0,5 1,7 0,3 14 V —

MAX4236A 1 V 2,4 5,5 350 440 20 103 120 0,5 1,7 0,3 14 V —

MAX4237 1 V 2,4 5,5 350 440 50 103 120 0,5 7,5 1,3 14 V —

MAX4237A 1 V 2,4 5,5 350 440 20 103 120 0,5 7,5 1,3 14 V —

MAX4281 1 V 2,5 5,5 300 500 2000 90 90 10 2 0,7 90 —

MAX4282 2 V 2,5 5,5 300 500 2000 90 90 10 2 0,7 90 —

MAX4284 4 V 2,5 5,5 300 500 2000 90 90 10 2 0,7 90 —

MAX4291 1 V V 1,8 5,5 100 225 2500 90 100 55 0,5 0,2 70 —

MAX4292 2 V V 1,8 5,5 100 225 2500 90 100 55 0,5 0,2 70 —

MAX4294 4 V V 1,8 5,5 100 225 2500 90 100 55 0,5 0,2 70 —

MAX4330 1 V V 2,4 6,5 245 325 700 87 88 65 3 1,5 28 —

MAX4331 1 V V 2,4 6,5 245 325 700 93 92 65 3 1,5 28 —

MAX4332 2 V V 2,4 6,5 245 325 1000 93 90 65 3 1,5 28 —

MAX4333 2 V V 2,4 6,5 245 325 1000 93 90 65 3 1,5 28 —

MAX4334 4 V V 2,4 6,5 245 325 1000 92 90 65 3 1,5 28 —

MAX4480 1 V 2,5 5,5 45 100 5500 86 92 0,1 0,14 0,08 100 V

MAX4481 1 V 2,5 5,5 45 100 5500 86 92 0,1 0,14 0,08 100 V V

MAX4482 2 V 2,5 5,5 45 100 5500 86 92 0,1 0,14 0,08 100 V

MAX4483 4 V 2,5 5,5 45 100 5500 86 92 0,1 0,14 0,08 100 V

MAX492 2 V V 2,7 6 150 170 500 90 110 60 0,5 0,2 25 —

MAX494 4 V V 2,7 6 150 170 500 90 110 60 0,5 0,2 25 —

MAX9617 1 V V 1,8 5,5 59 78 10 135 135 0,14 1,5 0,7 42 —

MAX9618 2 V V 1,8 5,5 59 78 10 135 135 0,14 1,5 0,7 42 —

MAX9619 1 V V 1,8 5,5 59 78 10 135 135 0,14 1,5 0,7 42 V —

MAX9620 1 V V 1,8 5,5 59 78 10 135 135 0,14 1,5 0,7 42 —

распространением устройств с батарейным питанием, в

которых используются как аналоговые, так и цифровые

компоненты. Заметим, что значительная часть номенклату-

ры ОУ со сверхмалым энергопотреблением обеспечивает

возможность работы от одного источника питания.

Экономичность при батарейном питании может

оказаться ключевым критерием. В ряде приложений

требуется непрерывная работа изделия без замены

батареи или перезарядки в течение длительного вре-

мени. В связи с этим следует отметить микросхемы

MAX4464/70/71/72/74 — операционные усилители с потре-

бляемым током 0,75 мкА. Это значение на текущий момент

является одним из лучших в отрасли. Кроме того, данные

микросхемы обеспечивают достаточно высокие для своего

класса значения КОСС и КППНП. Основной сферой приме-

нения предполагаются портативные приборы с батарей-

ным питанием.

Близкие по энергопотреблению параметры (1 мкА и менее)

обеспечивают усилители MAX4036/38 и MAX406/40. При этом

указанные микросхемы выигрывают по таким параметрам

как напряжение смещения нуля и входной ток, но уступают

по коэффициентам КОСС и КППНП и динамическим харак-

теристикам.

Хорошие динамические характеристики (опять же для

своего класса) обеспечивают семейства MAX9910/11/12/13

и MAX9914/15/16/17. Кроме того, эти микросхемы имеют

минимальную в данной категории цену и могут быть вос-

требованы в экономичных приложениях.

Достаточно сбалансированные параметры семейства

MAX406/07/09/17/18/19 позволяют считать их в рассматри-

ваемом классе в качестве усилителей общего назначения.

Следует отметить также тенденцию к использова-

нию миниатюрных корпусов интегральных схем. Так,

рассмотренные одинарные (один в корпусе) усилители

MAX4464/70, MAX9910/11 и MAX9914/15 выполнены в кор-

пусах SC70 размером 2×2 мм, что также является достоин-

ством для мобильных устройств с габаритными ограниче-

ниями.

Page 68: Электронные компоненты №5/2010

71

Электронные компоненты №5 2010

Кроме того, необходимо отметить, что, по сравнению с

другими ведущими производителями ОУ, компания Maxim

в данном классе приборов выгодно отличается широ-

кой номенклатурой предлагаемых изделий. На момент

написания статьи Maxim предлагает 38 типов микросхем

ОУ с током потребления менее 20 мкА. Компания Texas

Instruments предлагает 34 модели, Analog Devices — 12,

National Semiconductor — 10 и Intersil — 6.

ОПЕРАЦИОННЫЕ УСИЛИТЕЛИ С МАЛЫМ ЭНЕРГО-ПОТРЕБЛЕНИЕМОперационные усилители с малым энергопотреблени-

ем и их параметры приведены в таблице 2.

Ряд производителей (например, National Semiconductor)

относит к этой категории ОУ с потребляемым током до 1,5 мА.

Возможно, этот критерий был обоснован 5—10 лет назад,

но сегодня он явно устарел. Так, из 148 моделей ОУ, пред-

лагаемых компанией Maxim, этому критерию соответствуют

123 изделия, т.е. более 80% от всей номенклатуры должны

считаться маломощными. Критерию 20…500 мкА соответ-

ствуют 34 микросхемы, что представляется более разумным.

Естественно, что в рамках этого типа ОУ окажутся

микросхемы, которые можно отнести и к другим разделам

(например, к малошумящим или прецизионным ОУ).

Обратим внимание на усилители MAX4236/36A/37/37A.

По своим параметрам их можно отнести также к малошу-

мящим и прецизионным. Значения коэффициентов КОСС и

КППНП также существенно выше средних. Динамические

характеристики MAX4237/37A, конечно же, не дотягивают

до характеристик высокоскоростных ОУ, но в своем типе

являются одними из лучших. Все в совокупности делает

эти микросхемы этого семейства лидером в классе мало-

мощных усилителей.

Семейство MAX9617/18/19/20 — низковольтные пре-

цизионные ОУ. По параметру «напряжение смещения

нуля» в линейке Maxim они уступают только усилителям

MAX4238/39 (2 мкВ), которые являются одними из луч-

ших прецизионных микросхем в отрасли. Усилители

MAX9617/18/19/20 по значению коэффициентов КОСС

и КППНП также немного уступают только MAX4238/39.

Из всего сказанного следует вывод, что микросхемы

MAX9617/18/19/20 — идеальное решение для тех изме-

рительных приложений, где требуются не только высо-

кие точностные параметры, но и разумное энергопотре-

бление.

Малошумящие MAX4091/92/94 обеспечивают лучшие

в данном типе значения спектральной плотности шума,

но по совокупности характеристик уступают упомянутым

выше MAX4236/36A/37/37A. К достоинствам MAX4091/92/94

можно отнести наличие их модификаций в исполнении

Automotive.

Микросхемы MAX4330/31/32/33 являются наиболее

скоростными в данной группе. Семейства MAX4291/92/94

и MAX4480/81/82/83, не отличаясь особыми параметрами,

являются наиболее дешевыми решениями в рамках данно-

го класса ОУ.

Таким образом, подводя итоги рассмотрению линейки

маломощных ОУ компании Maxim, можно сделать вывод,

что их параметры позволяют не только подобрать реше-

ние с заданной потребляемой мощностью, но и обеспе-

чить вполне высокий уровень по одному или нескольким

дополнительным параметрам.

ЛИТЕРАТУРА

1. Г.И. Волович. Схемотехника аналоговых и аналого-

цифровых устройств. — М.: Додека-XXI, 2005.

Page 69: Электронные компоненты №5/2010
Page 70: Электронные компоненты №5/2010

АЦ

П И

ЦА

П

73

Электронные компоненты №5 2010

В статье сравниваются две архитектуры преобразователей: АЦП

последовательного приближения и сигма-дельта АЦП. Обсуждаются

их преимущества и недостатки, а также особенности их применения.

Рассмотрены базовые принципы реализации двух топологий АЦП в

микроконтроллерной архитектуре с помощью среды программирова-

ния аналоговых компонентов. Статья представляет собой перевод [1].

СОСТЯЗАНИЕ ДВУХ АРХИТЕКТУР

ПРЕОБРАЗОВАТЕЛЕЙ: АЦП

ПОСЛЕДОВАТЕЛЬНОГО ПРИБЛИЖЕНИЯ

ПРОТИВ СИГМА-ДЕЛЬТА АЦПЭНДРЮ СИСКА (ANDREW SISKA), старший инженер по применению, Cypress Semiconductor Corp.

МЕНГ ХЕ (MENG HE), менеджер продукта, Cypress Semiconductor Corp. .

Начнем состязание. В левом углу —

нынешний чемпион, АЦП последова-

тельного приближения, в противо-

положном углу — относительный

новичок, сигма-дельта АЦП.

Это будет матч, состоящий из семи

раундов, каждый из которых соответ-

ствует следующим категориям.

Точность преобразования.1.

Скорость преобразования.2.

Ошибки линейности.3.

Точность преобразования в нача-4.

ле и конце шкалы.

Дифференциальная нелиней-5.

ность.

Интегральная нелинейность.6.

Ошибка квантования.7.

Кто завоюет звание чемпиона в

этом состязании? Будет ли это АЦП

последовательного приближения со

своим «лишним весом» (в виде схемы

выборки и хранения), высокой скоро-

стью и неустойчивым процессом пре-

образования — или «легкий» сигма-

дельта АЦП с интегрирующей схемой,

методичным и точным «мыслительным

процессом»?

РАУНД 1: ТОЧНОСТЬ ПРЕОБРАЗОВАНИЯТочность преобразования отражает

погрешности коэффициента усиления

и нелинейности. Отклонение коэффи-

циента усиления от идеального значе-

Рис. 1. Топологии сигма-дельта АЦП и АЦП последовательного приближения

ния обсуждаются в данном разделе, а

ошибки нелинейности будут рассмо-

трены в раундах 5 и 6.

Имеются два вида ошибок коэф-

фициента усиления. Отклонение

сигнала из-за масштабирования

вызывается колебаниями опорного

напряжения и коэффициента усиле-

ния между входом схемы и АЦП, что

приводит к возникновению ошибки,

пропорциональной уровню сигна-

ла. Погрешность смещения вызы-

вается рассогласованием входных

каскадов во входных усилителях и

операционном усилителе, который

используется в интеграторе/компа-

раторе АЦП.

Page 71: Электронные компоненты №5/2010

74

АЦ

П И

ЦА

П

WWW.ELCP.RU

На рисунке 1 показан сигма-дельта

модулятор (интегратор, компаратор,

1-разрядный ЦАП и цифровой фильтр).

Сигма-дельта АЦП может содержать

усилитель с регулируемым коэффици-

ентом усиления на входе. Изменение

коэффициента усиления меняет вели-

чину входной емкости. Из-за колебаний

этой емкости коэффициент усиления

может отличаться от значений, которые

требуется обеспечить при калибровке

АЦП. Для компенсации ошибок смеще-

ния и коэффициента усиления вводятся

корректирующие коэффициенты для

нулевого результата преобразования,

а также результатов преобразования

для крайних значений шкалы.

С другой стороны, точность пре-

образования АЦП последовательно-

го приближения зависит от точности

опорного напряжения, внутреннего

ЦАП и компаратора. Погрешности

внутреннего ЦАП и компаратора

АЦП должны соответствовать общей

погрешности системы — любые

отклонения приводят к появлению

ошибок линейности, которые не

могут быть скомпенсированы путем

калибровки.

Таким образом, раунд 1 выигрыва-

ет сигма-дельта АЦП ввиду присущего

ему монотонного характера преобра-

зования.

РАУНД 2: СКОРОСТЬ ПРЕОБРАЗОВАНИЯВ сигма-дельта АЦП для заверше-

ния преобразования требуется 2n

выборок, следовательно, скорость

преобразования является функцией

разрешения, и поэтому более высокое

разрешение требует более длительно-

го времени преобразования. Обычные

сигма-дельта преобразователи, кото-

рые используются в цифровой аудио-

аппаратуре, имеют полосу пропуска-

ния около 22 кГц. В последнее время

на рынке появились сигма-дельта пре-

образователи с полосой пропускания

1—2 МГц и разрешением 12—20 разря-

дов. Они, как правило, содержат сигма-

дельта модулятор 4-го порядка (или

выше) с многоразрядными ЦАП в цепи

обратной связи.

В начале цикла преобразования

АЦП последовательного приближе-

ния ЦАП устанавливается на полови-

ну шкалы, и производится сравнение

измеряемого напряжения с выходным

напряжением ЦАП. На каждом после-

дующем шаге ЦАП обновляется, выби-

рается следующий разряд, и снова

производится сравнение. Цифровое

представление входного напряжения

находится методом двоичного поиска

делением пополам (последовательное

приближение).

Раунд 2 выигрывает АЦП последо-

вательного приближения из-за более

быстрого алгоритма преобразования.

РАУНД 3: ОШИБКИ ЛИНЕЙНОСТИОба типа нелинейности — диффе-

ренциальная и интегральная — зави-

сят от топологии преобразователя.

Ошибки дифференциальной и инте-

гральной нелинейности не могут быть

откалиброваны как ошибки коэффици-

ента усиления и смещения.

Точность сигма-дельта АЦП зависит

от времени установления операцион-

ного усилителя (ОУ) в интеграторе/

компараторе. Если модулятор пере-

ключается слишком быстро и ОУ не

может это отследить, то возникают

нелинейности.

Сигма-дельта АЦП по своей природе

является монотонным преобразовате-

лем, независимо от того, какое у него

разрешение. Параметры этого АЦП

заложены в топологию и не зависят от

точных номиналов компонентов или

согласования элементов.

В АЦП последовательного при-

ближения погрешности линейности

связаны с точностью внутреннего

ЦАП и компаратора. Погрешность

вследствие нелинейности в таких

АЦП является побочным эффектом

Рис. 2. Некоторые аналоговые компоненты, доступные в программируемой аналоговой микроконтроллерной архитектуре. Разработчики имеют возможность реа-лизовать компоненты, которые лучше подходят для данного приложения

Page 72: Электронные компоненты №5/2010

АЦ

П И

ЦА

П

75

Электронные компоненты №5 2010

топологии АЦП последовательного

приближения.

Раунд 3 выигрывает сигма-дельта

АЦП.

РАУНД 4: ТОЧНОСТЬ ПРЕОБРАЗОВАНИЯ В НАЧАЛЕ И КОНЦЕ ШКАЛЫСигма-дельта преобразователь

демонстрирует повышенную нели-

нейность при определенных выход-

ных кодах. Это определяется КИХ-

фильтром, который используется в

качестве дециматора преобразовате-

ля. Нелинейность проявляется наибо-

лее заметно в конечных точках шкалы.

Нелинейность в конечных точках не

имеет значения при обработке непре-

рывного потока данных, как, например,

в цифровом аудио; однако в системах,

в которых требуются линейные изме-

рения сигнала полного размаха, сигма-

дельта-преобразователи использовать

сигма-дельта-преобразователи не сле-

дует.

АЦП последовательного прибли-

жения не проявляет заметную нели-

нейность в конечных точках шкалы,

которая наблюдается в сигма-дельта-

преобразователях. Точность АЦП

в этих точках зависит от способно-

сти внутреннего ЦАП и компарато-

ра отслеживать напряжение полного

размаха.

Раунд 4 закончился победой АЦП

последовательного приближения за

его высокую точность в конечных точ-

ках шкалы.

РАУНД 5: ДИФФЕРЕНЦИАЛЬНАЯ НЕЛИНЕЙНОСТЬДифференциальная нелиней-

ность — это разница между реаль-

ной величиной ступеньки преоб-

разования и идеальным значением

одного наименьшего значащего раз-

ряда (НЗР). Следовательно, если

ширина или высота ступеньки пре-

образования равна точно одному

НЗР, то дифференциальная нели-

нейность равна нулю. Если диффе-

ренциальная нелинейность пре-

вышает 1 НЗР, то преобразователь

может стать немонотонным. Это

означает, что величина выходного

кода не всегда соответствует вход-

ному значению напряжения. Кроме

того, в АЦП также есть вероят-

ность пропуска кодов, т.е. один или

более из возможных 2n двоичных

кодов никогда не появятся на вы-

ходе.

Сигма-дельта АЦП по своей при-

роде монотонны независимо от того,

какое у них разрешение. Его характе-

ристики заложены в конфигурацию

и не зависят от точных номиналов

компонентов или согласования эле-

ментов.

АЦП последовательного прибли-

жения не является монотонным пре-

образователем, и его характеристики

зависят от точности номиналов ком-

понентов и согласования элементов.

Раунд 5 выигрывает сигма-дельта

АЦП.

РАУНД 6: ИНТЕГРАЛЬНАЯ НЕЛИНЕЙНОСТЬИнтегральная нелинейность — это

отклонение значений реальной пере-

даточной функции от прямой линии.

Эта линия может либо максимально

совпадать с прямой так, чтобы мини-

мизировать отклонения, либо соеди-

нять конечные точки передаточной

характеристики таким образом, чтобы

свести к нулю ошибки коэффициента

усиления и смещения. Для АЦП эти

отклонения измеряются при перехо-

де от одной ступеньки преобразова-

ния к другой, а термин «интегральная

нелинейность» отражает то, что сумма

дифференциальных нелинейностей

от нижней точки шкалы до текущей

ступеньки определяет величину инте-

гральной нелинейности на данной сту-

пеньке.

Раунд 6 выигрывает сигма-дельта

АЦП, так как его топология не зави-

сит от точного соблюдения номиналов

Page 73: Электронные компоненты №5/2010

76

АЦ

П И

ЦА

П

WWW.ELCP.RU

компонентов. В АЦП последовательно-

го приближения, напротив, требуется

весьма точный ЦАП и компаратор.

РАУНД 7: ОШИБКА КВАНТОВАНИЯОшибка квантования обусловле-

на ограниченным разрешением АЦП

и неизбежным несовершенством всех

типов АЦП. Это — ошибка округле-

ния при преобразовании аналогового

входного напряжения АЦП в выход-

ной оцифрованный код. Шум является

нелинейным и зависящим от сигнала.

Сигма-дельта-преобразователи не

требуют использования фильтров с

крутым спадом частотной характери-

стики на аналоговых входах для устра-

нения эффектов наложения спектра

из-за того, что частота выборки превы-

шает эффективную полосу пропускания

АЦП. Передискретизация обеспечивает

усреднение любых системных помех

на аналоговых входах. В сигма-дельта-

преобразователях скорость приносит-

ся в жертву высокому разрешению.

Основными преимуществами АЦП

последовательного приближения

являются малое энергопотребление,

высокое разрешение и точность. В АЦП

последовательного приближения уве-

личение разрешения сопровождается

увеличением стоимости более точных

внутренних компонентов.

В раунде 7, по-видимому, можно

зафиксировать ничью.

РЕШЕНИЕ СУДЕЙСКОЙ КОЛЛЕГИИХотя сигма-дельта АЦП победил в

большинстве раундов, по итогам матча

голоса судей разделились поровну.

Оба преобразователя добиваются пре-

восходства в определенных приложе-

ниях. При выборе из этих двух видов

АЦП необходимо учитывать тип сигна-

ла, который требуется оцифровывать,

скорость и точность преобразования,

цену.

Следует также учитывать особен-

ности каждого приложения. Будь это

потребительская и автомобильная

электроника или медицинские и про-

мышленные устройства — во всех этих

приложениях аналоговые сигналы

необходимо детектировать с помощью

датчиков, усилить, согласовать и преоб-

разовать с помощью АЦП в цифровую

форму. Сигма-дельта АЦП и АЦП после-

довательного приближения, каждый со

своими преимуществами и недостатка-

ми, реализуются на базе специфических

требований к приложению. Каждый

из этих типов АЦП способен проявить

себя наилучшим образом при решении

конкретной задачи.

Учитывая этот факт, в различных

архитектурах микроконтроллеров

предусматривают возможность гиб-

кой реализации блоков АЦП на осно-

ве встроенных средств программиро-

вания аналоговых компонентов, что

позволяет создавать несколько сигма-

дельта АЦП, АЦП последовательного

приближения и даже сочетать оба типа

АЦП в одном проекте.

На рисунке 2 показано множество

программируемых аналоговых ком-

понентов, доступных в такой микро-

контроллерной архитектуре. Система

аналогового программирования

позволяет разработчикам создавать

специфические комбинации как из

стандартных, так и из усовершенство-

ванных блоков обработки аналоговых

сигналов. Эти блоки в дальнейшем сое-

диняются между собой, обеспечивая

высокий уровень гибкости проектиро-

вания и IP-безопасности.

Используя графический редактор

проекта, разработчики имеют возмож-

ность работать в среде совместного

проектирования аппаратных и про-

граммных средств, чтобы создавать

уникальную схему. Конфигурируя сое-

динения между портами ввода/вывода

общего назначения и различными ана-

логовыми ресурсами, а также между

самими аналоговыми узлами, разра-

ботчик может построить схему, напри-

мер, АЦП последовательного прибли-

жения, которая обычно содержит ЦАП,

компаратор и цифровую логику (см.

рис. 3).

Программируемые аналоговые

блоки обеспечивают не только гиб-

кость и возможность быстрого вне-

сения изменений в проект, но также

позволяют создать платформу, на

базе которой разработчики могут

испытывать свои идеи и оперировать

различными дискретными аналого-

выми компонентами для построения

в высшей степени оптимизированной

системы.

ЛИТЕРАТУРА

1. Andrew Siska, Meng He. “Golden Gloves”

A/D Converter Match: Successive-approximation

register vs. sigma-delta topology // www.

industrialcontroldesignline.com.

Рис. 3. С помощью среды совместного проектирования аппаратных и программных средств, например, PSoC Creator компании Cypress Semiconductor, разработ-чики могут построить сигма-дельта АЦП и АЦП последовательного приближения, которые оптимизированы для данного приложения

Page 74: Электронные компоненты №5/2010

СЕ

ТИ

И И

НТ

ЕР

ФЕ

ЙС

Ы

77

Электронные компоненты №5 2010

В статье обсуждаются проблемы разработки контроллера беспровод-

ной сети стандарта IEEE 802.11 на базе FPGA. Рассмотрены особенности

построения платформы интерфейса беспроводной сети с использова-

нием FPGA Virtex-4. Показано, что выполнение совместной верификации

проекта на FPGA и ASIC позволяет создать высоконадежный конечный

продукт, который полностью готов к сертификации на требования

стандарта IEEE 802.11. Статья представляет собой перевод [1].

РЕАЛИЗАЦИЯ ИНТЕРФЕЙСА

БЕСПРОВОДНОЙ СЕТИ НА FPGAАГНЕС ФЕЙН (AGNES FAIN), ст. инженер-разработчик, Wipro-NewLogic

ВОЛЬФГАНГ МЕРИК (WOLFGANG MERYK), ст. менеджер по маркетингу продукта, Wipro-NewLogic

Каждая компания, проектирующая

такой сложный и быстродействующий

IP-блок как контроллер беспроводной

сети стандарта IEEE 802.11, сталкива-

ется с проблемой проверки работо-

способности и характеристик проек-

та. Изготовление тестового кристалла

играет важную роль, поскольку только

он может показать, что все заложен-

ные в IP-блоке функции реализованы

в кремнии. Но тестовый чип способен

зафиксировать состояние аппаратной

части проекта только в определенный

момент времени. Между тем, необхо-

димо, чтобы IP-блок поддерживал раз-

личные конфигурации и приложения.

Беспроводная сеть выдвигает допол-

нительные требования к возможности

гибкой модификации системы, т.к. в

этом секторе рынка постоянно появля-

ются новые спецификации (Wi-Fi, ETSI/

FCC), и некоторые из них требуют изме-

нений в аппаратной части.

В компании Wipro-NewLogic для

решения данной проблемы было реше-

но использовать платформу на базе

FPGA. Результатом разработки стало

создание платы WiLDSYS, название кото-

рой отражает наименование IP-блока

беспроводной сети — WiLD a/b/g.

Для данного проекта было сформули-

рованы несколько ключевых требований.

Во-первых, IP-блок беспроводной сети

должен был работать на полной скоро-

сти (скорость передачи данных в эфире

54 Мбит/с согласно стандартам 802.11a

и 802.11g). Во-вторых, необходимо было

создать общую базу данных для FPGA

и ASIC. В-третьих, разработчикам про-

екта требовалось убедиться в том, что

результаты тестирования и выявленные

ошибки при реализации IP-блока на FPGA

применимы для ASIC. Наконец, необхо-

димо было реализовать внешние интер-

фейсы с управляющим компьютером и

радиоканалом на FPGA, чтобы миними-

зировать количество внешних компонен-

тов и использовать возможность про-

граммирования FPGA для модернизации

этих интерфейсов в будущем. В процессе

разработки было обнаружено, что плат-

форма FPGA не только позволяет осуще-

ствить все эти цели, но и обеспечивает

возможность полной аттестации платы

WiLDSYS в соответствии с требованиями

спецификации Wi-Fi.

Остановимся вначале на общем

обзоре платформы WiLDSYS и выборе

типа FPGA.

ОБЩИЙ ОБЗОР ПЛАТФОРМЫ WILDSYSНа рисунке 1 показана блок-схема

платформы WiLDSYS, реализованная в

Рис. 1. Блок-схема платформы WiLDSYS

Page 75: Электронные компоненты №5/2010

78

СЕ

ТИ

И И

НТ

ЕР

ФЕ

ЙС

Ы

WWW.ELCP.RU

первоначальном варианте. Ядро WiLD

(слева на блок-схеме) включает в себя

MAC-контроллер стандарта 802.11 a/b/g

и модем. Функции MAC-контроллера

распределяются между процессором

передачи пакетов WiLD (блок управле-

ния буфером/контроллер DMA) и пото-

ковым процессором WiLD, который

выполняет RC4- и AES-кодирование.

Оба блока являются ведущими устрой-

ствами центральной развитой высоко-

производительной шины (Advanced

High-Performance Bus, AHB) и не явля-

ются критичными к синхронизации

устройствами. Модем WiLD обеспечи-

вает обработку сигнала по технологиям

CCK/DSSS и OFDM в соответствии со

стандартом 802.11 a/b/g. Блоки обра-

ботки сигнала, разработанные для ASIC,

не так просто реализовать на FPGA.

Связанные с этим проблемы и решения

подробнее будут описаны ниже.

Система содержит также полную

процессорную платформу на базе ARM7,

на которой работает программное обе-

спечение MAC уровня 2 с поддержкой

режима точки доступа и режима стан-

ции.

Размещение кристалла ARM7 на

одной плате с FPGA обеспечивает заказ-

чикам, не имеющим лицензии ARM,

возможность использовать эту плату.

Кроме того, предусмотрена возмож-

ность подключения внешней памяти

SRAM для приложений, использующих

память большего объема, чем преду-

смотрено в FPGA, а также загрузочное

флэш-устройство. На плате размеще-

но достаточное число разъемов для

доступа к выводам FPGA через внешние

интерфейсы, а также с целью отладки.

Для возможности последующей модер-

низации платы предусмотрено поса-

дочное место для второго чипа FPGA,

хотя до настоящего времени оно не

было использовано. На рисунке 2 пока-

зано фото платы WiLDSYS.

ВЫБОР FPGAВ этом проекте использовалася

микросхема FPGA Virtex-4 компании

Xilinx. Данное семейство было выбрано

из-за высокого быстродействия и боль-

шого числа специализированных ресур-

сов FPGA, включая блоки DSP, встроен-

ную память, конфигурируемые порты

ввода/вывода и блок синхронизации.

Из этого семейства FPGA было выбрано

устройство Virtex-4 LX200, которое обе-

спечивает необходимую емкость, т.к.

IP-блок платформы WiLD включает 700

тыс. вентилей (эквивалентных NAND2).

В таблице 1 представлены данные об

использовании ресурсов FPGA.

FPGA Virtex-4 поддерживает частоту

тактового сигнала до 500 МГц. Такая

рабочая частота необходима для реа-

лизации высокоскоростного интер-

фейса с РЧ-кристаллом поддержки

беспроводной сети компании Wipro-

Newlogic, работающей на частоте

240 МГц. Поскольку одной из задач про-

екта было использование общей базы

данных для ASIC и FPGA, следовало

поместить код ASIC в FPGA, не опти-

мизируя его для максимального задей-

ствования ресурсов. Обычно код ASIC

использует более длинные комбина-

ционные пути, и потребовалось найти

оптимальное соотношение между мак-

симальной рабочей частотой FPGA и

тактовой частотой системы. Компания

Xilinx существенно усовершенствовала

блоки обработки сигнала в семействе

FPGA Virtex-4. Особенно это коснулось

умножителей. Для умножения и сложе-

ния были оптимизированы специализи-

рованные блоки DSP48. Использование

ресурсов DSP48 обеспечило преиму-

щества при работе модема стандарта

802.11 a/g на частоте 80 МГц.

FPGA Virtex-4 позволяет задейство-

вать линии глобального тактового

сигнала. В Virtex-4 LX200 используют-

ся 32 буфера глобального тактового

сигнала, 8 из которых не привязаны к

определенной области FPGA. Поскольку

можно разместить триггеры, связан-

ные с одним из этих восьми буферов, в

любом месте FPGA, появляется больше

возможностей для распределения эле-

ментов и разводки проекта.

ПРОБЛЕМЫ СОЗДАНИЯ ОБЩЕЙ БАЗЫ ДАННЫХИзвестно, что проверка заложенно-

го в FPGA кода эффективна, если ее

результаты можно сопоставить с кодом,

реализованным на ASIC. Код проекта,

размещенный в FPGA, должен изменять-

ся в соответствии с любыми вариация-

ми и исправлениями кода, заложенного

в ASIC. С другой стороны, любая кор-

рекция, произведенная при проверке

кода FPGA, должна отражаться в ASIC,

а также должна быть смоделирована с

использованием среды верификации

ASIC. Для того чтобы эффективно этим

управлять, потребовалось создать и

поддерживать общую базу данных для

проектов на FPGA и ASIC.

При реализации этой задачи иногда

возникали трудности, и в некоторых

Рис. 2. Микросхема FPGA Virtex-4 расположена в центре платы WiLDSYS

Таблица 1. Использование ресурсов Virtex-4 LX 200 для проекта WiLDSYS

Использование ОЗУ/ПЗУ

2-портовые ОЗУ (RAM16x1D) 140

64×1 ПЗУ (ROM64×1) 12

256×1 ПЗУ (ROM256×1) 138

Число блоков ОЗУ 211 из 336 62%

Использование логических элементов

Число триггеров секций 52943 из 178176 29%

Число 4-входовых LUT 133498 из 178176 74%

Распределение логических элементов

Число занятых секций 83217 из 89088 93%

Общее число 4-входовых LUT 137384 из 178176 77%

Число связанных буферов ввода-вывода

361 из 960 37%

Число буферов BUFG/BUFGCTRL 8 из 32 25%

Число блоков памяти FIFO16/RAMB16 214 из 336 63%

Число блоков DSP48 17 из 96 17%

Число блоков DCM ADV 1 из 12 8%

Page 76: Электронные компоненты №5/2010

СЕ

ТИ

И И

НТ

ЕР

ФЕ

ЙС

Ы

79

Электронные компоненты №5 2010

случаях единственным решением была

модификация кода ASIC. Некоторые

логические цепочки в OFDM-модеме не

отвечали требованию по максимальной

частоте в 80 МГц для Virtex-4. Поэтому

было решено разорвать комбинацион-

ные цепочки за счет включения допол-

нительных триггеров. Это увеличило

общее время задержки модема, но оно

все еще находилось в допустимых пре-

делах. После решения проблемы с вре-

менными параметрами FPGA была про-

ведена соответствующая модификация

базы данных ASIC, и выполнен полный

регрессионный тест. После синтеза

кода обнаружилось, что при реализа-

ции на ASIC модифицированного кода

был даже получен выигрыш по площа-

ди, т.к. более мягкие требования к син-

хронизации позволили инструментам

синтеза ASIC использовать меньшее

число буферов и более компактные

комбинационные элементы.

Контроллер тактового сигнала —

один из самых важных блоков ASIC

и, кроме того, его код весьма трудно

преобразовать для FPGA. В конечном

итоге, в ходе разработки было решено

создать специальный блок для реали-

зации только на FPGA. Был разработан

синтезирующий скрипт отдельно для

ASIC и FPGA для выбора оптимального

блока в каждом типе реализации. Были

задействованы ресурсы менеджера

цифрового тактового сигнала (digital

clock manager, DCM) FPGA Virtex-4 для

замены блока ФАПЧ, который обычно

используется при разработке проекта

для беспроводной сети на ASIC. DCM-

блок формирует требуемую частоту 240

МГц из 40- или 50-МГц входной частоты

генератора, в точности как на ASIC.

IP-блок для платформы WiLD ори-

ентирован на приложения с малым

энергопотреблением, поэтому была

поставлена задача реализации под-

держки управления тактовым сигна-

лом и раздельных областей питающего

напряжения. 8 буферов глобального

тактового сигнала, которые не при-

вязаны к определенной области FPGA

Virtex-4 LX200, не обеспечивали доста-

точных ресурсов для создания всего

набора тактовых частот и всех функций

управления тактовым сигналом, воз-

можных для ASIC. Было решено реали-

зовать управление тактовым сигналом

для корректного функционирования

системы, а не только для снижения

энергопотребления.

Для упрощения иерархической

схемы синхронизации была использо-

вана функция преобразования управ-

ления тактовым сигналом, доступная

в инструменте синтеза FPGA Synplify

Pro. Этот инструмент позволяет уда-

лить управляющие вентили с линий

тактового сигнала и разместить их на

входе разрешения или на входе дан-

ных триггера. Для управления такто-

вым сигналом использовались буферы

BUFG и BUFGCE, поэтому для разводки

их выходных линий применялся высо-

коскоростной глобальный тактовый

сигнал FPGA Virtex-4. Ресурс BUFGMUX

позволяет реализовать бессбойное

мультиплексирование тактовых сигна-

лов для активного режима и режима

низкого энергопотребления.

В проекте было реализовано

несколько областей питающего напря-

жения, которое можно включать и

отключать в различное время. Такая

сложная конфигурация была провере-

на на Virtex-4, хотя данная микросхема

FPGA содержит только одну область

напряжения питания. Область схемы,

которая переходит в режим отключе-

ния, должна быть сброшена при вклю-

чении питания. На FPGA было смодели-

ровано состояние отключения питания

области с последующим сбросом схемы.

Хотя этот метод полностью не прове-

ряет соединения в области напряже-

ния питания, разработчикам удалось

обнаружить ошибки, которые нельзя

было бы выявить при ограниченном по

времени моделировании ASIC.

Платформа WiLD построена на базе

архитектуры AHB с четырьмя ведущи-

ми устройствами шины, разделяющи-

ми доступ к периферии, в частности, к

памяти и подсистеме усовершенство-

ванной периферийной шины (Advanced

Peripheral Bus, APB). Было обнаружено,

Page 77: Электронные компоненты №5/2010

80

СЕ

ТИ

И И

НТ

ЕР

ФЕ

ЙС

Ы

WWW.ELCP.RU

что критичным участком, с точки зре-

ния синхронизации, являются соедине-

ния адресных линий и линий данных.

Данная проблемы была преодоле-

на путем размещения центрального

процессора и памяти в FPGA, вместо

использования внешних устройств. В

данном случае использовались пре-

имущества больших блоков встроен-

ной памяти Virtex-4, что существенно

уменьшило задержку на линиях меж-

соединений. Кроме того, с помощью

инструмента разработки Synplify Pro

удалось собрать данные о параметрах

синхронизации из файлов списка сое-

динений памяти (EDN).

Блоки обработки сигнала, разрабо-

танные для ASIC, не так просто реали-

зовать на FPGA, т.к. они оптимизирова-

ны для многократного использования

аппаратных модулей на каждом цикле

синхронизации, когда скорость пере-

дачи данных меньше частоты тактово-

го сигнала. При синтезе FPGA эту про-

блему решают, применяя повторную

синхронизацию и логическое дубли-

рование. В данном проекте был задей-

ствован компоновщик Xilinx PlanAhead

для размещения критичных блоков

OFDM-модема в заданной области

FPGA, с тем чтобы уменьшить задерж-

ку на соединениях внутри и между

блоками.

ВНЕШНИЕ ИНТЕРФЕЙСЫРеализованная на FPGA платформа

WiLDSYS имеет возможность простой

адаптации к изменениям во внешних

интерфейсах благодаря поддержке раз-

личных характеристик портов ввода/

вывода Virtex-4. Все сигналы интерфей-

сов были сгенерированы на FPGA без

внешних компонентов и разведены на

соответствующие разъемы.

В первоначальном варианте про-

екта в качестве главного интерфейса

применялась шина CardBus. Выводы

FPGA были определены как PCI 3.3V и

поочередно соединялись с разъемом

CardBus. После того как заказчики

попросили разработчиков изменить

главный интерфейс на MII и SDIO, были

задействованы те же выводы Virtex-4

для непосредственной связи с этими

интерфейсами. В собственно плату

WiLDSYS не потребовалось вносить

каких-либо изменений, а для интерфей-

сов MII и SDIO были спроектированы

новые адаптеры к разъемам.

Тот же принцип применялся при

разработке РЧ-интерфейса. Вначале

плата WiLDSYS была спроектирована

для связи с РЧ-кристаллом компании

Wipro-NewLogic через специально

разработанный высокоскоростной

последовательный интерфейс. В этом

интерфейсе должны были исполь-

зоваться дифференциальные LVDS-

драйверы, а максимальная такто-

вая частота составлять 240 МГц.

Интерфейс с такими жесткими тре-

бованиями можно было реализовать

полностью на FPGA, т.к. микросхема

Virtex-4 содержит встроенные LVDS-

порты. На выводах этих портов были

размещены триггеры, чтобы обеспе-

чить жесткие требования 240-МГц

тактовой частоты. При портировании

IP-блока потребовалось лишь назна-

чить для цифровых I/Q-сигналов стан-

дартные выводы FPGA и развести их к

разъемам, к которым подсоединялась

плата расширения с АЦП и ЦАП.

СОВМЕСТНАЯ ВЕРИФИКАЦИЯ FPGA И ASICОбщая база данных для ASIC и FPGA

позволила выполнить совместную

верификацию FPGA и ASIC. Создание

единой базы данных аппаратных блоков

предполагало поддержку только одной

версии программного обеспечения

для MAC беспроводной сети для обоих

типов кристаллов. Плата на базе FPGA

могла работать как в режиме станции,

так и в режиме точки доступа, а также

взаимодействовать с другими узла-

ми беспроводной сети. Верификация

системы на базе FPGA началась с тести-

рования полной системы на базе ASIC,

которое включало проверку всего

функционала, в т.ч. тестовые сценарии,

рекомендуемые альянсом Wi-Fi Alliance.

Эти тесты включали проверку на раз-

деление полосы частот, кодирование и

качество сервиса.

При обнаружении какой-либо непо-

ладки на FPGA-платформе вначале ее

исследовали с помощью специальных

средств FPGA. Интерфейс логическо-

го анализатора платы WiLDSYS обе-

спечивает намного более широкие

возможности отладки, чем ASIC, из-за

ограниченного числа выводов в ASIC.

Разработчики платы WiLDSYS исполь-

зовали порты ввода/вывода общего

назначения (GPIO) для сигналов диа-

гностики этого интерфейса и про-

веряли внутренние сигналы FPGA с

помощью редактора Xilinx FPGA Editor.

Этот инструмент представляет в гра-

фическом виде полный проект на FPGA

со всеми соединениями. Разработчик

может выбрать любой внутренний про-

водник и соединить его с неисполь-

зуемым выводом этого устройства.

Редактор FPGA Editor может непосред-

ственно модифицировать соединения

FPGA, исключая длительный процесс

повторной разводки проекта.

Проверка соответствующих сигна-

лов позволяет локализовать источник

проблемы с точностью, достаточной

для RTL-моделирования на ASIC с после-

дующей ее коррекцией. После этого

достаточно запустить синтез FPGA и

создать новый bitmap-файл. Финальная

верификация проекта была выполнена

на плате FPGA путем повторного выпол-

нения тестовых сценариев и некоторых

регрессионных тестов.

Возможность работы IP-блока на

полной скорости передачи данных в

эфире и соединения с другим узлом бес-

проводной сети обеспечила тестирова-

ние с возрастающей нагрузкой, вклю-

чая длительные испытания (overnight

testing). Было приобретено оборудова-

ние с испытательной площадки альянса

Wi-Fi Alliance, которое позволило про-

вести все виды испытаний на совмести-

мость. В результате удалось выполнить

значительно больше видов испытаний,

что позволило достичь более высо-

кой надежности как аппаратных, так

и программных средств. Ключевым

моментом всех испытаний стало пред-

ставление платы на базе FPGA для сер-

тификации в официальное учреждение

Wi-Fi, в результате которой эта система

прошла не только все основные испы-

тания по стандарту 11 a/b/g, но и допол-

нительные тесты на качество сервиса

(WMM) согласно 802.11d и 802.11h.

Было получено окончательное под-

тверждение, что совместная верифика-

ция FGPA и ASIC обеспечивает весьма

высокую степень надежности системы

на базе ASIC при эксплуатации платы

WiLDSYS у заказчика. Полная верифи-

кация системы на базе FPGA, которая

включала многие тесты на системном

уровне и Wi-Fi-тесты до запуска в про-

изводство, позволила получить рабо-

тоспособные образцы кристаллов с

первого раза.

В проекте потребовалось преодо-

леть несколько трудностей, чтобы

достичь поставленных задач: достичь

полной скорости работы IP-блока бес-

проводной сети без FPGA и обеспечить

надежность проекта на базе FPGA при

верификации системы. Использование

FPGA Virtex-4 и инструментов Xilinx

позволило выполнить обе эти задачи.

В конечном итоге, разработка платы

WiLDSYS способствовала созданию

чрезвычайно полезного устройства для

применения во многих проектах.

Полученный положительный опыт

позволил использовать плату на базе

FPGA Virtex-4 вместе с различными

платами расширения при разработке

IP-блока Bluetooth 2.1EDR. Поскольку

потребность в устройствах стандар-

та 802.11 a/b/g растет, в настоящее

время исследуется возможность реа-

лизации этого IP-блока на микросхе-

ме Spartan-6, т.к. это новое семейство

компании Xilinx обеспечивает необхо-

димые ресурсы при меньшей стоимо-

сти, чем Virtex-4.

ЛИТЕРАТУРА

1. Agnes Fain, Wolfgang Mer yk.

Implementing Wireless LAN Interface in an FPGA//

Xcell Journal. Issue 69. Fourth Quarter. 2009.

Page 78: Электронные компоненты №5/2010

СТ

АН

ДА

РТ

НЫ

Е Ц

ИФ

РО

ВЫ

Е

МИ

КР

ОС

ХЕ

МЫ

И П

АМ

ЯТ

Ь

81

Электронные компоненты №5 2010

В статье представлено техническое описание микросхем высокоча-

стотных цифровых делителей частоты с коэффициентами деления два

(5861ПЦ1У) и пять (5861ПЦ2У). Отличительными особенностями микросхем

является наличие двух независимых каналов деления (с аналоговым входом

и цифровым входом), возможность запирания каналов, широкий рабочий

диапазон температур (–60…125°С), напряжение питания 4,5…5,5 В.

МИКРОСХЕМЫ ВЫСОКОЧАСТОТНЫХ

ДЕЛИТЕЛЕЙ 5861ПЦ1У, 5861ПЦ2УЛЕОНИД АВГУЛЬ, к.т.н., заместитель генерального директора по научной работе, НТЦ «ДЭЛС»

ВИКТОР КРЯЖЕВ, начальник отдела, НТЦ «ДЭЛС»

СЕРГЕЙ КУРНОСЕНКО, к.т.н., начальник отдела, НТЦ «ДЭЛС»

СЕРГЕЙ ТЕРЕШКО, к.т.н., генеральный директор, НТЦ «ДЭЛС»

ЛЕОНИД ШУМОВ, начальник сектора, ОАО «ГСКБ «Алмаз-Антей»

ОСНОВНЫЕ ТЕХНИЧЕСКИЕ ХАРАКТЕРИСТИКИМикросхемы 5861ПЦ1У и 5861ПЦ2У —

высокочастотные цифровые делители

частоты.

Микросхемы предназначены для

деления частоты поступающих на их

входы последовательностей импульсов

или синусоидального сигнала с коэф-

фициентами деления два (5861ПЦ1У) и

пять (5861ПЦ2У).

Микросхемы изготавливаются

по КМОП-технологии, имеют ТТЛ-

совместимые входы и выходы и обе-

спечивают возможность согласова-

ния по выходам с уровнями ТТЛ и

50-Ом линией. Конструктивно они

выполнены в планарном металлоке-

рамическом корпусе типа Н04.16-1В

с четырехсторонним расположени-

ем выводов. Назначение выводов

микросхем приведено в таблице 1, а

их основные параметры — в табли-

це 2.

Микросхемы 5861ПЦ1У, 5861ПЦ2У

могут быть использованы как при раз-

работке новой, так и при модернизации

серийно выпускаемой радиоэлектрон-

ной аппаратуры (например, для замены

микросхем серии 193).

СТРУКТУРНАЯ СХЕМАСтруктурная схема микросхем

5861ПЦ1У и 5861ПЦ2У приведена на

рисунке 1.

Микросхемы содержат два канала

деления: канал с аналоговым входом CT1

и канал с цифровым ТТЛ-входом CT2.

Каждый канал содержит:

– входной буфер;

– делитель частоты с коэффициен-

том деления два (5861ПЦ1У) или пять

(5861ПЦ2У);

– выходной буфер.

Выходы каждого из каналов Q1 и

Q2 имеют возможность работы на ТТЛ-

нагрузку и 50-Ом линию.

Каналы имеют независимые управ-

ляющие входы, сигналы на которых

определяют состояние выхода. При

установке сигнала на управляющем

входе в состояние логической едини-

цы осуществляется запирание соответ-

ствующего канала (см. рис. 2). При этом

обеспечиваются характеристики осла-

бления входного и выходного сигнала в

соответствии с таблицей 2.

ОСОБЕННОСТИ ПРИМЕНЕНИЯПодача входных сигналов на микро-

схемы и их снятие допускается только

Таблица 1. Назначение выводов микросхем

Номер вывода Обозначение Назначение

01 GND Общий вывод

02 Q2 Выход канала с цифровым входом

03 NC Вывод свободный

04 EN1 Вход управления каналом с аналоговым входом

05 VСС Вывод питания от источника напряжения

06 Q1 Выход канала с аналоговым входом

07 NC Вывод свободный

08 GND Общий вывод

09 VСС Вывод питания от источника напряжения

10 CT1 Вход аналоговый

11 GND Общий вывод

12 GND Общий вывод

13 VСС Вывод питания от источника напряжения

14 VСС Вывод питания от источника напряжения

15 EN1 Вход управления каналом с цифровым входом

16 CT2 Вход цифровой

Рис. 1. Структурная схема микросхемы. C1—C4 — конденсаторы емкостью 0,1 мкФ; R1, R4, R5 — резисторы сопротивлением 50 Ом; R2, R3 — резисторы сопротивлением 240 Ом.

Page 79: Электронные компоненты №5/2010

82

СТ

АН

ДА

РТ

НЫ

Е Ц

ИФ

РО

ВЫ

Е

МИ

КР

ОС

ХЕ

МЫ

И П

АМ

ЯТ

Ь

WWW.ELCP.RU

Таблица 2. Основные параметры микросхем

Наименование параметра,ед. измерения

Обозначение параметраНорма параметра

не менее не более

Напряжение питания, В UCC 4,5 5,5

Ток потребления, мА ICC — 40,0

Динамический ток потребления, мА IОCC — 150

Мощность сигнала на аналоговом входе CT1, дБм Pin CT1 10,0 20,0

Мощность выходного сигнала, дБм Pout 9,0 –

Максимальная частота сигнала на аналоговом входе CT1, МГц fmax CT1 — 500

Максимальная частота сигнала на цифровом входе CT2, МГц fmax CT2 — 400

Минимальная частота сигнала на аналоговом входе CT1, МГц:5861ПЦ1У5861ПЦ2У

fmin CT1 ——

1030

Минимальная частота сигнала на цифровом входе CT2, МГц:5861ПЦ1У5861ПЦ2У

fmin CT2 ——

1030

Уровень ослабления входного сигнала в режиме запирания, дБ KISR 30 –

Уровень ослабления выходного сигнала в режиме запирания, дБ KOSR 90 –

Уровень вносимых фазовых шумов в спектр выходного сигнала, дБ/Гц Fn — -140

Время включения (выключения), нс ton(toff ) — 50

Температурный диапазон, °С Ta –60 125

при включенном источнике пита-

ния.

В случае, когда в микросхеме

используется только один канал деле-

ния (цифровой или аналоговый), неис-

пользуемый канал следует выключать

(запирать) путем подачи на соответ-

ствующий вход управления сигнала

лог. «1».

Рис. 2. Временная диаграмма запирания канала с аналоговым входом CT1

Рис. 3. Схема включения

Неиспользуемый аналоговый вход

CT1 необходимо оставлять свобод-

ным, а неиспользуемый цифровой

вход CT2 следует подключать к обще-

му выводу.

Допускается подача сигнала с выхо-

да делителя с аналоговым входом Q1

непосредственно на вход делителя с

цифровым входом CT2.

Использование в одной микросхеме

двух каналов деления c разными часто-

тами не допускается, так как это при-

водит к наслаиванию гармоник обеих

частот на выходе как аналогового, так и

цифрового каналов деления.

При использовании в микросхеме

обоих каналов деления их запирание

необходимо осуществлять одновре-

менно одним сигналом.

Форма выходного сигнала наибо-

лее симметрична при нагрузке 50 Ом.

Допускается использование нагрузки с

сопротивлением до сотен Ом с ухудше-

нием формы выходного сигнала.

Неиспользуемые выходы микросхе-

мы необходимо оставлять свободными.

Рекомендуемая схема включения

микросхем делителей частоты показа-

на на рисунке 3.

По шине питания микросхемы необ-

ходимо устанавливать электролитиче-

ский конденсатор емкостью 220 мкФ. У

каждого вывода питания микросхемы

необходимо устанавливать керамиче-

ские конденсаторы емкостью 0,1 мкФ.

Если на входы управления и сигналы

подаются с выходов ТТЛ- или КМОП-

микросхем, то конденсаторы C2, C3 и

резисторы R2, R3 (см. рис. 3) можно не

устанавливать.

СОБЫТИЯ РЫНКА

| SAMSUNG ELECTRONICS ИНВЕСТИРУЕТ 3,6 МЛРД ДОЛЛ. В ПОЛУПРОВОДНИКОВОЕ ПРОИЗВОДСТВО НА ТЕРРИТОРИИ США | Южнокорейский электронный гигант планирует расширить производственные мощности предприятия, расположен-

ного на территории США (Остин, шт. Техас). На решение этой задачи Samsung Electronics выделяет 3,6 млрд долл., сообщает

источник.

Дополнительные мощности, развернутые на единственной фабрике Samsung Electronics по выпуску микросхем, которая рас-

положена за пределами Южной Кореи, будут задействованы для изготовления больших интегральных схем. В настоящее время

предприятие Samsung Austin Semiconductor, главным образом, специализируется на выпуске флэш-памяти типа NAND.

www.russianelectronics.ru

Page 80: Электронные компоненты №5/2010

ТЕ

ОР

ИЯ

И П

РА

КТ

ИК

А

83

Электронные компоненты №5 2010

В статье рассматривается вопрос об интеграции модуля беспроводной

связи стандарта IEEE 802.11n во встраиваемые системы для обеспечения

универсальной IP-сети в рамках концепции «Интернет вещей».

ПРИНЦИПЫ БЕСПРОВОДНОГО

ПОДКЛЮЧЕНИЯ ВСТРАИВАЕМЫХ

СИСТЕМ ПО СТАНДАРТУ 802.11n

НАРАСИМХАН ВЕНКАТЕШ (NARASIMHAN VENKATESH), вице-президент, отд. передовых технологий, Redpine Signals

Каждая встраиваемая система в мил-

лиардах окружающих нас электронных

устройств решает конкретную задачу в

широком ряду приложений — напри-

мер, в приборах медицинской диа-

гностики и для геологических иссле-

дований, системах видеонаблюдения,

кассовых аппаратах и т.д. Во всех этих

приборах применяются микроконтрол-

леры (МК), многие из которых взаи-

модействуют с внешним миром — с

другими устройствами или системами

управления. Беспроводная связь обе-

спечивает большую гибкость встраи-

ваемым системам, во многих случаях

являясь единственным возможным

средством коммуникации.

СТАНДАРТНЫЕ ХАРАКТЕРИСТИКИ ВСТРАИВАЕМЫХ СИСТЕМТиповая архитектура встраиваемой

системы представлена на рисунке 1.

Основные функциональные возмож-

ности по управлению реализованы в

МК, а специализированные аппаратные

интерфейсы и периферия обеспечива-

ют специальные функции, требующие-

ся в той или иной системе.

Например, к специальным компо-

нентам системы можно отнести дат-

чик температуры, привод, вспомога-

тельную клавиатуру, ЖК-дисплей или

фотокамеру. Поскольку применение

этих устройств в большой степени

зависит от нужд конкретного приложе-

ния, в них используется минимальный

набор необходимых компонентов. Как

следствие, объем памяти ограничен, а

параметры МК, в т.ч. тактовая частота,

количество бит и интерфейсов, рассчи-

тываются таким образом, чтобы обе-

спечить требуемую функциональность

приложения. Следовательно, примене-

ние механизма подключения к беспро-

водной сети во многих случаях пред-

ставляется возможным, только если он

влечет за собой не слишком большие

издержки.

Связь устанавливается несколькими

способами — например, путем переда-

Рис. 1. Компоненты типовой встраиваемой системы

чи данных пользовательского формата

по фиксированному каналу (hardwired

link); с помощью прямой линии после-

довательной передачи собственных

данных или IP-сети для передачи дан-

ных внутри предприятия или по интер-

нету.

Понятно, что стандартная IP-сеть

передачи данных обеспечивает наи-

большую гибкость для встраиваемых

систем, однако это преимущество

оборачивается сложностью реализа-

ции. Многие встраиваемые устрой-

ства питаются от батарей, что опре-

деляется природой приложений, в

которых эти устройства используют-

ся. Для постоянной связи устройств

требуется беспроводной канал пере-

дачи данных.

Наилучшим выбором в таком слу-

чае могла бы стать беспроводная энер-

гоэффективная IP-сеть. На рисунке 2

показана встраиваемая система с пря-

мой линией последовательной пере-

дачи данных и их форматы.

Линия последовательной передачи

данных физически соединяет встро-

енное устройство с контроллером.

При этом возникают очевидные огра-

ничения, связанные с близостью двух

устройств и недостаточной гибкостью

в установлении функции управления

другими блоками оборудования. Эти

ограничения преодолеваются с помо-

щью IP-сети.

В нашем распоряжении имеется

несколько вариантов реализации бес-

проводного соединения. Мы выберем

Рис. 2. Связь встраиваемого устройства с линией последовательной передачи данных

Page 81: Электронные компоненты №5/2010

84

ТЕ

ОР

ИЯ

И П

РА

КТ

ИК

А

WWW.ELCP.RU

беспроводную локальную сеть (Wireless

LAN) IEEE 802.11n, но сначала в двух

словах обсудим стандарты Bluetooth и

ZigBee. Bluetooth — протокол беспро-

водной передачи данных для обмена на

небольших расстояниях. Он пользуется

большой популярностью для переда-

чи аудиоданных в наушники. ZigBee —

стандарт маломощной беспроводной

связи, предназначенный, в основном,

для датчиковых сетей.

Оба эти протокола, однако, страдают

двумя недостатками. Во-первых, они

обеспечивают лишь невысокие скоро-

сти передачи данных по сравнению с

другими протоколами, например WLAN

(БЛС). В целом, при использовании

Bluetooth и ZigBee при передаче опре-

деленного количества информации

расходуется больше энергии.

Во-вторых, в этих протоколах при-

меняется сложный сетевой стек, осо-

бенно в тех случаях, когда требуется

IP-интерфейс. На рисунке 3 представ-

лен сценарий, в котором встраивае-

мое устройство оснащено интерфей-

сом WLAN, благодаря которому оно

может подключаться к своему кон-

троллеру, находящемуся в любой

точке IP-сети.

Последовательный или UART-

интерфейс микроконтроллера систе-

мы подключен к модулю WLAN (см.

рис. 3). В других случаях используется

интерфейс SPI, который обеспечивает

синхронную линию последователь-

ной передачи данных со значитель-

но более высокими скоростями, чем

Рис. 4. Компоненты автономной подсистемы беспроводной локальной сети

UART. В зависимости от применяемого

микроконтроллера, тактовая частота

SPI может достигать 50 MГц и выше.

ПРЕИМУЩЕСТВА IEEE 802.11NБольшинство встраиваемых уст-

ройств с интегрированным блоком

802.11 WLAN использует унаследован-

ные стандарты 802.11b или 802.11g.

Скорости передачи данных, обеспечи-

ваемые этими технологиями, считались

достаточными для обмена относитель-

но небольшими объемами информации

между этими устройствами.

Однако все чаще на предприятиях

стали разворачиваться беспроводные

сети 802.11n. Стандарт IEEE 802.11n опре-

деляет характеристики физического

и MAC-уровней, позволяя конечному

пользователю работать при значитель-

но большей пропускной способности

определенного частотного канала.

Кроме того, этот стандарт определя-

ет средства достижения высокой про-

пускной способности в намного более

широком диапазоне, чем традиционная

сеть WLAN.

Благодаря большой пропускной

способности стандарт 802.11n очень

часто ассоциируют с высокоскорост-

ными линиями связи между высоко-

производительными вычислительными

платформами. Менее известным, но в

равной степени значительным, обстоя-

тельством является то, что эта техно-

логия позволяет намного эффективнее

использовать имеющийся частотный

спектр. При этом преимущества 802.11n

полностью реализуются, только когда

все узлы беспроводной сети имеют

возможность работать на основе этого

стандарта или совместимы с ним.

Наличие в сети унаследованных

узлов 802.11a/b/g вынуждает другие

узлы 802.11n использовать защитные

механизмы для сохранения целостно-

сти сети, что снижает ее пропускную

способность на 30% и более.

ИНТЕГРАЦИЯ 801.11NПодключение подсистемы WLAN к

микроконтроллеру устройства требует

учета нескольких факторов, включая

физические параметры и технические

условия на электротехническое обо-

рудование, выбор интерфейса, нагруз-

ку на ведущий узел, архитектуру про-

граммного обеспечения, механизмы

энергосбережения, эксплуатационные

показатели сети и аттестацию.

Основными компонентами под-

системы WLAN является контрол-

лер доступа к среде (Medium Access

Controller, MAC), процессор канала

прямой передачи (Baseband Processor,

BBP), аналоговый внешний каскад,

РЧ-трансивер, усилитель мощности

и другие РЧ-компоненты внешнего

каскада (см. рис. 4).

Для работы подсистемы требуется

стабильная опорная частота, которую,

как правило, обеспечивает кварце-

вый генератор. В некоторых случаях

источник опорной частоты коллектив-

но используется несколькими функ-

циональными подсистемами. РЧ-связь

осуществляется с помощью внутрен-

ней или внешней антенны. Усилия по

монтажу модуля WLAN можно свести

к минимуму, выбрав автономный блок.

Такой выбор сулит несколько преиму-

ществ.

Модуль WLAN уже подготовлен к

беспроводной передаче данных и отка-

либрован. Поскольку он экранирован

и имеет все необходимые РЧ-блоки,

его интеграция во встраиваемую систе-

му не ухудшает рабочие параметры. В

результате упрощается монтаж блока.

Даже в случае использования внешней

антенны подключение к ней упрощает-

ся за счет миниатюрного коаксиально-

го разъема и РЧ-кабеля. Автономный

модуль отдельно от всей подсистемы

сертифицируется как конечный про-

дукт.

В идеальном случае источник тока

имеет единственный выход по напря-

жению, тогда как все остальные напря-

жения генерируются блоком управ-

ления электропитанием (БУЭ) внутри

этого модуля. БУЭ также позволяет

управлять отдельным электропитани-

ем различных блоков подсистемы в

режиме энергосбережения. Имеется

несколько возможностей выбора

интерфейса микроконтроллера. Такие

Рис. 3. Встраиваемая система с интерфейсом WLAN, подключенная к локальной сети

Page 82: Электронные компоненты №5/2010

ТЕ

ОР

ИЯ

И П

РА

КТ

ИК

А

85

Электронные компоненты №5 2010

Рис. 6. Компоненты программного обеспечения для беспроводной передачи данных 802.11

интерфейсы как USB, PCI или PCIe

используются в системах с высокой

пропускной способностью, например

в запоминающих устройствах, беспро-

водных маршрутизаторах и ноутбуках.

При использовании встраивае-

мых систем, однако, выбор делается

в пользу одного из нескольких мало-

мощных интерфейсов, к которым отно-

сятся SDIO, SPI и UART. Интерфейс SDIO

(Secure Digital I/O) определяет 1- или

4-битную передачу данных по синхрон-

ной шине с использованием стандарт-

ного протокола. SDIO обеспечивает

высокую пропускную способность при

возможных значениях частоты синхро-

низации до 50 МГц и применяется во

встраиваемых системах с относительно

широкими возможностями, преиму-

щественно в тех, которые передают

большие объемы данных — видео или

графики. Высокопроизводительные

мик роконтроллеры обеспечивают

SDIO-интерфейс почти всегда совмест-

но с резидентной операционной систе-

мой, тогда как для стандартных 16- или

8-битных МК подобное невозможно.

В последних случаях интеграторы

беспроводной локальной сети выби-

рают между SPI и последовательным

интерфейсом UART. SPI может исполь-

зоваться для передачи блоков данных

в байтовом формате «адрес вслед за

данными». Как правило, конфигурация

этого интерфейса определяется про-

шивкой, и потому он потенциально

может немного отличаться в зависимо-

сти от типа микроконтроллера. SPI —

маломощный интерфейс, обеспечива-

ющий довольно высокую пропускную

способность до 15 Мбит/с и выше.

Не всегда микроконтроллер-

ные приложения с SPI-интерфейсом

используют операционную систему

как часть своей программной среды.

Синхронная природа SPI предусматри-

вает уникальный механизм энергос-

бережения во время малой активно-

сти беспроводной передачи данных.

При этом большинство блоков WLAN-

модуля может находиться в спящем

режиме с отключенной тактовой

частотой, тогда как хост-интерфейс

по-прежнему остается активным и

использует тактовую частоту SPI для

получения данных от хоста.

Некоторые модули WLAN помимо

других режимов энергосбережения

имеют возможность работать с мак-

симальной энергоэффективностью.

Асинхронный последовательный

интерфейс UART является самым рас-

пространенным механизмом передачи

данных в микроконтроллерах. По этой

причине встраиваемые устройства

интегрируют WLAN-модули, как прави-

ло, через этот интерфейс.

Пропускная способность UART огра-

ничена: при возможной скорости пере-

дачи в несколько Мбит/с большинство

реализаций ограничено пределом в

115,2 Кбит/с и менее. UART предусма-

тривает передачу сигналов управления

или данных с помощью специальных

АТ-команд или индикаторов.

Архитектура системы программного

обеспечения является важным аспек-

том интеграции WLAN во встраивае-

мую систему. Протокол беспроводной

локальной сети накладывает собствен-

ные требования по управлению данны-

ми и соединением, тогда как нагрузка со

стороны дополнительного программ-

ного обеспечения выражается в форме

сетевого стека TCP/IP и настройки кон-

фигурации сети. На рисунке 6 показан

полный типовой программный стек

при передаче данных по беспроводной

локальной сети WLAN 802.11.

Представленная на рисунке 6

архитектура за-

ключает значи-

тельную долю про-

грам мной функ-

ц и о н а л ь н о с т и ,

реализуемой в

хост-процессоре.

Необходимость

в о б н о в л е н и и

функции прово-

дной связи встра-

иваемого устрой-

ства до стека WLAN

и IP-сети особенно

остро возникает

при интеграции

дополнительного

ПО. По этой причине часто наилуч-

шим выбором является использова-

ние WLAN-модуля, который полностью

реализует все необходимое про-

граммное обеспечение. На рисунке 7

в схематичном виде показаны функ-

циональные возможности программ-

ного обеспечения для работы хост-

микроконтроллера и WLAN-модуля.

ФУНКЦИОНАЛЬНЫЕ ВОЗМОЖНОСТИ МОДУЛЯ WLANФункциональные средства встраи-

ваемого устройства, связанные с бес-

проводным подключением, можно

и далее минимизировать с помощью

механизма обновления беспроводной

конфигурации в подсистеме WLAN. При

вводе устройств в эксплуатацию бес-

проводное подключение устанавли-

вается в соответствии со стандартной

Page 83: Электронные компоненты №5/2010

86

ТЕ

ОР

ИЯ

И П

РА

КТ

ИК

А

WWW.ELCP.RU

конфигурацией беспроводной инфра-

структуры. После установления этого

соединения можно обновить конфигу-

рацию с помощью прошивки, скоррек-

тировав параметры соединения.

Далее подключение устанавливает-

ся в соответствии с новой назначенной

беспроводной сетью. Функциональные

возможности программного обеспече-

ния WLAN-модуля в идеальном случае

должны быть следующими:

– совместимость с технологиями

802.11b/g и Single Stream 802.11n;

– все функции протокола и конфи-

гурации при WLAN-подключении рабо-

тают в безопасных режимах Open и

WPA/WPA2;

– наличие последовательных ин-

терфейсов UART или SPI;

– наличие портов TCP и UDP, а также

кодонезависимого последовательного

модема;

Рис. 7. Архитектура системы программного обеспечения встраиваемого устройства и модуля WLAN

– обновление конфигурации

с помощью UART или беспроводных

средств;

– наличие специализированных

режимов и режима инфраструктуры

для обеспечения максимальной экс-

плуатационной гибкости;

– реализация мелкомодульных

энергосберегающих методов;

– наличие механизмов роумин-

га для прямого подключения внутри

предприятия;

– автоматическая настройка скоро-

сти передачи данных в расширенном

рабочем диапазоне.

В тех случаях, когда встраиваемая

система уже создана с использова-

нием сетевого стека TCP/IP, WLAN-

модуль должен обладать возмож-

ностью игнорировать свой сетевой

стек и обрабатывать только данные

WLAN.

ИСПОЛЬЗОВАНИЕ ОЦЕНОЧНЫХ КОМПЛЕКТОВКаким бы простым ни было назна-

чение встраиваемого устройства, оно

представляет собой большую совокуп-

ность аппаратных и программных ком-

понентов. Лишь в редких случаях раз-

работчикам, решающим такие задачи

как проектирование платы, выбор ком-

понентов, конфигурация подсистем,

определение рабочих характеристик,

создание достоверных сред и т.д., все

удается сделать правильно с первой

попытки.

По этой причине очень часто боль-

шинство из них использует многофунк-

циональные средства разработки или

оценочные комплекты, поставляемые

ведущими производителями. Эти ком-

плекты являются идеальной платфор-

мой, позволяющей решить задачи про-

ектирования.

Разработчики пользуются оценоч-

ными платами от поставщиков моду-

лей WLAN, которые обеспечивают уже

готовые интерфейсы для подключения

к выбранному микроконтроллерно-

му комплекту разработки. Эти платы

поставляются вместе с уже портиро-

ванным или легко устанавливаемым на

МК-платформу программным обеспе-

чением.

В стандартный набор комплекта

разработки также входит точка бес-

проводного доступа с заданной кон-

фигурацией, благодаря чему WLAN-

интерфейс включается в состав среды

разработки и используется уже на

этапе проектирования, испытания и

оптимизации встраиваемого устрой-

ства.

Таким образом, в ближайшие годы

концепция «Интернет вещей» затронет

миллиарды устройств. Беспроводные

сети на основе стандарта IEEE 802.11n

станут основным средством подклю-

чения этих устройств, и потому выбор

системными разработчиками микро-

контроллерной платформы и Wi-Fi-

модуля становится первостепенной

задачей успешного ввода системы в

эксплуатацию на этом многообещаю-

щем рынке.

НОВОСТИ СИСТЕМ НАВИГАЦИИ

| БЮДЖЕТНЫЙ НАВИГАТОР TEXET TN-300 — неплохая функциональность менее чем за 3000 руб | Компания «Электронные

системы «Алкотел» расширила свой ассортимент навигаторов бюджетной моделью teXet TN-300. Этот GPS-навигатор, как утверж-

дает производитель, отличается оптимальным соотношением качества и цены. Действительно, это решение попадает в ценовую

категорию до 3000 руб. Но при этом устройство оснащено ярким сенсорным 3,5-дюймовым дисплеем и заключено в корпус с

приятным на ощупь и нескользящим покрытием soft-touch. Навигатор отличается небольшой толщиной — всего 13 мм.

teXet TN-300 построен на процессоре SiRF Atlas IV с тактовой частотой 500 МГц и оснащен 64-канальным GPS-приемником.

Объем оперативной памяти составляет 64 Мбайт. Кроме того, навигатор оснащен датчиком освещенности для автоматической

подстройки яркости дисплея. teXet TN-300 комплектуется полным пакетом карт России с бесплатными обновлениями: «Навител

Навигатор 3» (версия 3.2.6.) либо CityGuide 3 (версия 3.7), в зависимости от региона продаж. А кроме навигационных возмож-

ностей у teXet TN-300 имеется встроенный медиаплеер с поддержкой форматов MPEG, MPG, ASF, WMV, AVI, MP3, WMA. Также с

экрана можно читать файлы в текстовом формате TXT. Новинка поступит в продажу в ближайшее время.

www.russianelectronics.ru

Page 84: Электронные компоненты №5/2010

ДА

ТЧ

ИК

И

87

Электронные компоненты №5 2010

До недавнего времени автомобильная электроника была главной движу-

щей силой рынка MEMS. Однако постепенно MEMS-приборы все шире вне-

дряются и в иные приложения, например, в такие критически важные как

контроль прочности крупных конструкций. В статье рассматриваются

особенности некоторых новых технологий MEMS, которые позволяют

существенно улучшить характеристики этих приборов, в частности,

уровень шума, стабильность и динамический диапазон, одновременно

уменьшая их стоимость.

СОВРЕМЕННЫЕ ТЕХНОЛОГИИ MEMS

ОТКРЫВАЮТ ПУТЬ ДЛЯ НОВЫХ

ПРИЛОЖЕНИЙ

ПАВЕЛ УСАЧЕВ, техн. консультант, ИД «Электроника»

Впервые коммерческий успех

MEMS-устройства завоевали в каче-

стве детекторов столкновений в авто-

мобильных подушках безопасности.

Уменьшение стоимости, размеров и

потребляемой мощности современных

датчиков, а также расширение базы

производителей позволило MEMS-

устройствам выйти на рынок потре-

бительской электроники. Например,

в ноутбуках используются 3-коорди-

натные акселерометры для защиты

жесткого диска при случайном ударе.

В смартфонах и мобильных телефонах

MEMS-акселерометры позволяют упро-

стить пользовательский интерфейс. В

игровых устройствах MEMS-системы

помогают определить пространствен-

ное положение игрового контроллера.

Тем не менее такие параметры

MEMS-устройств как уровень шума и

стабильность, которые являются клю-

чевыми для навигационных систем, не

претерпели существенных улучшений,

по сравнению с их стоимостью, разме-

рами и энергопотреблением.

В последнее время такие техноло-

гии производства MEMS-устройств

как травление линий, в которых высо-

та в несколько раз превышает шири-

ну линии (high-aspect-ratio etching),

сращивание пластин (wafer bonding)

и корпусирование получили дальней-

шее развитие. Появились также совер-

шенно новые подходы в производстве

MEMS-устройств, которые позволят

этим приборам выйти на рынок крити-

чески важных приложений и потреби-

тельской электроники.

УМЕНЬШЕНИЕ УРОВНЯ ШУМА И РАСШИРЕНИЕ ДИНАМИЧЕСКОГО ДИАПАЗОНАИспользуя опыт применения нано-

технологий при создании печатающих

головок с высокой плотностью форсу-

нок и высокой энергоэффективностью,

компания Hewlett Packard разработа-

ла запоминающее устройство на базе

MEMS. В процессе разработки была

создана технологическая платформа

для производства нового поколения

инерциальных MEMS-датчиков.

Такое запоминающее устрой-

ство позволяет реализовать систе-

му, подобную CD-RW, на кристалле.

Вращающийся диск и лазер были

заменены на координатный манипуля-

тор — микродвижитель (micromover)

и электронные прожекторы с холод-

ным катодом. В обоих устройствах для

хранения данных используется среда с

фазовыми переходами.

Микродвижитель, созданный с

помощью технологии травления,

при использовании которой высота

в несколько раз превышает ширину

линий и сращивания пластин, позволил

кардинально преобразовать конструк-

цию инерциального MEMS-датчика.

Не случайно, что созданные на базе

микродвижителя MEMS-датчики имеют

высокие характеристики, ведь требова-

ния к устройствам памяти и инерциаль-

ным датчикам сходны. Рассмотрим эти

требования.

1. Температурная стабильность.

Самый важный параметр любого

устройства хранения данных или инер-

циального датчика — способность

выдерживать изменения температуры

без ухудшения характеристик. Для того

чтобы обеспечить температурную ста-

бильность устройства, разработчики

используют конструкцию в виде еди-

ного кристалла кремния с минимумом

металлических и диэлектрических

слоев для уменьшения возможных

напряжений при изменении темпера-

туры.

2. Большая чувствительная масса.

Емкость устройств хранения информа-

ции определяется площадью запоми-

нающей среды. Для увеличения емко-

сти необходимо создать более крупный

микродвижитель. В датчике увеличе-

ние массы является ключевым факто-

ром уменьшения термомеханического

шума. Поэтому, создавая компактные

датчики, необходимо учитывать такие

факторы как термомеханический шум,

который ограничивает разрешение дат-

чика. Почти всегда можно найти ком-

промисс между малым форм-фактором

и высокими характеристиками датчи-

ка.

3. Межосевая изоляция. Для

устройств хранения информации кри-

тичным фактором является точный

контроль зазора между средой хра-

нения и электронным пучком. Среда

хранения должна быть в фокальной

плоскости к электронному пучку для

того, чтобы надежно считывать и запи-

сывать данные.

Для создания гибкого подвеса с

поперечной устойчивостью, что обе-

спечивает точный контроль зазора,

используется травление пластин, при

котором высота линий в несколько раз

превышает их ширину. Для инерциаль-

ных датчиков это позволяет добиться

межосевой изоляции и увеличения чув-

ствительной массы.

4. Широкий динамический диа-

пазон. Чем на большее расстояние

перемещается микродвижитель, тем

больший объем данных доступен для

электронного пучка при каждой опе-

рации считывания/записи. Увеличение

диапазона перемещения позволяет

уменьшить количество электронных

пушек и, в результате, снизить слож-

ность и стоимость системы, т.к. число

параллельных каналов уменьшается.

Для увеличения диапазона переме-

щений была разработана конструкция

электростатического поверхностного

Page 85: Электронные компоненты №5/2010

88

ДА

ТЧ

ИК

И

WWW.ELCP.RU

Рис. 2. Сравнение технологии создания MEMS-структуры внутри КМОП-пластины компании Baolab с обыч-ным MEMS-устройством, сформированным сверху пластины

электрода, радикально отличающаяся

от гребенчатого электрода, который

ранее применялся в MEMS-актуаторах.

Этот подход позволил точно контро-

лировать зазор и другие размеры с

помощью метода сращивания пластин

и литографии, что обеспечило широкий

диапазон плотности силы актуатора

или изменения емкости при измерении

ускорения.

Самым важным преимуществом

такой конструкции, однако, является

то, что диапазон перемещения поверх-

ностных электродов не ограничен, т.к.

они имеют пальчиковую конфигура-

цию (см. рис. 1). Таким образом, новый

подход кроме высокого разрешения

обеспечивает более широкий дина-

мический диапазон. Например, такой

микроакселерометр имеет диапазон

измерений, превышающий 10g.

Измерения показали, что исполь-

зование новой технологической плат-

формы может обеспечить на порядок

лучший уровень шума, динамический

диапазон и стабильность при сохране-

нии таких традиционных преимуществ

MEMS-датчиков как низкая стоимость,

компактные размеры и малая потре-

бляемая мощность. Повышенная гиб-

кость системы позволяет создавать

акселерометры и гироскопы на одном

кристалле, которые могут производить

измерения по всем шести координатам

движения, т.е. X, Y, Z, крену, тангажу и

рысканью (roll, pitch и yaw). Интеграция

датчиков в одном кристалле обеспечи-

вает точное выравнивание по коорди-

натам, а также снижает затраты на кор-

пусирование по сравнению с другими

технологиями.

Внедрение данной технологии

позволит создать сверхчувствительные

датчики для некоторых критичных при-

ложений, в которых требуются низкий

уровень шума и большой динамиче-

ский диапазон.

Например, разработчикам, занима-

ющимся системами контроля состоя-

ния конструкций, требуются датчики,

которые способны контролировать в

режиме реального времени колеба-

ния конструкций моста. Крупный мост

может использовать сотни и тысячи

сенсорных блоков, оборудованных

акселерометрами, которые способны

удаленно отслеживать процесс старе-

ния конструкций и генерировать спе-

циальные сигналы в случае какого-

либо отклонения от штатного режима.

Эти датчики должны быть компактны-

ми, надежными, недорогими и мало-

мощными, чтобы минимизировать

обслуживание системы после ее уста-

новки.

Использование датчиков с более

низким уровнем шума (для улучшения

чувствительности) и большей стабиль-

ностью (для лучшего разрешения низ-

кочастотных вибраций, характерных

для крупных конструкций) позволяет

оптимизировать систему мониторинга

прочности конструкции.

Эти датчики могут быть также

использованы в геофизических при-

ложениях для контроля движения во

время сейсмических явлений, таких

как землетрясение. Информация, полу-

ченная в режиме реального времени

во время землетрясения, может быть

использована для быстрого определе-

ния степени безопасности конструк-

ции.

ИНТЕГРАЦИЯ MEMS И КМОП В СТАНДАРТНОМ ТЕХНОЛОГИЧЕСКОМ ПРОЦЕССЕИнтеграция MEMS и КМОП-процесса

обеспечивает ряд преимуществ, таких

как уменьшение размера кристалла

и большое число качественных меж-

соединений. Однако существующие

решения представляют собой либо

формирование структуры на поверх-

ности пластины после окончания

КМОП-процесса, либо создание выем-

ки для MEMS-структуры в пластине

с использованием обычных методов

обработки по технологии MEMS. Оба

подхода дороги и требуют больших

затрат времени и специального обору-

дования, которое, как правило, мало-

производительно.

Компания Baolab Microsystems

потратила несколько лет на разработ-

ку патентованной технологии создания

MEMS-устройств в пластине, используя

стандартные высокопроизводительные

линии, что существенно облегчает и

ускоряет производство, т.к. количество

необходимых операций уменьшает-

ся. Если несколько различных MEMS-

устройств формируются на одном и том

же кристалле, их стоимость снижается

на две трети и более.

В технологии NanoEMS™ компании

Baolab для формирования MEMS-

структуры с помощью стандартных

шаблонов используются существую-

щие металлические слои в КМОП-

пластине (см. рис. 2). Диэлектрик, рас-

положенный между слоями металла,

удаляется через окна в слое пасси-

вации при травлении в HF в паровой

фазе (vHF-травление). Для травления

используется оборудование, которое

доступно для массового производства,

а сам процесс занимает менее часа,

что весьма незначительно увеличива-

ет общее время изготовление прибо-

ра. Вытравленные углубления затем

Рис. 1. Увеличенная чувствительная масса снижает тепловой вибрационный шум, что обеспечивает более точное измерение ускорения

Page 86: Электронные компоненты №5/2010

ДА

ТЧ

ИК

И

89

Электронные компоненты №5 2010

покрываются защитным слоем, а кри-

сталл заключается в корпус. Поскольку

используется только стандартный

КМОП-процесс, MEMS-устройство,

созданное по технологии NanoEMS,

можно непосредственно интегриро-

вать с активной схемой.

Компания Baolab успешно создала

MEMS-устройство, используя стандарт-

ные 8-дюймовые пластины, изготовлен-

ные по 0,18-мкм КМОП-технологии с

четырьмя и более металлическими сло-

ями, и получила 200-нм минимальные

размеры элементов. Это на порядок

меньше, чем может быть достигнуто

в обычных MEMS-устройствах. Таким

образом, технология NanoEMS обеспе-

чивает создание наноMEMS-устройств,

что позволяет получить дополнитель-

ные преимущества из-за уменьшения

размеров, снижения потребляемой

мощности и повышения быстродей-

ствия.

Хотя эта новая патентованная тех-

нология кажется простой, компании

Baolab пришлось преодолеть ряд труд-

ностей. Например, довольно сложно

было контролировать зону травления

из-за возможности перетрава, разру-

шения пассивационного слоя и выхо-

да из строя всей структуры. Компания

Baolab снизила остроту этой пробле-

мы до минимума, обеспечив контроль

той части диэлектрика, которая должна

быть удалена, по изменению показате-

ля преломления пассивационного слоя

SiN.

Другой проблемой были тонкие

металлические слои, не оптимизиро-

ванные для применения в конструк-

ции MEMS. Эту задачу можно было

бы решить путем внесения измене-

ний в КМОП-процесс, однако компа-

ния Baolab захотела найти решение,

которое не зависело бы от производ-

ственной линии. В результате была раз-

работана конструкция, которая допу-

скает такие параметры слоев металла.

Большая работа была также проведе-

на для решения проблемы текучести

металла.

Компания Baolab планирует произ-

водить дискретные MEMS-устройства,

включая РЧ-ключи, электронные компа-

сы и акселерометры, а также предлага-

ет решения, которые сочетают несколь-

ко функций на одном кристалле. Эти

компоненты предназначены для разра-

ботчиков и производителей мобильных

телефонов, а также для рынка модулей

усилителей мощности и радиочастот-

ных входных каскадов.

Согласно исследованиям Yole

Research, в течение ближайших трех

лет совокупные темпы годового роста

мировых продаж радиочастотных

MEMS-ключей составят более 80%, что

в основном определяется рынком сото-

вых телефонов. Кроме того, недорогие

радиочастотные MEMS-ключи являются

важнейшими компонентами для ново-

го сектора рынка радиоприемников

для мобильных телефонов, который по

прогнозам вырастет до 1,4 млрд долл.

к 2015 г. В сотовых 3G/4G-телефонах

радиочастотные MEMS-устройства

позволяют работать в нескольких

частотных диапазонах (около десяти)

за счет различных методов модуляции.

Эти функции обеспечиваются с помо-

щью радиочастотных MEMS-ключей,

которые позволяют гибко конфигури-

ровать архитектуру системы.

Технология NanoEMS позволя-

ет легко создать на одном КМОП-

кристалле необходимое количество

ключевых элементов на обычных про-

изводственных линиях с помощью

традиционных методов. За счет этого

существенно снижается стоимость ком-

понентов для многополосных и много-

режимных сотовых телефонов следую-

щего поколения.

ПЕРВЫЕ ИНТЕГРИРОВАННЫЕMEMS-УСТРОЙСТВА ДЛЯ ESPЕще одним примером внедрения

новых технологий в MEMS-устройства

является интегрированный модуль

инерциального датчика компании

Bosch, содержащий датчик угловой

скорости рысканья и ускорения, рас-

положенный поверх кристалла ASIC в

16-выводном пластмассовом корпусе

SOIC.

Данное устройство было разработа-

но в рамках программы развития элек-

тронных систем динамической стаби-

лизации автомобиля (Electronic Stability

Program, ESP), в которых применяются

MEMS-акселерометры и MEMS-датчики

давления. Первые поколения этих

устройств не позволяли интегрировать

датчики угловой скорости и ускорения

в схему считывания в отдельном ком-

пактном корпусе. С выпуском комбини-

рованного инерциального датчика для

системы ESP SM1540 компания Bosch

решила эту проблему (см. рис. 3).

Разработанное устройство состоит

из двух кристаллов MEMS-датчиков с

микрообработкой поверхности — один

для измерения угловой скорости, дру-

гой для измерения ускорения, которые

расположены на кристалле ASIC для

считывания данных. Чувствительным

элементом для измерения угловой ско-

рости является вибрационный гиро-

скоп с электростатическим приводом.

Он изготовлен с помощью микрообра-

ботки поверхности кремния с исполь-

зованием слегка модифицированного

процесса компании Bosch со слоем

поликремния толщиной 11 мкм, из

которого формируются движущиеся

части микроструктуры.

Датчик содержит две почти идентич-

ные массы, соединенные пружиной для

Рис. 3. Интегрированный инерциальный датчик для системы ESP SM1540 компании Bosch

того, чтобы гарантировать синхронные

колебания всей структуры. Устройство

имеет рамку привода, расположенную

на внешнем контуре, рамку Кориолиса

и рамку детектирования. Все рамки

соединены U-образными пружинами,

а внешняя и внутренняя рамки прикре-

плены также с помощью U-образных

пружин к подложке. Приводная рамка

возбуждается на резонансных колеба-

ниях частотой около 15 кГц с помощью

электростатических гребенчатых при-

водов, двигающихся антипараллельно

вдоль координаты X.

Датчики работают в обычном авто-

мобильном диапазоне температур

–40…120°C, а максимальные значения

уровня шума составляют ±0,1°/с.

ЗАКЛЮЧЕНИЕНовые технологии позволят MEMS

проникнуть в такие системы как при-

боры сбора энергии, микроэлементы

питания и микрозеркала.

Интеграция различных MEMS-

устройств в одном модуле обещает бур-

ное развитие рынка MEMS-приложений

за счет новых возможностей, например,

благодаря созданию приборов с девя-

тью степенями свободы — комбина-

цией 3D-акселерометра, 3D-гироскопа

и 3D-магнитометра. Кроме того, такие

приложения как беспроводные сети

датчиков получат дальнейшее развитие

за счет интегрирования радиочастот-

ных каскадов, устройств сбора энергии

и чувствительных элементов.

Эволюция технологий MEMS суще-

ственно влияет на способы получения

данных из окружающей среды и взаи-

модействия с ней. Технология нано-

датчиков позволяет создавать сетевые

системы датчиков, которые сочетают

отличные характеристики, малые раз-

меры и стоимость, что требуется для

критически важных приложений.

ЛИТЕРАТУРА

1. Peter G. Hartwell. Rethinking MEMS

sensor design for the masses//Electronic

Engineering Times Europe, March 2010.

2. Josep Montanya i Silvestre. MEMS now

inside the CMOS wafer//Electronic Engineering

Times Europe, March 2010.

3. Patrick Mannion. ISSCC: Bosch unveils

integrated MEMS automotive sensor//www.

eetimes.com.

4. Benedetto Vigna. Making MEMS: A short

guide//www.automotivedesignline.com.

Page 87: Электронные компоненты №5/2010

90

ПО

СЛ

Е Р

АБ

ОТ

Ы

WWW.ELCP.RU

В статье описан принцип работы электронного замка ВМ3420.

Блок обеспечения доступа на основе RFID-технологии BM3420АЛЕКСАНДР КАМЕНСКИЙ

Блок BM3420 предназначен для

организации ограничения доступа

в помещение с помощью техноло-

гии RFID. Главным элементом набора

является считывающее устройство,

осуществляющее проверку валидно-

сти RFID-карточек стандарта Mifare

(13,56 МГц, 106 Кбит/с).

ТЕХНОЛОГИЯ RFIDТехнология радиочастотной иденти-

фикации (RFID — radio frequency iden-

tifi cation) появилась еще во времена

второй мировой войны, однако бурное

развитие RFID началось лишь четверть

века назад.

Широкие возможности технологии

RFID позволяют использовать ее во

многих отраслях: торговля, промыш-

ленность; имеются даже примеры при-

менения в военной технике. RFID-метки

наносят на товары в магазинах, книги в

библиотеках, железнодорожные ваго-

ны и т.д. В последнее время некоторые

популярные модели телефонов осна-

щаются RFID-интерфейсом.

Простейшая RFID-система состоит

из двух устройств, а именно транс-

пондера и считывающего устройства,

осуществляющих обмен данными.

Транспондерами называют микро-

чипы с прикрепленной антенной. Они

обычно имеют до 2 Кбайт встроенной

памяти и бывают активными, пассив-

ными или полупассивными. Самыми

дешевыми являются пассивные чипы,

их стоимость начинается от нескольких

центов. Самые дорогие — активные, их

цена может достигать 100 долл.

Основным «интеллектуальным» эле-

ментом RFID-системы является считы-

вающее устройство (reader — ридер,

который в настоящее время часто назы-

вают «валидатор»). Ридером чаще всего

является стационарный терминал.

Рис. 1. Внешний вид печатной платы блока элек-тронного замка BM3420 Рис. 2. Блок-схема устройства

Обычно процесс обмена данны-

ми происходит по инициативе счи-

тывающего устройства (в случае с

пассивными транспондерами). Оно

несколько раз в секунду производит

опрос окружающего пространства (до

нескольких сантиметров при исполь-

зовании пассивных транспондеров

и до нескольких метров в случае с

активными транспондерами) и при

обнаружении RFID-устройства начи-

нает одно- либо двухсторонний обмен

данными.

В технологии RFID в зависимо-

сти от страны и области применения

используется множество радиочастот,

среди которых самыми распростра-

ненными являются 125 кГц, 13,56 МГц,

850…900 МГц и 2,45 ГГц.

ОПИСАНИЕ УСТРОЙСТВАВнешний вид устройства приведен

на рисунке 1. Схема подключения бло-

ков и внешних компонентов приведена

на рисунке 2.

Основным управляющим элементом

системы является процессорный блок,

который организует проверку валид-

ности RFID-карточек стандарта Mifare. В

нем установлена процессорная плата и

рамочная антенна, выполненная печат-

ным монтажом.

В качестве процессора используется

микроконтроллер ATMEGA16L-8AI. В его

задачи входит:

– управление RFID-каналом;

– реализация хранения базы дан-

ных карточек, а именно проверка

валидности, добавление, изменение

или удаление информации о карточ-

ках;

– индикация состояния системы;

– организация двусторонней связи

с персональным компьютером через

интерфейс RS-232;

– поддержка сетевого протокола

взаимодействия.

Блок управления замком выполняет

следующие задачи:

– питание процессорного блока;

– питание системы от резервного

источника питания;

– поддержка сетевого протокола;

– управление подачей питающего

напряжения на обмотку замка.

Управление данным блоком и под-

держка сетевого протокола взаи-

модействия с процессорной платой

осуществляется с помощью микро-

контроллера ATtiny2313V-10SU. Преоб-

разователь физических уровней

собран на микросхеме MAX3075EESA+.

Основное питающее напряжение

системы подается через разъем XS2

DJK-02A. Внешний источник, предостав-

ляющий резервное питание, подклю-

чается к разъему XS1 Con 15EDGR-02.

Схема управления подачей на обмотку

замка питающего напряжения собра-

на на транзисторах IRFL014NPBF и

IRFL9014PBF.

С целью защиты от перенапряжения

питания в схеме установлен диод VD1

MBRS340T3G. На процессорную плату

напряжение питания поступает через

разъем XS7 Con 15EDGR-02.

К разъему XS8 Con 15EDGR-02 под-

ключаются информационные линии, а

к XS3 — кнопка открытия замка. Разъем

XS5 PLS-3 является управляющим. В

случае необходимости к замку можно

подключить линии 12 В и общей земли

(GND).

Бесплатный заказ продукции

«Мастер Кит» по тел.: 8-800-200-0934

(с 9.00 до 18.00, кроме выходных). За-

дать вопрос или получить консуль-

тацию можно по электронной почте:

[email protected].

Табл. 1. Технические характеристики

Параметр Значение

Напряжение питания, В 12,0 ±5%

Макс. потребляемый ток (без замка), А 0,2

Макс. потребляемый ток замка, А 0,5

Возможность подключения резервного источника питания

есть

Габаритные размеры процессорного блока, мм

90×65×30

Габаритные размеры блока управления замком, мм

72×50×28

Количество запоминаемых карточек-ключей, шт.

126

Page 88: Электронные компоненты №5/2010

ПО

СЛ

Е Р

АБ

ОТ

Ы

91

Электронные компоненты №5 2010

АНОНС НОВИНОК МАСТЕР КИТ

| BM500 — МЕДИАПЛЕЕР C HDMI-ВЫХОДОМ | Устройство BM500 предназначено для

воспроизведения фильмов, фотографий (слайд-шоу), музыки, находящихся на картах

памяти (SD, MMC, MS); USB-накопителе; внешнем жестком USB-диске, а также на вну-

треннем жестком диске (в комплект не входит, для его подключения внутри устрой-

ства имеется стандартный SATA-разъем).

Устройство имеет несколько видеовыходов (стандартный AV (тюльпаны), HDMI,

компонентный YPbPr).

Технические характеристики BM500:

– напряжение адаптера питания +5 В;

– ток адаптера питания 2 А;

– поддерживаемые форматы изображения DAT, AVI, VOB, MPG, MPEG, MP4, MP3,

WMA, GPG;

– поддерживаемые кодеки DivX, Xvid, MPEG1, MPEG2, MPEG4;

– поддержка внутреннего 2,5-дюймового жесткого диска, FAT32, NTFS SATA-разъем;

– поддержка USB 2.0 со скоростью 480 Мбит/с, 12 Мбит/с;

– поддержка внешнего жесткого USB-диска FAT32, NTFS;

– габаритные размеры 140×80×20 мм.

| MK356 — АВТОМОБИЛЬНЫЙ ОТПУГИВАТЕЛЬ КРЫС И МЫШЕЙ | Устройство предназначено для защиты автомобиля и поме-

щений от крыс и других грызунов.

Особенностью MK356 является постоянное изменение частотных и временных параметров излучаемого ультразвуко-

вого сигнала, что исключает привыкание грызунов к ультразвуковому сигналу. Устройство работает в двух чередующихся

режимах.

– Режим «Работа». В течение 3 мин излучается ультразвук, который раздражает грызунов.

– Режим «Пауза». В течение 3 мин уши грызунов могут немного отдохнуть.

Грызуны очень умные, поэтому они не живут там, где им некомфортно. Они вынуждены покинуть помещение, где уста-

новлен MK356 в течение первых дней его работы.

Технические характеристики:

– напряжение питания — постоянное (батарея типа «Крона»);

– ток потребления в режиме «Работа» — 3 мА;

– ток потребления в режиме «Пауза» — 1,5 мА;

– время работы до замены батареи питания — 14 дней;

– площадь эффективного воздействия — до 80 м2;

– диапазон излучаемых частот — до 50 кГц;

– потребляемая мощность — до 7 Вт/ч;

– уровень ультразвукового давления на расстоянии 1 м — до 95 дБ;

– габаритные размеры — 90×65×35 мм.

| РУЧКА-МАССАЖЕР МТ1011 | На конце ручки расположен элемент, который вибрирует при нажатии.

Помассировав им активные точки тела (точки акупунктуры), можно за несколько минут снять головную боль, избавиться от

стресса, взбодриться и привести свой организм в нормальное, бодрое и рабочее состояние.

СОБЫТИЯ РЫНКА

| GARTNER: ДОХОДНОСТЬ ПОЛУПРОВОДНИКОВЫХ УСТРОЙСТВ БУДЕТ РАСТИ ДО 2014 Г. | Согласно последним прогнозам

Gartner Inс. В 2010 году будет наблюдаться постоянный рост доходов полупроводниковой промышленности.

По прогнозам аналитиков, прирост доходов в 2010 г. по сравнению с 2009 г. составит 27,1% и достигнет уровня

290 млрд долл. В 2011 г. эта цифра вырастет до 307 млрд долл. Агентство Gartner заявило, что рост продолжится до 2014 г.

По предварительным оценкам, рост продаж в I кв. 2010 г. превысил ожидаемые 19,9%. Gartner объяснило это стреми-

тельным выходом из кризиса во всех областях и большинстве товарных категорий.

«Развитие схем на полупроводниках было существенным последние пять кварталов — намного выше сезонных норм,

и производства загрузились до предела», — заявил Брайан Льюис (Bryan Lewis), вице-президент по исследованиям в

Gartner. — «Объем продаж чипов растет быстрее, чем объем продаж систем, и это становится проблемой. Gartner прогно-

зирует, что во второй половине 2010 г. рост будет ниже среднего, т.к. ожидается небольшая коррекция в продажах полу-

проводников за счет электронной системы продаж. Но даже с этими незначительными коррекциями мы прогнозируем

очень высокий рост продаж полупроводниковых приборов в 2010 г.».

В обновленном прогнозе этого квартала агентство Gartner подняло прогнозы объемов производства для ПК, мобиль-

ных телефонов, автомобильных систем. В частности, на ПК и мобильные телефоны придется около 40% роста рынка полу-

проводниковых приборов в 2010 г. На рынке ПК средняя цена на процессоры укрепляется, и ожидается, что рост прибыли

составит порядка 15,5% по сравнению с 10% в предыдущих прогнозах.

«Заметный рост ПК в сочетании с ростом цен на DRAM вызовет рост рынка DRAM в 2010 г. на 78%, что сделает его

ведущим рынком полупроводниковых устройств», — отмечает Gartner. «Аналитики считают, что спрос на такие планшеты

как iPad от Apple заметно повлияет на рынок ПК к 2013 г., что лишь усилит рост этого сегмента. Однако в ближайшее время

такие устройства будут иметь минимальное влияние на рынки ПК и смартфонов».

www.russianelectronics.ru

Page 89: Электронные компоненты №5/2010

92

ПО

СЛ

Е Р

АБ

ОТ

Ы

WWW.ELCP.RU

В статье предложена схема автоматического балансировочного устрой-

ства для выравнивания потенциалов 2—5 литиевых аккумуляторов.

Балансировочное устройство для заряда аккумуляторов LiPo

Достоинств у литиево-полимерных

аккумуляторов (LiPo) гораздо больше,

чем у любых других: небольшой вес,

высокая плотность энергии, малый ток

разряда, относительно быстрый процесс

заряда (1—3 ч). Однако в случае переза-

ряда или неправильных условий заря-

да они могут взорваться или ухудшить

характеристики, поэтому для литиевых

батарей требуется специализированное

зарядное устройство со схемой монито-

ринга и балансировки тока.

БАЛАНСИРОВКА ТОКАПри заряде литиево-полимерных

батарей необходимо соблюдать

несколько правил: сила тока должна

поддерживаться на уровне 0,5С…1С 1,

Рис. 2. Выравнивание потенциалов двух элементов

Рис. 1. Внешний вид печатной платы

а напряжение аккумулятора не должно

превышать 4,1…4,2 В.

Если в сборке присутствует несколь-

ко последовательно соединенных эле-

ментов, то небольшие отклонения в

одном из них со временем приводят

к преждевременной порче аккумуля-

торов, если схема не сбалансирована.

Этот эффект не наблюдается у аккуму-

ляторов NiCd или NiMh.

Как правило, в сборке все элемен-

ты имеют близкую, но не одинаковую,

емкость. Если два элемента с разными

емкостями соединены последователь-

но, то элемент с меньшей емкостью

заряжается быстрее, чем с большей.

Поскольку процесс заряда происходит

до тех пор, пока не зарядится элемент

с самой большой емкостью, то акку-

мулятор с меньшей емкостью будет

перезаряжен. Во время разряда, нао-

борот, элементы с меньшей емкостью

разряжаются быстрее. Это приводит к

тому, что после многих циклов заряда-

разряда различие емкостей увеличи-

вается, а из-за частого перезаряда эле-

менты с самой малой емкостью быстро

приходят в негодность.

Эту проблему легко можно устра-

нить, если контролировать потенциал

элементов и следить, чтобы все элемен-

ты в блоке имели абсолютно одинако-

вое напряжение.

Самый простой способ балансиров-

ки — «в лоб», то есть полностью раз-

ряжать элементы после каждых двух

циклов заряда. Тогда все аккумуляторы

в сборке будут приведены к одному

потенциалу, а накопленные отклонения

устранятся. Недостаток этого подхода

заключается в том, что энергия, выде-

ляющаяся при разряде, рассеивается

впустую. Кроме того, перед следующим

использованием требуется зарядить

батареи.

В ноутбуках обычно состояние акку-

мулятора контролируется микрокон-

троллером, который проверяет напря-

жение каждого элемента и регулирует

силу зарядного тока.

Мы предлагаем читателям альтерна-

тивный способ с автоматической балан-

сировкой и малым расходом энергии.

Внешний вид устройства показан на

рисунке 1.

ОПИСАНИЕ УСТРОЙСТВАДля балансировки потенциалов двух

элементов потребуется операционный

усилитель (ОУ) класса В и три рези-

стора (см. рис. 2). В центральной точке

делителя R1—R2 напряжение равно

половине суммы напряжений верхнего

и нижнего аккумуляторов. Когда напря-

жение верхнего аккумулятора превы-

шает напряжение нижнего, ток течет в

нижнее плечо до тех пор, пока они не

сравняются. Для расчета схемы требу-

ется только подобрать номинал R3 так,

чтобы сила тока составляла 0,02…0,1С.

Если в сборке присутствует больше

элементов, то необходимы дополни-

тельные ОУ. Например, для баланси-

ровки пяти аккумуляторов требуются

четыре ОУ класса В. Вместо ОУ можно

использовать составные транзисто-

ры, что позволяет снизить стоимость

схемы.

На рисунке 3 показана полная прин-

ципиальная схема балансировочного

устройства для 2—5 элементов емко-

стью 2…10 А.ч.

Кабель балансировки подключается

к разъему К1 на плате (см. рис. 4). С

помощью перемычек JP2—JP5 задается

количество элементов (2—5), напря-

жение которых требуется выровнять.

На первый вывод перемычки подается

напряжение аккумулятора, а на вто-

1 Сила тока в пересчете на емкость. При токе 1C аккумулятор разрядится за 1 ч.

ТОМАС ШЕРЕР (THOMAS SCHERER), инженер, Elektor

Page 90: Электронные компоненты №5/2010

ПО

СЛ

Е Р

АБ

ОТ

Ы

93

Электронные компоненты №5 2010

Табл. 1. Перечень элементов

Резисторы R1—R5 = 10 кОм (0,1%), R6—R9 = 1,5 кОм, R10—R13 = 1,2 Ом, R14—R17 = 1 Ом, R18 = 8,2 кОм

Конденсаторы С1—С4 = 10 нФ (шаг выводов 5 мм), С5, С6 = 100 нФ (шаг выводов 5 мм)

Полупровод-никовые

Светодиоды с малым рабочим током, 5 мм: D1 — D4 = зеленый, D5 — D8 = желтый, D9 = красный; D10—D17 = 1N4148, Т1—Т4 = TIP120, T5—T8 = TIP125, IC1 = LM324 или LM348N

ДругиеК1 = 6-выводной разъем, шаг выводов 2,54 мм, JP2-1—JP4-2, JP5 = штырьевой двухрядный разъем, шаг выводов 2,54 мм; 14-контактный держатель для IC1, алюминиевый теплоотвод

рой — эталонное напряжение. Для

сборок из 2—4 элементов необходимо

установить две перемычки, а если в

сборке пять элементов, то одну.

Полностью заряженная батарея из

5 элементов имеет напряжение до 21 В

(по 4,2 В на элемент). ОУ сравнивает

текущее напряжение каждого элемен-

та с эталонным. Если они различают-

ся, то усилитель переключает один из

составных транзисторов на заряд (если

потенциал элемента ниже) или разряд.

В итоге напряжение всех элементов

выравнивается.

Рассмотрим верхний каскад. Если

выравнивающий ток больше 20 мА, то

соответствующий светодиод горит. В

зависимости от степени заряда заго-

рается диод D1 или D5. Падение напря-

жения на светодиоде составляет около

1,8 В. Вычитая падение напряжения на

D10 и D11 и падение напряжения эмит-

терного перехода составных транзисто-

ров (примерно 1,0…1,1 В), получаем, что

на резистор в цепи эмиттера приходит-

ся около 0,2…0,3 В, поэтому выходной

ток составляет примерно 250 мА.

КОНСТРУКЦИЯ Печатная плата устройства показа-

на на рисунке 4. Видно, что на плате

Рис. 4. Размещение элементов схемы

Рис. 3. Принци-пиальная схемаустройства

нет компонентов с поверхностным

монтажом (SMD), а все элементы рас-

полагаются на достаточном расстоянии

друг от друга. Таким образом, собрать

схему не представляет труда. На плате

установлен алюминиевый профиль (см.

рис. 1), который служит теплоотводом

для выходных транзисторов. В случае

необходимости транзисторы можно

расположить вертикально, чтобы осво-

бодить место для радиатора большего

размера.

Важно убедиться, что транзисторы

гальванически развязаны с теплоот-

водом с помощью слюды или другого

диэлектрика и изолирующей втулки

на крепежных винтах. Для лучшего

отвода тепла под транзисторы также

кладется немного теплопроводящего

вещества.

Готовую схему перед подключени-

ем следует проверить на целостность

цепей. Затем необходимо задать коли-

чество элементов в сборке. Для опре-

деленности будем считать, что у нас 5

элементов, поэтому соединяем контак-

ты JP5 (см. рис. 1).

Установим выходное напряжение

источника питания (ИП) на уровне 10 В

и подсоединим его к крайним выводам

разъема К1, соблюдая полярность. При

этом должен загореться диод D9. Если

все в порядке, увеличиваем напряже-

ние до 20 В. Диод станет гореть ярче, а

напряжение на выводах 2—5 разъема

К1 примет значения 4, 8, 12 и 16 В,

соответственно. Установив на ИП огра-

ничение тока на уровне 0,5 А, соеди-

ним накоротко любые два вывода К1.

Потечет ток примерно 200 мА.

После проверки схемы ее можно

подключать к выводу балансировки

аккумулятора (предварительно следует

задать количество элементов в сборке).

Процесс выравнивания потенциалов

идет до тех пор, пока все светодиоды,

кроме D9, не погаснут.

Проводить балансировку достаточ-

но один раз на 10 циклов заряда.

С помощью предлагаемого устрой-

ства можно выравнивать потенциал

двух сборок по 5 соединенных после-

довательно элементов. При этом сле-

дует установить перемычку на выводы

JP2. Для двух сборок из 4 элементов

или одной из пяти в качестве IC1 можно

использовать микросхему LM324,

выдерживающую до 32 В. В осталь-

ных случаях лучше поставить LM348,

вы держивающую до 44 В.

По вопросам приобретения образцов

или сотрудничества с Elektor обращай-

тесь к Антону Денисову: [email protected],

тел.: (495) 741-77-01.

Оформить бесплатную еженедель-

ную подписку на новостную рассылку

от издания Elektor можно на сайте

www.elektor.com.

Page 91: Электронные компоненты №5/2010

94

WWW.ELCP.RU

Новые компоненты на российском рынке

АВТОМОБИЛЬНАЯ ЭЛЕКТРОНИКА

Новые автономные трансиверы LIN 2.1/SAE J2602 от Microchip отвечают самым строгим требованиям автомо-бильных приложений

Компания Microchip анонсирует автономные LIN-трансиверы MCP2003 и MCP2004 (MCP2003/4). Эти, серти-фицированные по AEC-Q100, a также утвержденные по 3rd party-LIN/J2602, устройства удовлетворяют жестким требо-ваниям автомобильных приложений. Трансиверы отвечают стандартам LIN Bus 1.X/2.0/2.1 и SAE J2602 и имеют ведущие в отрасли показатели устойчивости к электростатическим разрядам и электромагнитной совместимости, что обеспе-чивает надежную связь в жестких условиях эксплуатации. Их потребление тока является самым низким на рынке LIN-трансиверов, что позволяет увеличить срок службы батареи питания и обеспечить более высокую эффективность в приложениях, работающих при выключенном зажигании автомобиля.

Трансиверы MCP2003/4 являются третьим поколением трансиверов LIN/SAE J260 после предыдущего семейства MCP2021/2 с интегрированным стабилизатором напряже-ния. Трансиверы MCP2003/4 позволяют снизить стоимость системы, так как требуют минимум внешних компонентов

Трансиверы MCP2003/4 предназначены, в первую оче-редь, для автомобильных приложений, включая датчики дождя, электролюки, стеклоподъемники и множество других устройств. Трансиверы также могут применяться в других областях, включая системы промышленного назначе-ния (электронные замки, системы сбора информации и др.), медицинские устройства (управление двигателями и управ-ляющие панели) и бытовую технику (стиральные машины, кухонная техника и датчики).

Новые трансиверы MCP2003/4 поддерживаются отладоч-ной платой PICDEM™ CAN-LIN 3 (номер для заказа DM163015), дочерними платами ECAN™/LIN PICtail™ Plus (номер для зака-за AC164130) и анализатором протокола LIN Serial Analyzer (номер для заказа APGDT001).

Microchip Technologywww.microchip.comДополнительная информация:см. Microchip Technology

ВСТРАИВАЕМЫЕ СИСТЕМЫ

Высокопроизво ди тель-ный модуль стандарта COM Express с поддерж-кой 45-нм процессоров от Avalue

Компания Avalue пред-лагает новый высокопроизводительный вычислительный модуль ESM-GM45 стандарта COM Express с поддержкой процессоров, выполненных по 45-нм технологии. В каче-стве чипсета в модуле ESM-GM45 используется Mobile Intel® GM45 Express с графическим ускорителем GMA4500, а в качестве южного моста — Intel CH9.

Благодаря такому набору системной логики и поддержке технологии Intel® Clear Video Technology, новый вычис-лительный модуль ESM-GM45 обеспечивает обработку 3D-графики, а также способен воспроизводить видео высо-кого качества. Так как частота системной шины составляет 1066 МГц, а два 200-контактных разъема поддерживают в общей сложности до 4 Гбайт оперативной памяти DDR2 с частотой 800 МГц, то обеспечивается быстрая работа в ресурсоемких задачах (к примеру, в методах линейной опти-мизации).

Эти параметры делают данный модуль идеальной основой для построения компактных серверов и кластерных систем.

Характеристики нового модуля ESM-GM45:Поддержка 45 нм процессоров Intel® Core™ 2 Duo или –Celeron® M CPU (Penryn).

Чипсет Intel® GM45/ICH9-M –2 – ×200-контактных разъема SODIMM с поддержкой до 4 Гбайт DDR2 667/800 SDRAM.

Поддержка нескольких экранов Dual View (CRT + –LVDS, CRT + TV, LVDS + TV), 2 х 18/24-разрядный LVDS, TV-выход, HDTV.

1 Гбайт/сек Ethernet Intel®. –Интерфейсы: 4 PCI, 4 PCIex1, 1 PCIex16, 4 SATA, 8 USB, –8-разрядный GPIO.

Размеры модуля: 125 × 95мм. –Новый вычислительный модуль ESM-GM45 поддерживает

все популярные ОС: Windows 7, Windows XP, Windows XPe, Windows CE и Linux.

Аvaluewww.avalue.comДополнительная информация:см. «Элтех», ООО

ИСТОЧНИКИ ПИТАНИЯ

Компактные DC/DC-пре-об ра зователи мощно-стью 15 Вт c ультраширо-ким диапазоном входных напряжений от Murata-PS

Компания Murata-PS расширила линейку новых компактных DC/

DC-преобразователей, выпустив серию BEI15 мощностью 15 Вт с двухполярным выходом.

DC/DC-преобразователи BEI15 работают в ультрашироком диапазоне входных напряжений (9…36 В или 18…75 В), имеют стандартное расположение выводов и предназначены для монтажа на печатную плату в отверстия. Малые шумы и отлич-ные динамические характеристики позволяют применять их для питания DSP, FPGA, ASIC и любых микроконтроллеров.

Применение синхронного выпрямления позволило достичь КПД до 86%. Преобразователи способны отдавать в нагрузку до 15 Вт в диапазоне температур –40…85°С.

Серия BEI12 состоит из моделей с выходными напряжени-ями ±5, ±12 и ±15 В, имеющих вход дистанционного включе-ния/выключения по отрицательному или положительному уровню управляющего сигнала.

Особенности DC/DC-преобразователей:Низкопрофильный компактный дизайн (24,4×27,9× –×8,9 мм).

Высокая устойчивость к броскам входного напряжения –длительностью до 100 мс (50 В для входа 9…36 В и 100 В для входа 18…75 В).

Электрическая прочность изоляции вход-выход: 2250 В –DC.

Защита от превышения выходного напряжения; корот- –кого замыкания и перегрузки на выходе; перегрева; понижения входного напряжения.

Стандартное расположение выводов. –Соответствие международным стандартам: IEC/EN/UL/ –cUL 60950-1, CSA-C22.2 No. 60950-1, FCC part 15, class B, EN55022.

Murata-PSwww.murata-ps.comДополнительная информация:см. «Элтех», ООО

Page 92: Электронные компоненты №5/2010

95

Электронные компоненты №5 2010

КВАРЦЕВЫЕ ПРИБОРЫ СТАБИЛИЗАЦИИ ЧАСТОТЫ

Новые высокочастотные малошу-мящие прецизионные кварцевые генераторы от ОАО «Морион»

ОАО «Морион» (г. Санкт-Пе-тер бург) представляет новые выс о кочастотные малошумящие прецизионные кварцевые генера-торы.

ГК218-ТС — миниатюрный термостатированный кварцевый генератор в корпусе 25×25×10 мм.

Поставляется с частотами от 48 до 500 МГц, однако в даль-нейшем планируется расширение этого диапазона до 1 ГГц. Характеризуется высокой температурной стабильностью частоты (до 5.10–8) в широком диапазоне рабочих темпера-тур, высокой долговременной стабильностью частоты (до 2.10–7 за год) и низким уровнем фазовых шумов:до –167 дБ/Гц для частоты 100 МГц при отстройке 10 кГц.

ГК218-ТС имеет выходной сигнал SIN и напряжение пита-ния 12 или 5 В. Характерной особенностью новой модели является малое время установления частоты — не более 60 с. Доступен вариант исполнения в корпусе с SMA разъе-мом.

ОАО «Морион» завершает также разработку квар-цевого генератора ГК219-ТС с теми же габаритно-присоединительными размерами, как и у описанного выше ГК218-ТС. Обладая схожими электрическими харак-теристиками, ГК219-ТС будет иметь существенно более высокую стойкость к внешним воздействующим факторам. Предназначен для специальных применений и будет постав-ляться в категории качества «ВП».

Уникальные характеристики делают указанные генера-торы эффективным решением для применения в различных типах радиолокационного оборудования, а также для любых видов синтезаторов частот.

Дополнительная информация об этих и других новых приборах доступна на обновленном сайте www.morion.com.ru.

ОАО «Морион»www.morion.com.ru Дополнительная информация:см. «Морион», ОАО

МИКРОЭЛЕКТРОМЕХАНИЧЕСКИЕ УСТРОЙСТВА

Микроминиатюрные высококачественные MEMS-микрофоны от Analog Devices

Компания Analog Devices анонсировала новые микроминиатюр-ные ненаправленные

микрофоны ADMP404 и ADMP405, изготовленные по техно-логии MEMS.

Они отличаются от аналогичных изделий других фирм низкой ценой, высоким качеством преобразования звука (плоская амплитудно-частотная характеристика в полосе 100 Гц…15 кГц), а также высоким соотношением сигнал/шум и малым энергопотреблением.

Новые микрофоны найдут применение в телефонии, радиостанциях и гарнитурах, звукозаписывающей и быто-вой аппаратуре.

Краткие технические характеристики:сверхминиатюрный корпус: 3,35×2,50×0,88 мм; –отношение сигнал/шум: 62 дБА; –

высокая чувствительность: -38 дБн; –полоса рабочих частот: 100 Гц…15 кГц (ADMP404) и –200 Гц…15 кГц (ADMP405);

номинальное напряжение питания: 1,5…3,6 В; –номинальный ток потребления: менее 250 мкА; –аналоговый выход. –

Микрофоны доступны для заказа в образцах, серийное производство запланировано на июнь 2010 года.

Analog Devices Inc.www.analog.comДополнительная информация:см. «Элтех», ООО

СВЕТОТЕХНИКА И ОПТОЭЛЕКТРОНИКА

Мощные светодиоды серии Shwo от компании Everlight

Перспективная серия мощных светодиодов Shwo производства компании Everlight привлекает внимание разработчиков свои-ми превосходными фотометри-ческими и конструктивными

параметрами. Белые светодиоды Everlight по оттенкам подразделяются на три основные группы: белые теплые (цветовая температура 2580…3710К), белые нейтральные (3710…4745К) и белые холодные (4745…7050К).

По сравнению с традиционным делением оттенков свето-диодов на теплые и холодные, такой подход позволяет поль-зователям более точно подбирать тональность освещения в зависимости от характера освещаемого помещения или объекта, а также варьировать освещение произвольным образом. Высокий коэффициент цветопередачи светодио-дов (CRI = 75) дает возможность достоверно воспринимать цвета освещаемых объектов. Кроме оттенков белого пред-ставлена широкая палитра красного, оранжевого, зеленого, синего и фиолетовых цветов для различных приложений основного и вспомогательного освещения. Выбор мощности от 0,3 до 15 Вт в сочетании с миниатюрными габаритами SMT-корпуса (3,5×3,5×1,85 мм) обеспечивают решение прак-тически любых задач современной светотехники.

Everlight Electonicswww.everlight-electronics.ruДополнительная информация:см. «Политекс», ООО

Новые сверхъяркие светодио-ды FM-3528 от Nationstar

Известный китайский произ-водитель Nationstar расширяет линейку сверхъярких светоди-одов FM-3528. Широкий спектр светодиодов всех цветов и оттенков, включая полноцвет-

ные RGB-светодиоды для уличных телевизионных экранов и динамической подсветки, постоянно пополняется новыми изделиями благодаря технологическому прогрессу в произ-водстве сверхъярких светодиодов.

В качестве последней разработки компании следует отме-тить светодиод FM-3528WD-460U. При рабочем токе в 20 мА типовая мощность светового потока соответствует 2700 мкд, что является превосходным показателем для светодиода с широким углом обзора 110 градусов. Подобные фотометриче-ские параметры позволяют создавать на основе FM-3528WD-460U компактные и экономичные световые приборы, не

Page 93: Электронные компоненты №5/2010

96

WWW.ELCP.RU

требующие специальных мер для отвода тепла, которые тре-буются при использовании мощных светоди одов.

Типовое рабочее напряжение 3,1 В значительно ниже, чем у большинства белых светодиодов, что ощутимо сни-жает нагрузку на блок питания. Малые размеры корпуса 3,2×2,8×1,9 мм, герметичное исполнение и широкий рабо-чий температурный диапазон предоставляют разработчи-кам большие возможности для создания источников как основного так и специализированного освещения, различ-ных фонарей, напольных и настенных светильников, а также интерьерной, рекламной и ландшафтной подсветки.

Nationstarwww.nationstar.ruДополнительная информация:см. «Политекс», ООО

Драйвер мощных светодиодов Macroblock MBI6652

Срок службы мощных све-тодиодов зависит от стабили-зированного источника тока, рабочая величина которого должна точно соответствовать требованиям технической доку-ментации. Создание подобных источников тока значительно упрощается при использовании специализированных микросхем

драйверов, разработанных с учетом всех особенностей экс-плуатации мощных цепей питания.

Компания Macroblock предлагает оптимальные решения для таких задач. Новая микросхема MBI6652 является продолже-нием семейства импульсных драйверов MBI6650/6651. Высокая степень интеграции позволила создать однокристальный контроллер, сочетающий в себе стабилизатор тока и полный комплекс защиты светодиодных цепей. Минимальное количе-ство внешних компонентов позволяет создать на основе этого драйвера блок питания с КПД около 96%. Надежность светового источника обеспечивается встроенными схемами плавного включения, защитой при обрыве или коротком замыкании, эле-ментами термоконтроля и аварийным отключением при сниже-нии входного напряжения ниже допустимого минимума.

Варианты конструктивного исполнение драйвера вклю-чают корпуса SOT-23-6L и MSOP-8L. Микросхемы обеспечи-вают возможность регулировки яркости нагрузки с рабочим током до 750 мА. Широкий диапазон напряжения входного питания от 6 до 30 В и устойчивая работа при низких темпе-ратурах позволяют использовать устройство в автомобиль-

ных источниках света, а также в разнообразных приборах уличной и интерьерной подсветки.

Macroblock Inc.www.macroblock.ruДополнительная информация:см. «Политекс», ООО

ИЗМЕРИТЕЛЬНЫЕ ПРИБОРЫ И СИСТЕМЫ

Модуль для вычисления параметров синусоидального сигналаВ модуле применен алгоритм, обладающий следующими

особенностями:– без сглаживающего окна;– без Фурье-анализа и преобразования Гильберта;– работает в режиме реального времени.Области применения модуля:– UPS с HotSync;– быстродействующие блоки для защиты от бросков

напряжения;– быстродействующие анализаторы качества электро-

энергии;– измерители амплитуды и частоты переменного напряже-

ния/тока/мощности с высокой частотой обновления показаний[email protected]

Microchip TechnologyТел.: (812) [email protected]

«Морион», ОАО199155, С.-Петербург, пр. Кима, д. 13аТел.: (812) 350-75-72, (812) 350-9243Факс: (812) 350-72-90, (812) [email protected]

«Политекс», ООО123308, Москва, Хорошевское ш., 43-ВТел./факс: (495) [email protected]. ru

«Элтех», ООО198035, С.- Петербург, ул. Двинская, 10, к. 6АТел.: +7 (812) 635-50-60Факс: +7 (812) [email protected]

СОБЫТИЯ РЫНКА

| СПРАВОЧНЫЕ И ТОРГОВЫЕ КИОСКИ СОБЕРЕТ СПЕЦЭКСПОЗИЦИЯ | В рамках российской выставки средств и систем ото-

бражения информации DISPLAY-2010, которая пройдет в Москве осенью 2010 г, будет организована специализированная

экспозиция «Справочные и торговые киоски и терминалы».

В последние 5 лет информационные и платежные киоски являлись самым массовым продуктом, в котором исполь-

зованы новые дисплейные технологии. Надежные всепогодные дисплеи с сенсорным экраном являются одновременно

элементом HMI и носителем рекламной информации.

Однако прогресс не стоит на месте — на рынке появляются технические и технологические новинки, а также новые

области приложения интерактивных терминалов: торговые сети с элементами Digital Signage; государственные и образо-

вательные учреждения; системы оплаты проезда на транспорте, АЗС, в сетях общественного питания; информационные

сети бизнес-центров, жилых домов и поселков; автосалоны, развлекательные комплексы и т.д.

Экспозиция «Справочные и торговые киоски и терминалы» соберет на одной площадке все многообразие киосков и

платежных терминалов — серийно выпускаемых и перспективных изделий. К участию приглашены отечественные произ-

водители и зарубежные поставщики.

Выставка DISPLAY проводится в рамках Российской недели электроники — крупнейшего мероприятия страны в обла-

сти электроники и информационных технологий.

Выставка DISPLAY: www.display-expo.ru.

Российская неделя электроники: www.russianelectronicsweek.ru.

Для контактов:Константин Морозов,дирекция выставки DISPLAY-2010+7 (495) 287-4412, [email protected]