168
Аннотация В данной работе будет рассмотрен процесс реализации алгоритмического обеспечения компонент модуля управления линией визирования (МУЛВ) и средств взаимодействия с внешними устройствами для одной из отечественных систем оптической локации. Реализация будет проводится с использованием среды разработки фирмы Xilinx и языка описания аппаратуры VHDL. Аппаратной платформой для реализации заявленного алгоритмического обеспечения является ПЛИС фирмы Xilinx серии Spartan-3. 4

Диплом Пояснительная записка

  • Upload
    alex

  • View
    35

  • Download
    5

Embed Size (px)

DESCRIPTION

Пояснительная записка на диплом.

Citation preview

Page 1: Диплом Пояснительная записка

Аннотация

В данной работе будет рассмотрен процесс реализации алгоритмического

обеспечения компонент модуля управления линией визирования (МУЛВ) и средств

взаимодействия с внешними устройствами для одной из отечественных систем

оптической локации.

Реализация будет проводится с использованием среды разработки фирмы Xilinx

и языка описания аппаратуры VHDL.

Аппаратной платформой для реализации заявленного алгоритмического

обеспечения является ПЛИС фирмы Xilinx серии Spartan-3.

4

Page 2: Диплом Пояснительная записка

Техническое задание на разработку модуля управления

линией визирования (МУЛВ)

1) Реализовать программные компоненты для модуля управления линией

визирования (МУЛВ). Произвести реализацию и отладку следующих алгоритмов:

Алгоритм опроса датчиков углов (азимутальный)

Алгоритм состояния функционирования «Внешнее управление»

Алгоритм состояния функционирования «Встроенный контроль»

Алгоритм формирования управляющих сигналов азимутального

двигателя

Алгоритм формирования управляющих сигналов угломестного

двигателя

2) Необходимо реализовать алгоритмы с использованием языка VHDL на

основе ПЛИС фирмы Xilinx (при реализации возможно использование

специфических архитектурных решений ПЛИС семейства Spartan-3)

3) Необходимо произвести отладку реализации алгоритмов в соответствии с

тестовыми данными

4) Произвести интеграцию реализованных алгоритмов в состав модуля

управления линией визирования

5) Произвести комплексную отладку реализации алгоритмов в составе

устройства

Начальник сектора разработок отдела «Электроники и ПО»

Ужвий Г.А.

5

Page 3: Диплом Пояснительная записка

Приложение

к техническому заданию на дипломное проектирование

на тему: "Разработка компонент МУЛВ и средств их взаимодействия с внешними

устройствами".

1. Назначение модуля управления линией визирования

1.1. Модуль управления линией визирования (МУЛВ) предназначен для:

- формирования в соответствии с заданным БВ режимом и выдачи

управляющего сигнала на формирователи токов угломестного и азимутального

датчиков момента;

- опроса угломестного и азимутального датчиков углов;

- опроса БИНД и вычисления поправки управления в целях стабилизации

линии визирования в пространстве предметов;

- формирования и выдачи сигнала Frame;

- осуществления текущего и расширенного встроенного контроля собственных

вычислительных средств и приводов головного зеркала;

1.2 Состав и номенклатура управляемой аппаратуры Модуль управления линией

визирования осуществляет управление устройством сканирования. В состав

устройства сканирования входят:

1) датчики углов:

- азимутальный датчик угла ПФ-ДЭ-16;

- угломестный датчик угла БВТ60 с аналого-цифровым

преобразователем АЦПВТ-16П-Д1;

2) датчики моментов:

- азимутальный датчик момента МД-160;

- угломестный датчик момента ДМ-10;

3) формирователь тока угломестного датчика момента;

4) датчик положения (датчик Холла) устройства сканирования по азимуту типа

SS449A фирмы Honeywell. Формирователь тока азимутального датчика момента

входит в состав устройства оптического. Блок инерциальных датчиков входит в

6

Page 4: Диплом Пояснительная записка

состав блока оптико-механического.

2 Требования назначения

2.1 Требования к арифметико-логическому устройству МУЛВ

2.1.1 Задачи управления приводами решаются с периодом 100 мкс, в том числе опрос

датчиков углов, расчёт положения головного зеркала с учётом поправок установки

датчиков, расчёт текущего положения линии визирования, расчёт текущей скорости

вращения линии визирования, расчёт требуемого положения головного зеркала в

соответствии с заданным режимом функционирования, расчёт сигнала

рассогласования, вычисление конечно-разностных уравнений корректирующих

звеньев угломестного и азимутального каналов, расчёт поправки стабилизации,

вычисление скважности текущего импульса для одной фазы угломестного двигателя

и трех фаз азимутального двигателя.

2.1.2 МУЛВ должен решать алгоритмы, которые с доработками заимствуются из

алгоритмов БУЛВ изделия 102С.

2.1.3 МУЛВ должен непрерывно определять исправность задействованной аппаратуры

и выдавать результаты контроля в БВ.

2.1.4 Частота управляющего ШИМ сигнала азимутального и угломестного приводов

10 кГц.

2.1.5 МУЛВ должен формировать и выдавать в БЭО в целях синхронизации сигнал

Frame. Передний фронт сигнала Frame должен совпадать с передним фронтом

сигналов опроса датчиков углов.

2.2 Взаимодействие с внешней аппаратурой

2.2.1 Схема связей МУЛВ с аппаратурой изделия 101КС-В представлена на рисунке 1.

7

Page 5: Диплом Пояснительная записка

Рисунок 1 Схема связей МУЛВ с аппаратурой изделия

2.2.2 МУЛВ должен осуществлять взаимодействие с БВ через МВВ по одной

линии передачи информации RS485 на ввод и одной линии RS485 на

вывод. Темп выдачи и приема массивов информации с периодом сигнала

Frame.

2.2.3 МУЛВ должен осуществлять взаимодействие с платой аналого-

цифрового преобразователя АЦПВТ- 16П-Д1-01 угломестного датчика угла

БВТВ60 в соответствии с ЮИНЦ.434757.074-01 РЭ.

2.2.4 МУЛВ должен осуществлять взаимодействие с азимутальным датчиком

угла ПФ-ДЭ-16-50-1 в соответствии с ЮСИЯ.2001.32-000 ТУ.

8

Page 6: Диплом Пояснительная записка

2.2.5 МУЛВ должен осуществлять взаимодействие с БИНД по асинхронному

последовательному каналу информационного обмена RS485 в

соответствии с приложением Б исходных данных на блок инерциальных

датчиков для изделия 13СМ1.

2.2.6 МУЛВ должен выдавать на формирователь тока угломестного

двигателя два бита управления, соответствующих двум

противоположенным направлениям тока в обмотке ДМ-10.

2.2.7 МУЛВ должен выдавать на формирователь тока азимутального

двигателя шесть битов управления, соответствующих двум

противоположенным направлениям тока в трех фазам МД-160.

2.2.8 МУЛВ должен принимать информацию от датчика положения

устройства сканирования по азимуту (датчика Холла) в виде цифрового

однобитового кода.

2.2.8 МУЛВ должен принимать от БЭО информацию о рассогласовании (в

режиме сопровождения) по одной линии RS485.

2.2.9 МУЛВ должен выдавать в МУДЗ с частотой 10 кГц данные о текущем

положении линии визирования по одной линии RS485.

3. Конструктивные требования

3.1 Модуль управления линией визирования должен представлять собой

плату с элементами, к которой все внешние устройства должны

присоединяться через разъемы.

3.2 На плате должен быть установлен контрольный разъем (не менее 32

контактов) для отладки программного обеспечения.

3.3 МУЛВ располагается в герметичном обогреваемом блоке, рабочая

температура которого от +60°С до -40°С.

3.4 Выбор разъемов на усмотрение разработчика схемы. В целях

предосторожности от неправильной стыковки кабелей желательно

использовать разъемы с ключами или должны быть применены разъемы

различных типов.

9

Page 7: Диплом Пояснительная записка

3.5 Должна быть предусмотрены две резервные линии связи по RS485.

3.6 Количество напряжений питаний различных номиналов должно быть

минимизировано. Настоящие исходные данные могут уточняться в

процессе проектирования по взаимному согласованию.

Начальник сектора разработок отдела «Электроники и ПО»

Ужвий Г.А.

10

Page 8: Диплом Пояснительная записка

Содержание

Аннотация................................................................................................................4

Техническое задание на разработку модуля управления линией визирования

(МУЛВ).....................................................................................................................5

Содержание............................................................................................................11

Введение.................................................................................................................14

Глава 1. Обзор методов и средств реализации современных систем

обнаружения и локации........................................................................................18

1.1. Методы радиолокации и обзор пространства..........................................18

1.1.1. Активная, полуактивная, активная с активным ответом и пассивная

радиолокация......................................................................................................18

1.1.2. Импульсные и непрерывные РЛС..........................................................21

Рис.1.6. Структурная схема фазового метода измерения дальности............24

1.1.3. Обзор пространства.................................................................................25

1.1.4. Условия и особенности современной радиолокации...........................28

1.2. Оптическая локация, применение и общие особенности ОЛС..............32

1.2.1. Лазерные дальномеры.............................................................................32

1.2.2. Лазерные системы разведки....................................................................33

1.2.3. Полуактивная и пассивная оптическая локация, общие особенности

ОЛС.....................................................................................................................35

1.2.4. Параметры ОЛС.......................................................................................36

1.3. Преимущества ОЛС по сравнению с радиолокаторами.........................37

1.3.1. Достоинства и недостатки оптической локации...................................37

1.3.2. Преимущества по сравнению с РЛС......................................................39

Глава 2.Исследование принципов функционирования ОЛС............................42

2.1. Структурная схема ОЛС.............................................................................43

2.2. Распространение и отражение сигнала.....................................................44

2.3. Помехи.........................................................................................................45

2.4. Оптическое приемное устройство.............................................................47

2.5. ОЛС- 35........................................................................................................4911

Page 9: Диплом Пояснительная записка

Глава 3. Реализация начальных (предварительных) алгоритмов.....................53

3.1. Алгоритмическое обеспечение МУЛВ, выбор средств и методов

разработки...........................................................................................................53

3.2. Алгоритм состояния функционирования «Встроенный контроль».......56

Опишем входных, выходных данных и предопределённых констант.........58

Реализация алгоритма «Встроенный контроль» с использованием языка

VHDL..................................................................................................................62

Тестирование реализации алгоритма...............................................................65

3.3 Алгоритм состояния функционирования «внешнее управление»..........67

Реализация алгоритма состояния функционирования «внешнее

управление» на языке VHDL............................................................................74

3.3.4 Алгоритм опроса азимутального датчика..............................................77

Реализация алгоритма опроса азимутального датчика углов на VHDL.......79

Глава 4. Реализация алгоритмов формирования управляющих сигналов.......82

4.1. Реализация алгоритма формирования управляющих сигналов

угломестного двигателя.....................................................................................82

4.1.1. Реализация компонента PWM_former....................................................84

4.1.2. Реализация компонента «формирователь скважности выходного

сигнала» (компонент z_main_for_mul).............................................................85

4.2.Реализация алгоритма формирования управляющих сигналов

азимутального двигателя......................................................................................89

Глава 5. Объединение блоков в готовое устройство.........................................94

5.1. Интеграция реализованных компонент в состав МУЛВ.........................94

5.2. Размещение модуля на кристалле ПЛИС.................................................96

5.3. Тестирование и отладка МУЛВ.................................................................97

5.4. Результаты разработки и пути дальнейшего усовершенствования

устройства...........................................................................................................98

Глава 6.Эргономика рабочего места инженера-программиста.......................102

Введение...........................................................................................................102

6.1) Общие требования микроклимата..........................................................102

12

Page 10: Диплом Пояснительная записка

6.1.1) Требования к воздуху рабочей зоны....................................................102

6.1.2) Требования по уровню шума................................................................104

6.1.3) Помещение и освещение.......................................................................105

6.2) Требования безопасности при работе с ПЭВМ.....................................106

6.2.1) Требования к помещениям для и ПЭВМ.............................................106

6.2.2) Требования к ПЭВМ и рабочим местам..............................................107

6.2.3) Требования к организации режима труда...........................................107

6.3) Антропометрические, сенсомоторные и энергетические

характеристики человека.................................................................................114

6.4) Технические методы увеличения безопасности работы за компьютером

............................................................................................................................114

6.4.1) Эргономичное аппаратное оборудование...........................................114

6.5) Эргономичная организация рабочего места..........................................116

6.5.1) Организация рабочего стола.................................................................116

6.6.2) Вентиляция.............................................................................................117

6.6.3) Шум.........................................................................................................117

6.4) Рабочее кресло..........................................................................................118

6.6.5) Рабочее пространство............................................................................119

6.6.6) Работа с клавиатурой.............................................................................119

6.6.7) Расположение монитора.......................................................................120

6.6.8) Внутренний объем.................................................................................120

6.6.9) Положение за компьютером.................................................................120

6.6.10) Выводы.................................................................................................121

Заключение..........................................................................................................122

Список использованной литературы.................................................................123

Приложение.........................................................................................................124

13

Page 11: Диплом Пояснительная записка

Введение

Работа посвящена теме реализации программно-аппаратного

обеспечения в области устройств оптической локации. В работе рассмотрена

реализация алгоритмического обеспечения одной из российских оптических

локационных систем (ОЛС), применяемых в военной и гражданской

отраслях.

Локация – термин, объединяющий области науки и техники, а также

средств и методик по обнаружению и определению координат и

характеристик объектов.

Локация является одной из наиболее важных областей науки и техники,

к которой испытывают сильный интерес специалисты во всём мире.

Разделяют несколько видов локации объектов:

Звуковая локация

Радиолокация

Оптическая локация

Оптическая и радиолокация используют одну физическую

составляющую, для определения положения цели – распространение

электромагнитных волн в средах. Отличие заключается лишь в методах

регистрации и частотах используемого сигнала. В радиолокации

используются частоты радио диапазона, в оптической – частоты из видимого,

ультрафиолетового или инфракрасного диапазонов.

Радиолокационные системы, наравне со всеми преимуществами, имеют

ряд недостатков, которые в современных условиях не позволяют

использовать их как единственный метод локации объектов. Наиболее

важными недостатками радиолокационных систем (РЛС) являются:

возможность «подделки» сигнала отклика от цели, возможность пеленгации

и определения положения локационной станции, сложность в разделении

близко расположенных объектов, сложность в локации наземных или

объектов, близко от поверхности земли, низкая разрешающая способность,

14

Page 12: Диплом Пояснительная записка

невозможность определения принадлежности объекта (распознавания по

принципу «свой-чужой»).

Оптические локационные системы (как метод локации и аппаратная

составляющая с необходимыми алгоритмами) лишены данных недостатков,

однако, их применение связано со множеством проблем, в частности:

зависимость от расстояния, характеристик распространения сигнала в среде,

погодных условий, а так же связанных со множеством проблем при

реализации (точность калибровки, качество оптических компонент: зеркал

отражателей, источников и приёмников оптического сигнала и т.д.).

Серьёзные разработки и публикации в России (и СССР) берут своё начало с

середины 70-х годов XX века и по настоящее время, интерес к подобным

системам продолжает увеличиваться.

Таким образом, зависимость оптических локационных систем от

внешних факторов отрицательным образом влияет на область

работоспособности, отказо- и помехоустойчивости данных систем. Однако,

все эти проблемы не являются неразрешимыми, что и доказывает огромных

интерес к такого рода системам во всём мире, и их применение для решения

задач во многих областях науки и техники (военная техника, геодезия,

картография и т.д.).

В частности в военной сфере, на современном этапе развития техники

недостатки радиолокационных систем не позволяют использовать их как

единственное средство локации. Наиболее широкое применение оптические

локационные системы нашли в авиационной сфере, где точная локация

является наиболее критическим фактором, особенно в условиях воздушного

боя и разведывательных операций.

Наибольших успехов в области оптической локации начали добиваться в

конце XX века, в связи с использованием систем глобального

позиционирования (GPS или ГЛОНАСС), а также с использованием

цифровых устройств для обработки информации. В связи с миниатюризацией

и увеличением вычислительной мощности вычислителей и применения

15

Page 13: Диплом Пояснительная записка

специализированных алгоритмов, способных быть реализованными и

работоспособными на данной цифровой вычислительной технике, стало

возможным использовать оптические локационные системы во многих

областях и решать ещё более сложные задачи.

Уже сейчас существуют системы способные определять типы

транспортных средств (например, различать грузовики и танки),

распознавать технику (например, определение принадлежности самолётов

или танков по силуэту, изображению или опознавательным знакам) одной из

таких систем нового поколения является оптическая локационная система

«Уральского оптико-механического завода» ОЛС-35.

В области гражданского использования, оптические локационные

системы используются для наблюдения за пожарами, в области охраны

важных объектов, в правоохранительной области, в области геодезии и

картографии. Использование новейших средств позиционирования позволяет

определять рельеф поверхности, даже если это происходит в лесистой

местности, с достаточной точностью. Существует применение оптико-

локационных систем для построения карт распределения видов древесины.

Уже сегодня устройства лазерной локации, совместно со средствами

позиционирования, современными мощными вычислительными приборами и

новым алгоритмическим обеспечением, могут ответить на вопросы,

например, о видах лиственничных пород, количеству и даже качеству

древесины в данной области наблюдения.

В рамках радиолокации решение таких задач невозможно или связано с

огромной технологической или вычислительной сложностью. Таким

образом, радиолокация и оптическая локация не являются конкурентами, а

скорее дополняют друг друга, совместно решая практически полный спектр

возложенных на них задач. Радиолокация применяется там, где важными

факторами являются расстояние и независимость от погодных условий, а так

же отсутствие необходимости в большой разрешающей способности

(например, радары с дальностью действия сотни или даже тысячи

16

Page 14: Диплом Пояснительная записка

километров). Оптическая же локация применяется на достаточно небольших

расстояниях, но решает задачи в смежной области, там, где важна

скрытность, большая разрешающая способность, разделение целей, анализ и

т.д.

Однако решение таких сложных вопросов невозможно без

подготовительного этапа и решения проблем, связанных с работой

аппаратуры. В частности, этапу обработки, предшествует этап получения

данных, в который входит опрос датчиков, самотестирование,

позиционирование приёмника и передатчика, в рамках оптической системы

как прибора, а также передача собранных данных или управляющих

сигналов между модулями устройства. Отдельным этапом стоит реализация

этого необходимого функционала с использованием выбранного аппаратного

обеспечения (в частности для этой работы это ПЛИС).

В работе рассмотрена реализация алгоритмического обеспечения модуля

управления линией визирования. В задачу разработки входила реализация

алгоритмов опроса датчиков, управления угломестным и азимутальным

двигателями, самотестирования устройства, подготовки устройства к работе,

реализация состояния функционирования «внешнее управление» и

разработка средств взаимодействия модулей между собой.

В работе рассмотрены недостатки данного подхода и сделаны

предположения о будущей реализации подобных устройств (в частности,

реализация подобного функционала на процессорах цифровой обработки

сигналов).

17

Page 15: Диплом Пояснительная записка

Глава 1. Обзор методов и средств реализации

современных систем обнаружения и локации

1.1. Методы радиолокации и обзор пространства

Радиолокацией называют область науки и техники, объединяющую

методы и средства обнаружения, измерения координат и параметров

движения, а также определения свойств и характеристик различных объектов

(радиолокационных целей), основанных на использовании радиоволн,

излучаемых, ретранслируемых либо отражаемых (рассеиваемых) этими

объектами. Процесс обнаружения объектов, измерения их координат и

параметров движения называют радиолокационным наблюдением (иногда

радиолокацией цели), а используемые для этого системы - радиолокацион-

ными станциями (РЛС) или радиолокаторами.

1.1.1. Активная, полуактивная, активная с активным ответом

и пассивная радиолокация.

В зависимости от природы возникновения электромагнитных волн,

достигающих антенны РЛС и доставляющих информацию об объекте

радиолокационного наблюдения, различают активную, полуактивную,

активную с активным ответом и пассивную радиолокацию.

При активной радиолокации сигнал, принимаемый приемником РЛС,

создается в результате отражения (рассеяния) объектом электромагнитных

колебаний, излучаемых антенной РЛС и облучающих объект. Сигнал,

излучаемый антенной РЛС, называют прямым или зондирующим, а

принимаемый приемной антенной РЛС - отраженным или

радиолокационным. Таким образом, при активной радиолокации применяют

передатчик в составе РЛС и работают с отраженным (рассеянным)

сигналом.

18

Page 16: Диплом Пояснительная записка

Рис.1.1. Активная РЛС

При совмещении приемной позиции с передающей (рис. 1.1)

локационное средство называют однопозиционным или совмещенным. В

совмещенных средствах часто используют одну антенну, поочередно

коммутируемую на передачу и на прием.

При полуактивной радиолокации (рис.1.2) носителем информации также

является сигнал, отраженный объектом, но источник облучающих объект

радиоволн вынесен относительно приемника РЛС и может действовать

независимо от него. Передающее устройство, облучающее цель, может быть

расположено, например, на земле или корабле, а приемное, использующее

отраженный сигнал,— на ракете, направленной на цель. В этом случае

излучаемый сигнал называют зондирующим, а сигнал, приходящий от цели,

- отраженным или эхо-сигналом. Значение отраженного сигнала зависит от

отражающих свойств объекта.

Рис.1.2. Полуактивная РЛС

Возможность обнаружения объектов, не являющихся источниками

радиоизлучения,— достоинство активного и полуактивного методов

радиолокации.

При активной радиолокации с активным ответом (рис.1.3) применяют

сигнал, ретранслируемый (переизлучаемый) специальным

приемопередатчиком (ответчиком), установленным на объекте.

19

Page 17: Диплом Пояснительная записка

При этом прямой сигнал называют запросным, а сигнал, приходящий от

цели, - ответным. Приемник ответчика принимает сигнал РЛС, который

вызывает генерирование и излучение ответного сигнала. Интенсивность

ответного сигнала зависит от мощности установленного на объекте

ретрансляционного передатчика. Ответный сигнал может иметь мощность

значительно большую, чем отраженный, поэтому применение активного

ответа позволяет существенно повысить дальность действия и

помехозащищенность системы. Кроме того, ответный сигнал может быть

использован для передачи дополнительной информации с объекта (например,

бортового номера самолета, его высоты и др.). С помощью ответчика

решается и задача опознавания объекта, т. е. отличия «своих» самолетов или

кораблей от «чужих». Однако такие РЛС могут работать только со «своими»

объектами.

Рис.1.3. Активная РЛС с активным ответом

В пассивной радиолокации (рис.1.4) сигналом, принимаемым РЛС,

является естественное излучение объектов в радиодиапазоне

преимущественно теплового происхождения, поэтому пассивную

радиолокацию называют также радиотеплолокацией. РЛС с пассивной

радиолокацией не имеет передатчика. Она имеет лишь направленную

приёмную антенну, улавливающую излучения объекта, приёмник,

усиливающий принятые радиосигналы, и устройства, с помощью которых

происходят регистрация и анализ этих сигналов. Таким образом, в этом

случае, так же как и в активной радиолокации, для обнаружения объектов и

определения их координат применяют радиосигнал. Однако природа сигнала

20

Page 18: Диплом Пояснительная записка

при этом иная — зондирование (облучение) объекта отсутствует, и поэтому

одна РЛС может определить лишь направление (пеленг) на объект, т.е.

осуществить радиопеленгование последнего.

Рис.1.4. Пассивная РЛС

1.1.2. Импульсные и непрерывные РЛС

В радиолокации применяют РЛС с непрерывным и импульсным

излучением энергии. Мощный луч радиолокационного передатчика

фокусируется большой антенной в направлении исследуемого объекта,

фиксируется и изучается отраженный радиосигнал, на основе чего делаются

выводы о тех или иных характеристиках объекта.

Наибольшее распространение получил импульсный способ определения

дальности. Структурная схема простейшего однопозиционного импульсного

радиолокатора для случая общей приемопередающей антенны представлена

на рис. 1.5.

21

Page 19: Диплом Пояснительная записка

Рис.1.5. Импульсный радиолокатор

Важным элементом радиолокатора является синхронизатор,

согласующий во времени работу передатчика и других элементов локатора.

Зондирование короткими импульсами обеспечивает неодновременность

приема и излучения. Это позволяет использовать общую антенну,

коммутируемую антенным переключателем на передачу и прием. В момент

зондирования антенна соединена с передатчиком, по окончании очередного

зондирования антенна соединяется с приемником. Индикаторное устройство

обеспечивает возможность обнаружения оператором целей, измерения их

дальностей и угловых координат. Цепи автоматики и вычислительной

техники связывают индикаторное устройство с антенной, вводят в него

информацию о положении характеристики направленности, регулируют

положение этой характеристики (цепи управления на рис. 1.5 не показаны).

Возможны отступления от сделанных выше предположений. При

относительно большой длительности радиоимпульса приемная и передающая

антенны могут быть разделены в пространстве, что облегчает реализацию

приема во время зондирования. Одноканальный прием может быть заменен

многоканальным. Использование современных средств или элементов

вычислительной техники (в том числе ЭВМ, микроЭВМ,

специализированных вычислителей, интегральных схем с высокой степенью

интеграции) расширяет возможности съема, обработки и автоматизации

22

Page 20: Диплом Пояснительная записка

радиолокационного наблюдения; появляется возможность расчета

траекторий. Индикатор (рис. 1.5) заменяется в ряде случаев совокупностью

средств регистрации, хранения, отображения и передачи информации

потребителю, работающих автоматически с периодическим контролем.

Наряду с координатами могут оцениваться их производные.

Производную дальности до цели, радиальную составляющую скорости ее

перемещения относительно РЛС определяют в ряде случаев по эффекту

изменения частоты отраженного сигнала (эффекту Доплера). Иначе, ее же

определяют по эффекту изменения времени запаздывания элементов

(радиоимпульсов) сигнала, а значит, и дальности до цели. Оба эти эффекта

являются проявлением единого эффекта преобразования сигнала при

отражении от движущейся цели. Полный вектор скорости цели при

однопозиционном приеме можно найти по данным траекторной обработки.

По изменению скорости (а в некоторых случаях непосредственно) можно

оценить ускорение.

Координатная информация может дополняться некоординатной, в

частности классификационной информацией о целях. Целенаправленная

смена зондирующих сигналов и характера обработки принимаемых

колебаний в зависимости от складывающейся обстановки расширяет

информационные возможности РЛС.

В РЛС с фазовым методом измерения дальности характерно

непрерывное зондирующее излучение. В этом случае о расстоянии до

объекта судят по изменению фазы сигнала генератора масштабной частоты

(ГМЧ) за время распространения электромагнитных волн до объекта и

обратно. В фазометре (рис.1.6) сравниваются две волны: прямая,

поступающая непосредственно от ГМЧ, и отраженная, поступающая с

выхода приемника после отражения от объекта. Эти радиоволны имеют

различные фазы.

23

Page 21: Диплом Пояснительная записка

Рис.1.6. Структурная схема фазового метода измерения дальности

Пусть напряжение, вырабатываемое ГМЧ, изменяется по закону

Umax=Um*sin(t+0), где 0 – начальная фаза;

- масштабная частота ГМЧ.

Этим напряжением модулируются колебания генератора высокой

частоты (ГВЧ), которые излучаются в пространство. Тогда напряжение

отраженного сигнала на выходе приемника

Uотр= Um отр* sin[(t - t0)+0] = Um отр* sin(t - t0+0), где t0 – сдвиг фаз

между прямым и отраженным сигналами.

В этой формуле не учитываются запаздывание фазы сигнала в цепях РЛС

и сдвиг фаз, возникающий при отражении от объекта. Эти параметры

постоянны и могут быть получены экспериментальным путем. Поскольку t0 =

2D/c, то

= 2D/c = 4fD/.

Из этой формулы следует, что сдвиг фаз между прямым и отраженным

сигналами зависит от дальности до объекта и частоты колебаний,

генерируемых ГМЧ. Поскольку частота колебаний постоянна, по сдвигу фаз

можно определить дальность до объекта.

24

ГМЧ

Фазометр Приемник

ГВЧ

Объект

Page 22: Диплом Пояснительная записка

1.1.3. Обзор пространства

Таким образом, основой радиолокационного обнаружения, определения

координат и их производных, а возможно, и некоторых других характеристик

(размеров, формы, физических свойств) объектов является радиосигнал,

отраженный, переизлученный или излученный объектами наблюдения. В

активной радиолокации источник электромагнитных колебаний -

передающее устройство РЛС. Но электромагнитные колебания

зондирующего сигнала становятся носителем информации об объекте, т. е.

радиолокационным сигналом, лишь после их отражения (рассеяния)

объектом наблюдения. Однако от вида и параметров зондирующего сигнала

(энергии, несущей частоты, длительности и ширины спектра) зависят

основные характеристики РЛС: дальность действия, точность определения

координат и скорости объектов, разрешающая способность, т. е. тот объем

информации, который может быть получен при обработке

радиолокационного сигнала.

Под зондирующим обычно понимают сигнал, излучаемый антенной,

поэтому его модуляция оказывается связанной также с параметрами

антенной системы и ее движением. Так, при повороте оси диаграммы

направленности антенны (ДНА) относительно направления на объект

амплитуда сигнала изменяется, т. е. появляется дополнительная амплитудная

модуляция, параметры которой зависят от ширины и формы ДНА, а также

скорости ее поворота. Антенная система определяет также поляризацию зон-

дирующего сигнала. В современных РЛС применяют линейную и круговую

поляризацию. Если отражающий объект попадает в зону облучения РЛС (в

пределы ширины ДНА), то создается отраженный сигнал, несущий информа-

цию об объекте. Факт приема сигнала свидетельствует об обнаружении

объекта, а амплитуда, фаза, частота, вид поляризации, время задержки

относительно зондирующего сигнала и направление прихода сигнала к

приемной антенне позволяют оценить координаты объекта, параметры его

25

Page 23: Диплом Пояснительная записка

движения, а при наличии нескольких объектов - разделить их, выделить

объект с требуемыми свойствами и т. д.

Различают два основных режима работы РЛС: режим обзора

(сканирования) пространства и режим слежения за целью. В режиме обзора

луч РЛС по строго определенной системе просматривает все пространство

или заданный сектор. Антенна, например, может медленно поворачиваться

по азимуту и в то же время быстро наклоняться вверх и вниз, сканируя по

углу места. В режиме слежения антенна все время направлена на выбранную

цель, и специальные следящие системы поворачивают ее вслед за

движущейся целью.

Использование направленных передающих антенн для угловой

концентрации энергии излучения в активной радиолокации приводит к

необходимости обзора пространства: воздушного, космического, надводного,

наземного (в зависимости от назначения РЛС). Сами РЛС могут размещаться

при этом на суше, морских судах и различного рода летательных аппаратах.

Одной из разновидностей радиолокационного обзора является обзор

поверхности Земли с летательных аппаратов. Различные направления могут

просматриваться: последовательно во времени в случае одноканального

приема; параллельно, практически одновременно в случае многоканального

приема и, например, широкой характеристики направленности передающей

антенны. В ходе обзора возможно измерение угловой координаты

(пеленгация) по максимуму отраженного сигнала (рис. 1.7, а) в первом случае

и путем сопоставления сигналов в каналах приема (рис. 1.7, б) с различными

характеристиками направленности во втором.

26

Page 24: Диплом Пояснительная записка

Рис.1.7. Обзор пространства

Возможны различные комбинации описанных методов параллельного и

последовательного обзора и соответствующего измерения угловых

координат. Задачи точного измерения могут решаться, кроме того, в

процессе сопровождения целей дополнительно к грубому измерению при

обзоре. Ошибки измерения угловых координат современными РЛС

колеблются от градусов до десятых и сотых долей градуса. Меньшие

значения ошибок обеспечиваются путем сужения характеристик

направленности и сглаживания данных при длительном наблюдении.

Сужение характеристик направленности обеспечивают увеличением

габаритных размеров антенн (от единиц до сотен метров в отдельных

случаях) и укорочением длины волны.

Удаленность того или иного объекта определяется по запаздыванию

отраженного сигнала относительно излучаемого. Запаздывание сигнала

очень мало, поскольку радиоволны распространяются со скоростью, близкой

к скорости света (300 000 км/с). Действительно, для самолета, находящегося

на расстоянии 3 км от РЛС, запаздывание сигнала составит всего 20 мкс.

Такой результат получается из-за того, что радиоволна проходит путь в

обоих направлениях, к цели и обратно, так что общее расстояние,

пройденное волной, составит 6 км. Однако при радиолокации Марса,

успешно проведенной в начале 60-х годов, задержка сигнала составила около

11 мин, а это время малым назвать нельзя. Современная вычислительная

техника способна с высокой точностью обрабатывать сигналы с ничтожным

временем запаздывания, поэтому с помощью радаров можно регистрировать

объекты, расположенные как на больших, так и на малых расстояниях от

27

Page 25: Диплом Пояснительная записка

наблюдателя. Существует единственное существенное ограничение

применения радаров в целях сверхдальних наблюдений - это ослабление

сигнала. Если сигнал проходит большое расстояние, то он частично

рассеивается, искажается и ослабевает и выделить его в приемнике из

собственных шумов приемника и шумов иного происхождения зачастую

крайне затруднительно.

Ослабление сигнала при радиолокации вполне поддается расчету,

который основан на простых физических соображениях. Если в какой - то

точке излучается мощность Р, то поток мощности через единичную

площадку, находящуюся на расстоянии R , будет пропорционален Р/4R2. В

знаменателе стоит площадь сферы радиусом R, окружающей источник.

Таким образом, при обычной радиосвязи мощность, принятая антенной,

обратно пропорциональна квадрату расстояния. Этот закон - закон

сферической расходимости пучка энергии - выполняется всегда при

распространении волн в свободном пространстве. Даже если

сконцентрировать излучаемую мощность в узкий луч и поток энергии

возрастет в несколько раз, (этот коэффициент называется коэффициентом

направленного действия антенны, КНД), квадратичная зависимость от

расстояния сохранится. Но в радиолокации радиосигнал преодолевает

двойные расстояния, а сама облучаемая цель рассеивает энергию по всем

направлениям, и если облучающий цель поток энергии ослабевает обратно

пропорционально R2, то приходящий к приемнику рассеянный поток еще

ослабляется во столько же раз и оказывается обратно пропорциональным R4.

Это означает, что для повышения дальности действия РЛС в два раза при

прочих равных условиях мощность ее передатчика надо повысить в 16 раз.

Столь высокой ценой достигаются высокие характеристики современных

РЛС.

28

Page 26: Диплом Пояснительная записка

1.1.4. Условия и особенности современной радиолокации

Условия многоцелевой локационной обстановки, полеты целей на малых

высотах, снижение их радиолокационной заметности, воздействие различных

помех, в том числе преднамеренных (в условиях боевых действий),

использование противорадиолокационных ракет усложняют работу

радиолокационных средств и систем, приводя к ряду особенностей их

построения и практического использования.

а) Разрешающая способность. При наличии целей и других отражающих

объектов приходится их разрешать. Разрешение заключается в возможности

обнаруживать цели, измерять их координаты, а иногда и производные

координат в присутствии других целей и отражающих объектов. Говорят о

разрешающей способности по координатам, их производным и по

траекториям целей. Разрешающие способности зависят от характеристик

направленности антенн, параметров сигналов, способов их обработки

(рассчитанных или не рассчитанных специально на повышение

разрешающей способности). В наиболее распространенном случае

разрешающую способность реализуют в расчете на достижение

максимальной дальности наблюдения и характеризуют разрешаемым

объемом. Для однопозиционного радиолокатора это объем (рис. 1.8) с

размерами разрешающие способности по координатам цели (дальности,

азимуту, углу места). Разрешающие способности по координатам

определяются так, чтобы показатели качества обнаружения и измерения

параметров цели, расположенной в центре объема, существенно не

ухудшались за счет наличия другой, эквивалентной по своим

характеристикам цели вне этого объема. Разрешаемый объем радиолокатора

с импульсным излучением называют импульсным объемом.

29

Page 27: Диплом Пояснительная записка

Рис.1.8. Разрешаемый объем

Понятие разрешаемого объема ориентировочное. Оно не учитывает,

например, неодинаковую угловую избирательность антенн на передачу и

прием, боковые лепестки их характеристик направленности, боковые

лепестки сигналов, неодинаковую интенсивность вторичного излучения

различных целей, упомянутое отступление обработки от согласованной.

В двухпозиционных (многопозиционных) системах с существенно

перекрывающимися зонами наблюдения возможно некоторое

дополнительное улучшение разрешающей способности.

б) Темп выдачи данных. Скоротечность изменения радиолокационной

обстановки, особенно в военной радиолокации, требует высокого темпа

выдачи данных. Используя элементы вычислительной техники, визуальное

наблюдение заменяют поэтому полуавтоматическим (с участием оператора)

или автоматическим (без его участия). Обнаружение, измерение, разрешение

сливаются при этом в единый процесс и, как правило, отождествляются.

30

Page 28: Диплом Пояснительная записка

в) Наблюдение целей на малых высотах. Вынуждает с учетом фактора

кривизны Земли чаще и выше располагать антенны РЛС или РЛС в целом,

использовать системы РЛС с линиями связи и ЭВМ, самолеты дозора,

загоризонтные РЛС. Возможен переход к спутникам дозора.

г) Наблюдение слабых сигналов на фоне помех. Весьма существенно для

активной локации. Ей свойственно двукратное рассеяние энергии: на пути до

цели и обратно от цели к приемнику. В особенности это касается

малоразмерных целей со специально пониженной локационной заметностью.

При слабых сигналах резко возрастает опасность помех: природного

происхождения, взаимных (от других радиосредств), индустриальных,

преднамеренных. Помехи могут маскировать полезные сигналы и

имитировать цели. И маскирующие и имитирующие помехи в отсутствие

необходимых мер защиты снижают эффективность радиолокационных

средств и систем. Различают помехи активным РЛС в виде активных

мешающих излучений,  пассивных мешающих отражений или их

комбинаций. Координаты постановщиков активных помех могут

определяться методами пассивной радиолокации. Для защиты активных РЛС

от маскирующих активных помех используют разнообразные методы

повышения энергии направляемых на цели зондирующих сигналов (с

закономерной в отличие от помех структурой), повышение разрешающей

способности по угловым координатам с учетом конкретных направлений

прихода мешающих сигналов. Приспособление к конкретной обстановке

называют иначе адаптацией к ней. Важной мерой защиты от специфичных

для активной радиолокации пассивных помех является повышение

разрешающей способности по радиальной скорости, а также по координатам.

Существенны в общем случае оптимизация излучения и обработки сигналов,

адаптация к складывающейся обстановке. Использование и

совершенствование МПРЛС - важный способ радиоэлектронной защиты.

31

Page 29: Диплом Пояснительная записка

1.2. Оптическая локация, применение и общие особенности ОЛС

Развитие лазерной техники привело к созданию нового направления -

оптической радиолокации. Она появилась в начале 60-х годов в результате

создания источников оптического когерентного излучения - лазеров.

Оптической локационной системой (ОЛС) называют совокупность

технических средств, позволяющих обнаруживать объекты и оценивать их

координаты с помощью электромагнитных воли оптического диапазона (от

3·1012 до 3·1016Гц или в длинах волн от 100 до 0,01 мкм). Так

как в качестве излучателей в ОЛС используются, как правило, лазеры, то

термины «оптическая локация» и «лазерная локация» можно рассматривать

как синонимы.

В радиолокации используют электромагнитные волны от декаметрового

до субмиллиметрового диапазона, составляющие значительную часть

диапазона радиоволн. Использование этих волн позволило обеспечить

большие дальности локации.

В оптической локации используют электромагнитные волны с длинами

волн в десятки микрометров и короче, что позволяет обеспечить очень

высокую направленность излучения и приема. Наряду с волновой природой

оптических колебаний может проявляться и их квантовая природа.

Принципиально лазерная локация осуществляется активным методом.

1.2.1. Лазерные дальномеры

Лазерная дальнометрия является одной из первых областей

практического применения лазеров в зарубежной военной технике. Первые

опыты относятся к 1961г., а сейчас лазерные дальномеры используются в

наземной военной технике (артиллеристские, танковые), и в авиации

(дальномеры, высотомеры, целеуказатели), и на флоте. Эта техника прошла

боевые испытания во Вьетнаме и на Ближнем Востоке. В настоящее время

ряд дальномеров принят в армиях капиталистических стран.32

Page 30: Диплом Пояснительная записка

Задача определения расстояния между дальномером и целью сводится

к измерению соответствующего интервала времени между зондирующим

сигналом и сигналом, отраженным от цели. Различают три метода измерения

дальности в зависимости от того, какой характер модуляции лазерного

излучения используется в дальномере: импульсный, фазовый или фазо-

импульсный.

Сущность импульсного метода дальнометрирования состоит в том, что к

объекту посылают зондирующий импульс, он же запускает временной

счетчик в дальномере. Когда отраженный объектом импульс приходит к

дальномеру, то он останавливает работу счетчика. По временному интервалу

автоматически высвечивается перед оператором расстояние до объекта.

Погрешность такого метода измерения 30см. Зарубежные специалисты

считают, что для решения ряда практических задач это вполне достаточно.

При фазовом методе дальнометрирования лазерное излучение

модулируется по синусоидальному закону. При этом интенсивность

излучения меняется в значительных пределах. В зависимости от дальности

до объекта изменяется фаза сигнала, упавшего на объект. Отраженный от

объекта сигнал придет на приемное устройство также с определенной фазой,

зависящей от расстояния.

Оценим погрешность фазового дальномера, пригодного работать в

полевых условиях. Специалисты утверждают, что оператору(не очень

квалифицированному солдату) не сложно определить фазу с ошибкой не

более одного градуса, следовательно погрешность будет составлять

примерно 5см.

1.2.2. Лазерные системы разведки

Для разведки с воздуха в зарубежных армиях используются самые

различные средства: фотографические, телевизионные, инфракрасные,

радиотехнические и др. Сообщается, что наибольшую емкость полезной

33

Page 31: Диплом Пояснительная записка

информации дают средства фоторазведки. Но им присущи такие недостатки,

как невозможность ведения скрытной разведки в ночных условиях, а также

длительные сроки обработки, передачи и предоставления материалов,

несущих информацию. Передавать оперативно информацию позволяют

телевизионные системы, но они не позволяют работать ночью и в сложных

метеоусловиях. Радиосистемы позволяют работать ночью и в плохих

метеоусловиях, но они имеют относительно невысокую разрешающую

способность.

Принцип действия лазерной системы воздушной разведки заключается

в следующем. Излучение с бортового носителя облучает разведуемый

участок местности, и расположенные на нем объекты по-разному отражают

упавшее на него излучение. Можно заметить, что один и тот же объект, в

зависимости от того, на каком фоне он расположен, имеет различный

коэффициент яркости, следовательно, он имеет демаскирующие признаки.

Его легко выделить на окружающем фоне. Отраженное подстилающей

поверхностью и объектами, на ней расположенными, лазерное излучение

собирается приемной оптической системой и направляется на

чувствительный элемент. Приемник преобразует отраженное от поверхности

излучение и электрический сигнал, который будет промодулирован по

амплитуде в зависимости от распределения яркости.

Поскольку в лазерных системах разведки реализуется, как правило,

строчно-кадровая развертка, то такая система близка к телевизионной.

Узконаправленный луч лазера развертывается перпендикулярно

направлению полета самолета. Одновременно с этим сканирует и диаграмма

направленности приемной системы. Это обеспечивает формирование строки

изображения. Развертка по кадру обеспечивается движением самолета.

Изображение регистрируется либо на фотопленку, либо может

производиться на экране электронно-лучевой трубки.

34

Page 32: Диплом Пояснительная записка

1.2.3. Полуактивная и пассивная оптическая локация, общие

особенности ОЛС

Полуактивная оптическая локация.

Использует явление вторичного излучения (отражения) целями

оптических волн от источника естественного интенсивного первичного

излучения. Чаще всего таким источником является Солнце. Средства

полуактивной локации, основанные на этом принципе, называют оптико-

электронными станциями. К средствам полуактивной оптической локации

можно отнести также биологические зрительные системы. Пренебрегая

фактором использования вторичного излучения, оптико-электронные

станции часто относят к средствам пассивной оптической локации.

Пассивная оптическая локация.

Использует собственное оптическое излучение нагретых участков

поверхности цели или ионизированных образований в ее окрестности.

Известно, что максимум излучения абсолютно черного тела при температуре

T (по Кельвину) приходится на длину волны ~ 2898/T мкм . Длина волны, на

которую приходится максимум излучения реальных целей, обычно

находится в инфракрасной области спектра (лишь при T ~4000 K максимум

совпадает с красной, а при T ~5000 К - с желтой областью видимого спектра).

Средства пассивной оптической локации обычно работают поэтому в

ближнем ИК диапазоне. К подобным средствам относят ИК пеленгаторы,

тепловизоры, тепловые головки самонаведения, пассивные приборы ночного

видения и др. Они играют важную роль в системах предупреждения о

ракетном нападении и противоракетной обороны.

35

Page 33: Диплом Пояснительная записка

Общие особенности оптической локации.

Определяются используемым диапазоном частот. Высокая

направленность зондирующего излучения и узкие поля зрения приемных

каналов существенно ограничивают возможности оптических локационных

средств по обзору пространства. Поэтому поиск и обнаружение цели

оптическими локационными средствами осуществляются в большинстве

случаев с использованием внешнего целеуказания, для чего они сопрягаются

с радиолокационными системами. В процессе приема слабых сигналов

проявляется квантовая природа электромагнитных волн. Квантовые шумы

сигнала ограничивают чувствительность идеального оптического приемника

в отсутствие помех на уровне энергии хотя бы одного фотона [hf =(2,65 . . .

4,97) х 10-19Дж для видимого диапазона]. В оптическом диапазоне

облегчается получение некоординатной информации о цели, ее размерах,

форме, ориентации и т.д. При получении используют поляризационные и

фотометрические характеристики рассеянного излучения, регистрируют

изображение цели. Получение некоординатной информации часто является

основной задачей оптических локационных средств. Создание

преднамеренных помех для оптической локации возможно, но сложнее, чем

для радиолокации.

1.2.4. Параметры ОЛС

Какими же параметрами принято характеризовать локатор? Каковы его

паспортные данные? Рассмотрим некоторые из них.

Прежде всего это зона действия. Под ней понимают область

пространства, в которой ведется наблюдение. Ее границы обусловлены

максимальной и минимальной дальностями действия и пределами обзора по

углу места и азимуту. Эти размеры определяются назначением военного

лазерного локатора.

36

Page 34: Диплом Пояснительная записка

Другим параметром является время обзора. Под ним понимается время,

в течении которого лазерный луч производит однократный обзор заданного

объема пространства.

Следующим параметром локатора является определяемые координаты.

Они зависят от назначения локатора. Если он предназначен для определения

местонахождения наземных и подводных объектов, то достаточно измерять

две координаты: дальность и азимут. При наблюдении за воздушными

объектами нужны три координаты. Эти координаты следует определять с

заданной точностью, которая зависит от систематических и случайных

ошибок. Будем пользоваться таким понятием как разрешающая способность.

Под разрешающей способностью понимается возможность раздельного

определения координат близко расположенных целей. Каждой координате

соответствует своя разрешающая способность. Кроме того, используется

такая характеристика, как помехозащищенность. Это способность лазерного

локатора работать в условиях естественных и искусственных помех.

И весьма важной характеристикой локатора является надежность. Это

свойство локатора сохранять свои характеристики в установленных пределах

в заданных условиях эксплуатации.

1.3. Преимущества ОЛС по сравнению с радиолокаторами

1.3.1. Достоинства и недостатки оптической локации

Лазерной локации присущ ряд важных особенностей. К достоинствам

лазерной локации можно отнести:

1. Когерентность

По сравнению с другими источниками света лазеры имеют то

преимущество, что излучаемое ими электромагнитное поле обладает высокой

пространственно-временной когерентностью, что дает возможность

формировать узкие диаграммы направленности (от единиц до десятков

37

Page 35: Диплом Пояснительная записка

угловых секунд) даже при небольших размерах излучателей (единицы

дециметров). Для твердотельных лазеров угловая расходимость составляет

единицы миллирадиан, а для газовых - десятые доли миллирадиана.

Следствием этого является более высокая, чем у РЛС СВЧ - диапазона,

угловая разрешающая способность и точность измерения угловых координат.

При расходимости излучения, равной одной угловой секунде (при этом 1"~

5х10-6pад), поперечный размер облучаемой области на дальности 200 км

составляет 1 м, что позволяет раздельно наблюдать отдельные элементы

цели.

2. Помехоустойчивость

Малое поле зрения (узкая ДН) приемных оптических антенн позволяет

эффективно селектировать отражения от земли и местных предметов при

работе с объектами, имеющими малый угол места, повышает

помехоустойчивость ОЛС по отношению к преднамеренным помехам.

Временная и пространственная когерентности излучения лазеров

обеспечивают стабильность частоты при высокой спектральной плотности их

мощности. Это, а также остронаправленность лазерного излучения

обусловливают высокую помехозащищенность лазерных локационных

средств от воздействия естественных источников излучения.

3.Повышение точности

Переход в оптический диапазон дает также возможность повысить

точность измерения дальности до цели и ее радиальной скорости. При

импульсном методе измерения это связано с возможностью излучения

импульсов наносекундной длительности с пиковой мощностью в сотни и

тысячи мегаватт. При фазовом методе измерение ведется на поднесущих,

имеющих частоты вплоть до СВЧ - диапазона.

Доплеровские методы измерения радиальной скорости в оптическом

диапазоне характеризуются высокой чувствительностью. Так, при длине

волны λи = 1 мкм радиальной скорости Vr = 0,1 м/с соответствует

38

Page 36: Диплом Пояснительная записка

доплеровское смещение частоты Fv= 2 Vr/λи =200 кГц. Для реализации таких

методов требуется высокая стабильность (временная когерентность)

излучения, которая может быть достигнута с помощью газовых лазеров.

Высокая частота колебаний приводит к большим доплеровским сдвигам

частоты при взаимных перемещениях цели и локатора. Это обеспечивает

высокую точность измерения радиальной скорости элементов цели, но

требует расширения полосы приемных устройств.

А теперь перейдем к недостаткам лазерно-локационного метода съемки.

Фактически можно говорить только об одном безусловном недостатке, а

именно, о сильной зависимости от состояния атмосферы.

Распространение волн оптического диапазона в газообразных и жидких

средах сопровождается их значительным рассеянием. Это приводит к

атмосферным помехам обратного рассеяния на входе приемного устройства

и является, кроме того, демаскирующим фактором.

Признавая наличие этого недостатка, необходимо особо оговорить, что и

здесь речь идет об относительном, а не об абсолютном недостатке. Следует

понимать, что сильная зависимость от состояния атмосферы, т.е.

невозможность проведения аэросъемочных работ в условиях дождя, тумана,

дымки, низкой облачности, – это особенность всех средств дистанционного

зондирования, работающих в оптическом (т.е. видимом ультрафиолетовом и

инфракрасном) диапазоне электромагнитного спектра. Серьезными

преимуществами в этом вопросе обладают только радиолокационные

системы, работающие, как следует из их названия, в радиодиапазоне.

1.3.2. Преимущества по сравнению с РЛС

В основе лазерной локации, также как и в радиолокации, лежат три

основных свойства электромагнитных волн:

39

Page 37: Диплом Пояснительная записка

1. Способность отражаться от объектов. Цель и фон, на котором она

расположена, по-разному отражают упавшее на них излучение. Лазерное

излучение отражается от всех предметов: металлических и неметаллических,

от леса, пашни, воды. Более того, оно отражается от любых объектов,

размеры которых меньше длины волны, лучше, чем радиоволны. Это хорошо

известно из основной закономерности отражения, по которой следует, что

чем короче длина волны, тем лучше она отражается. Мощность

отраженнного в этом случае излучения обратно пропорциональна длине

волны в четвертой степени. Лазерному локатору принципиально присуща и

большая обнаружительная способность, чем радиолокатору - чем короче

волна, тем она выше. Поэтому-то и проявлялась по мере развития

радиолокации тенденция к перехода от длинных волн к более коротким.

Однако изготовление генераторов радиодиапазона, излучающих сверх

короткие радиоволны становилось все труднее и труднее, а затем вовсе и

зашло в тупик. Создание лазеров открыло новые перспективы в технике

локации.

2. Способность распространяться прямолинейно. Использование

узконаправленного лазерного луча, которым проводится просмотр

пространства, позволяет определить направление на объект (пеленг цели).

Это направление находят по расположению оси оптической системы,

формирующей лазерное излучение. Чем уже луч, тем с большей точностью

может быть определен пеленг.

Простые расчеты показывают - чтобы получить коэффициент

направленности около 1.5, при использовании радиоволн сантиметрового

диапазона, нужно иметь антенну диаметром около 10м. Такую антенну

трудно поставить на танк, а тем более на летательный аппарат. Она

громоздка и нетранспортабельна. Нужно использовать более короткие волны.

Угловой раствор луча лазера, изготовленного с помощью

твердотельного активного вещества, как известно, составляет всего 1.0 ... 1.5

градуса и при этом без дополнительных оптических систем. Следовательно,

40

Page 38: Диплом Пояснительная записка

габариты лазерного локатора могут быть значительно меньше, чем

аналогичного радиолокатора. Использование же незначительных по

габаритам оптических систем позволит сузить луч лазера до нескольких

угловых минут, если в этом возникнет необходимость.

3. Способность лазерного излучения распространяться с постоянной

скоростью дает возможность определять дальность до объекта. Так, при

импульсном методе дальнометрирования используется следующее

соотношение:

L = ct/2

где L - расстояние до объекта, с - скорость распространения излучения, t -

время прохождения импульса до цели и обратно.

Рассмотрение этого соотношения показывает, что потенциальная

точность измерения дальности определяется точностью измерения времени

прохождения импульса энергии до объекта и обратно. Совершенно ясно, что

чем короче импульс, тем лучше.

41

Page 39: Диплом Пояснительная записка

Глава 2.Исследование принципов функционирования ОЛС

Высокое пространственное разрешение, свойственное оптическим

сигналам, позволяет успешнее, чем в радиодиапазоне, распознавать

наблюдаемые объекты и формировать изображение просматриваемого

пространства. ОЛС присущи следующие особенности, которые нужно учи-

тывать при выборе частотного диапазона проектируемой локационной

системы:

1) характеристики ОЛС сильно зависят от свойств среды, в которой

происходит распространение лазерного излучения. Например, при работе

ОЛС в приземном слое атмосферы (тропосфере) дальность действия и

точность измерения координат объекта определяются в основном

метеоусловиями;

2) вследствие узости ДН требуется большое время для поиска цели по

угловым координатам;

3) существующие ограничения по частоте повторения импульсов,

вызванные теплофизическими особенностями работы лазера, снижают темп

обновления информации, получаемой от лоцируемого объекта;

4) квантовый характер электромагнитного излучения уменьшает

эффективность обнаружения сигнала и измерения его параметров вследствие

как внутренних и внешних шумов, так и вероятностного характера

регистрации оптического излучения.

Часто ОЛС работают в комплексе с другими системами, что позволяет

преодолеть ряд присущих им недостатков. Например, для наведения луча

ОЛС на цель применяют оптические или телевизионные визиры, используют

данные, полученные от РЛС и теплолокаторов.

Из рассмотренных в режимов работы локационных систем для ОЛС

наиболее характерным является активный режим, при котором источник

зондирующего сигнала и приемник отраженного излучения пространственно

совмещены.

42

Page 40: Диплом Пояснительная записка

2.1. Структурная схема ОЛС

Структурная схема ОЛС в общем виде представлена на рис. 2.1. В ее

состав входят источник и приемник излучения, оптическая система,

формирующая излучаемый в направлении цели световой пучок и

собирающая отраженное объектом излучение, система обработки и на-

ведения, осуществляющая оценивание координат цели и их автоматическое

сопровождение.

Рис. 2.1. Структурная схема ОЛС

На рис. 2.1 для обозначения оптических, электрических и механических

связей использованы соответственно двойные, одинарные и пунктирные

линии.

Рассмотрим подробнее элементы структурной схемы ОЛС.

Источник излучения — лазерный передатчик (ЛП) - служит для создания

зондирующего сигнала с требуемыми характеристиками, он работает в

импульсном или непрерывном режиме. Для формирования ДНА,

обеспечивающей концентрацию излучаемой энергии в узком пучке,

применяется оптическая система (рис. 2.2), состоящая из двух линз: окуляра

1 и объектива 2, фокальные плоскости которых совмещены. Такая система,

называемая коллимирующей, позволяет уменьшить расходимость исходного

пучка в k раз, где k = f1/f2; f1, f2 - фокусные расстояния объектива и

окуляра.

43

Page 41: Диплом Пояснительная записка

Рис.2.2. Оптическая система

Для наведения лазерного луча на цель или сканирования используют

систему поворотных зеркал и призм или устройства, основанные на эффекте

преломления луча в оптически неоднородной среде, в которой изменение

показателя преломления создастся управляющим напряжением.

2.2. Распространение и отражение сигнала

Излучаемые оптические сигналы, распространяясь через атмосферу или

другую среду, претерпевают изменения, которые обусловлены тремя

основными явлениями: поглощением, рассеянием и турбулентностью.

Поглощение и рассеяние определяют среднее затухание оптического сигнала

и относительно медленные флуктуации, вызванные изменением

метеоусловий. С турбулентностью связаны быстрые изменения поля,

имеющие место при любой погоде. Турбулентность делает характеристики

среды распространения случайными, вследствие чего происходит расшире-

ние диаметра светового пучка; его амплитуда, фаза, поляризация и угол

падения флуктуируют.

При взаимодействии лазерного пучка с отражающей поверхностью цели

возникает вторичное излучение, характер которого зависит от свойств

зондирующего луча и особенностей цели (состояние поверхности, характер

движения). В зависимости от состояния отражающей поверхности различают

зеркальное и диффузное отражения. При зеркальном отражении вторичное

излучение формируется по законам геометрической оптики.

Одним из наиболее часто применяемых зеркальных отражателей

является, как и в радиолокации, уголковый отражатель (световозвращатель),

называемый в оптике трипель-призмой. По технологическим соображениям

трипель-призмы имеют небольшие размеры (радиус окружности, вписанной

44

Page 42: Диплом Пояснительная записка

во входную грань, составляет 20-70 мм), поэтому для увеличения

отражающей площади, необходимой для того, чтобы перехватить большую

часть излучаемой энергии, уголковые отражатели объединяют в блоки.

В тех случаях, когда размеры шероховатостей поверхности больше λи /16

(не выполняется рэлеевский критерий гладкости), имеет место диффузное

отражение, при анализе которого пользуются моделью ламбертова

отражателя. Энергетическая яркость такого отражателя не зависит от

направления наблюдения. К ламбертовым поверхностям можно отнести

земные ландшафты (почвы, пески, растительные образования) и некоторые

наземные объекты (дороги, взлетно-посадочные полосы аэродромов, кровли

зданий).

Для большинства реальных целей микроструктура поверхности является

случайной, поэтому рассеянное объектом излучение можно рассматривать

как результирующее поле, создаваемое отражением падающего светового

потока от ближайших окрестностей «блестящих» точек, случайно и

независимо друг от друга расположенных на облучаемой поверхности.

Следствием этого является случайный характер отраженного сигнала,

характеризуемого в соответствии с центральной предельной теоремой

гауссовским законом распределения мгновенных значений напряженности

поля. Если при этом учесть, что случайный характер излучение приобретает

и в результате прохождения через турбулентную среду, то станет понятным,

почему при математическом описании принятого оптического сигнала

широко применяют модель нормального случайного поля.

2.3. Помехи

Характеристики ОЛС во многом зависят от свойств среды, в которой

происходит распространение излучения, отражающей способности объекта и

уровня помех, которые, как и в радиодиапазоне, можно разделить на

внешние и внутренние.

45

Page 43: Диплом Пояснительная записка

Вместе с полезным сигналом на входе оптической приемной системы

присутствует световой фон, создаваемый рассеянным в атмосфере

солнечным излучением, свечением звездного неба, а также излучением,

отраженным от различных посторонних объектов, оказавшихся в поле зрения

приемной системы ОЛС. Фоновая помеха представляет собой случайное

гауссовское поле, которое, как и нормальный случайный процесс, можно

полностью описать средним значением и корреляционной функцией. Так как

для оптических полей среднее значение напряженности где r—

радиус-вектор, определяющий положение точки в плоскости наблюдения; t -

время, то корреляционная функций может быть записана в виде

Случайное поле считается стационарным и однородным, если

Так как фоновое излучение стационарно и однородно, обладает

чрезвычайно широким спектром, а его пространственный радиус корреляции

существенно меньше радиуса корреляции сигнального поля, то

корреляционная функция фоновой помехи

где N0/2 — пространственно-временная спектральная плотность мощности.

При работе ОЛС в сильно замутненной среде (туман, вода) основным

видом помехи является обратное рассеяние излучения передатчика - так

называемая помеха обратного рассеяния. Характер этой помехи зависит как

от оптических характеристик среды, так и от параметров излучаемого

сигнала.

Кроме внешних помех при проектировании ОЛС необходимо учитывать

внутренние шумы, возникающие при преобразовании оптического сигнала в

электрический. Связанный с ними ток, возникающий на выходе

преобразователя при отсутствии светового сигнала на входе, называют

темновым.

46

Page 44: Диплом Пояснительная записка

2.4. Оптическое приемное устройство

Часть отраженного от цели излучения вместе с внешними помехами

попадает на входную апертуру оптического приемного устройства (ОПУ),

состоящего из оптической приемной антенны, светофильтра и

фотоприемника. В ОПУ применяют линзовые, отражательные и смешанные

антенные устройства. Среди линзовых антенн наиболее распространенной

является телескопическая система, изображенная на рис. 2.3.

Рис. 2.3. Телескопическая система

Принимаемый световой пучок поступает на объектив 1, в фокусе

которого располагается диафрагма 2, вместе с объективом определяющая

поле зрения ОПУ:

,

где dnp - диаметр диафрагмы; f1 - фокусное расстояние объектива. С

помощью окуляра 3 формируется параллельный пучок, который

пропускается через оптический фильтр 4. Линза 5 фокусирует

отфильтрованный сигнал на светочувствительной поверхности

фотоприемника 6.

Антенное устройство смешанною типа представлено на рис. 2.4.

Приходящее излучение попадает на зеркало 2, переотражается на зеркало 1 и

после прохождения диафрагмы 3 преобразуется с помощью окуляра 4,

оптического фильтра 5, фокусирующей линзы 6 и поступает на

фотоприемник 7. Смешанная система позволяет получить компактную и

дешевую конструкцию с малым коэффициентом оптических потерь.

47

Page 45: Диплом Пояснительная записка

Рис. 2.4. Антенное устройство смешанного типа

Фотоприемник, преобразующий оптический сигнал в электрический,

может быть выполнен на основе прямого фотодетектирования

(энергетический прием) или с помощью оптического гетеродинирования.

Приемники с прямым фотодетектированием получили широкое

распространение в видимой и ближней инфракрасной областях спектра. В

таких приемниках излучение с выхода оптического фильтра поступает на

светочувствительный элемент, преобразующий световой поток в

электрический сигнал, который представляет собой сумму одноэлектронных

импульсов, появляющихся в результате преобразования фотон-электрон. При

гетеродинном приеме (рис. 2.5) на светочувствительный элемент 4 подается

аддитивная смесь принятого светового потока и излучения от оптического

гетеродина 3, формируемая с помощью полупрозрачного зеркала 2. На

выходе светочувствительного элемента с помощью фильтра 5 выделяется

сигнал промежуточной частоты. Дальнейшая обработка ведется уже в

радиодиапазоне.

Рис.2.5. Гетеродинный прием

Фотогетеродинный метод позволяет получить высокую

чувствительность, обеспечивает эффективную частотную и

пространственную селекцию сигнала за счет использования узкополосных

фильтров радиодиапазона и учета зависимости уровня сигнала на

промежуточной частоте от взаимного положения волновых фронтов

приходящего и опорного излучений. Таким образом, если лазерный

гетеродин пространственно сфазирован относительно полезного сигнала, то

48

Page 46: Диплом Пояснительная записка

мешающее излучение, приходящее с другого направления, будет ослаблено.

Для реализации фотогетеродинного метода необходимы лазерный источник

и гетеродин, работающие в одночастотном режиме с сохранением

пространственной и временной когерентности излучения на время

распространения оптического сигнала до цели и обратно. Подобным

условиям удовлетворяют газовые лазеры.

Элементом ОПУ, во многом определяющим его качественные

показатели, является светочувствительный элемент - фотодетектор (ФОД). В

ФОД используется внешний либо внутренний фотоэффект. Из приборов с

внешним фотоэффектом в видимом и ультрафиолетовом диапазонах

наибольшее распространение получили фотоэлектронные умножители.

Остальные узлы ОЛС, обеспечивающие обработку электрического

сигнала с выхода ФОД, наведение луча на цель и ее сопровождение по

дальности и угловым координатам, принципиально не отличаются от

соответствующих устройств РЛС.

2.5. ОЛС- 35

Новой подсистемой системы управления вооружением самолета Су-35

является оптико-локационная станция ОЛС-35 (рис.2.6), объединяющая в

себе теплопеленгатор, лазерный дальномер-целеуказатель и телевизионный

канал.

Рис. 2.6. ОЛС - 35

ОЛС предназначена для круглосуточного обнаружение воздушных целей

по их тепловому излучению. Оптико-локационная станция включает

49

Page 47: Диплом Пояснительная записка

тепловой, лазерный и телевизионный каналы, имеет повышенную дальность

действия и обеспечивает не только обнаружение и измерение координат

воздушных целей, но и лазерный подсвет наземных целей для определения

дальности до них и применения управляемого оружия класса «воздух-

поверхность», а также слежение за наземными целями в корреляционном

режиме.

Оптико-локационная станция обеспечивает истребителям возможность

визуального наблюдения за целью, как днем, так и ночью, в различных

погодных условиях с помощью оптического или инфракрасного канала.

Станция выводит изображение на многофункциональный дисплей в кабине

пилота.

Система обладает возможностью "замораживания изображения" - что

позволяет пилоту детально рассмотреть и классифицировать цели при

выполнении разведывательных заданий, для нанесения точного удара или

при контроле результатов атаки с воздуха.

Станция размещается в контейнере, который подвешивается под

фюзеляж самолета, и может работать независимо от остальных систем

обнаружения, установленных на истребителе. Наличие оптико-локационной

станции позволяет самолету обнаруживать цели на значительном расстоянии,

не демаскируя себя работой радара.

Применение современной элементной базы, новых алгоритмов и

программного обеспечения обуславливают превосходство ОЛС-35 над ОЛС

других самолетов семейства Су-27 и Су-30 по дальности и точности

действия, а также надежности.

ОЛС имеет в своем составе переднюю и нижнюю станции, работающие

в среднем инфракрасном и видеодиапазонах, а также выполняющие функции

лазерного дальномера, который может работать и для подсветки целей при

наведении оружия. В передней полусфере система работает в диапазоне ±90

50

Page 48: Диплом Пояснительная записка

градусов по углу азимута и от -15 до +60 градусов по высоте, а нижний

оптический "шар" обслуживает всю нижнюю полусферу. Дальность

обнаружения целей передней станцией составляет 15 км, нижней станцией -

до 45 км (ОЛС распознает танк на расстоянии до 20 км, корабль - до 40 км).

РЛС, ОЛС и специальные датчики, установленные на законцовках крыльев,

объединены в уникальный комплекс обороны. Все эти системы фиксируют

пуски ракет и определяют, нацелена ли ракета в самолет. Затем определяется

траектория и дальность средств поражения, тип наведения ракет. Также

улавливается облучение самолета лазерным лучом. По результатам сбора и

обработки информации выдается команда на отстрел ловушек или

постановку помех, а летчику дается векторное направление для уклонения от

атаки. Комплекс обороны обнаруживает пуски ракет "воздух-воздух" на

дальности до 30 км, ракет "земля-воздух" - до 50 км, ПЗРК - до 10 км.

Обнаружение опасных для самолета ракет осуществляется на расстоянии не

менее 4 км. Лазерное облучение "засекается" на дальности до 30 км.

Назначение:

поиск и обнаружение воздушных целей в их передней и задней

полусфере;

захват и автосопровождение маневрирующих воздушных целей,

распознавание воздушных целей и измерение дальности до них;

выдача в оптико-электронный прицельный комплекс угловых координат

воздушной цели и значения дальности для формирования целеуказания

головкам самонаведения, обеспечение режима несинхронной стрельбы

встроенного пушечного вооружения;

поиск, обнаружение и распознавание наземных целей; захват,

автосопровождение наземных целей и измерение дальности до них.

Состав:

сканирующий теплопеленгатор для воздушной цели с новым

чувствительным инфракрасным приемником, благодаря которому в

несколько раз увеличилась дальность обнаружения цели по ее тепловому

51

Page 49: Диплом Пояснительная записка

излучению в задней полусфере и стало возможным обнаружение цели в

передней полусфере;;

телевизионный канал для распознавания воздушных и наземных целей в

дневное время;

многорежимный лазерный дальномер-целеуказатель воздушной и

наземной цели;

система стабилизации поля зрения; система встроенного контроля для

оперативной оценки и исправности каналов.

В таблице 2.1. приведены технические характеристики ОЛС – 35.

Таблица 2.1.

Зона обзора:  

по азимуту, не менее ±60º

по  углу места, не менее +55º…-15º

длительность одного цикла обзора

зоны, не более4 сек.

поле обзора 150ºх24º

дальность обнаружения:  

в передней полусфере, км 40

в задней полусфере, км 70

диапазон рабочих температур, ºC -40º…+60º

габариты, мм 766х540х763

масса, кг 71

52

Page 50: Диплом Пояснительная записка

Глава 3. Реализация начальных (предварительных)

алгоритмов

3.1. Алгоритмическое обеспечение МУЛВ, выбор средств и

методов разработки

Модуль управления линией визирования характеризуется сложным

алгоритмическим составом, от корректности реализации которого зависит

функционирование устройства сканирующего пространства. Ниже приведен

список алгоритмов МУЛВ (выделены алгоритмы, которые рассматриваются

в данной работе):

Алгоритм состояния функционирования «Подготовка»

Алгоритм задания состояния функционирования МУЛВ и

распределения информации

Алгоритм формирования М.Слв

Алгоритм состояния функционирования «Встроенный контроль»

Алгоритм состояния функционирования «Исходное состояние»

Алгоритм ретрансляции М.СмТ, М.СмV

Алгоритм состояния функционирования «Внешнее управление »

Алгоритм состояния функционирования «Обзор воздушного

пространства реверсивный»

Алгоритм состояния функционирования «Обзор воздушного

пространства круговой»

Алгоритм состояния функционирования «Автосопровождение»

Алгоритм состояния функционирования «Обзор воздушного

пространства вертикальный»

Алгоритм формирования M.NF

Алгоритм формирования сигнала Frame и Fшим

Алгоритм опроса датчиков углов

Алгоритм расчета положения линии визирования

53

Page 51: Диплом Пояснительная записка

Алгоритм формирования управляющих сигналов угломестного

двигателя

Алгоритм формирования управляющих сигналов азимутального

двигателя

Алгоритм расчета угловых скоростей линии визирования

Алгоритм формирования М.Вчф

Схема взаимодействия алгоритмов МУЛВ представлена на рис. 3.1.

Пунктом 2 технического задания на разработку значится требование по

разработке устройства с использованием ПЛИС фирмы Xilinx

технологической серии Spartan-3. Наиболее подходящим инструментарием

для данной задачи является интегрированная среда разработки от фирмы

Xilinx – Xilinx ISE 9.2i. Данная среда была выбрана в связи с удобством

использования как для реализации схемотехнических решений, так и с

использованием языков разработки аппаратуры. В качестве основного языка

разработки был выбран язык VHDL.

54

Page 52: Диплом Пояснительная записка

Рис. 3.1. Схема взаимодействия алгоритмов МУЛВ

55

Page 53: Диплом Пояснительная записка

3.2. Алгоритм состояния функционирования «Встроенный

контроль».

Основной задачей алгоритма является выявление сбоев в работе модуля

управления линией визирования. Устройство, реализованное по данному

алгоритму, не локализует ошибку, а лишь идентифицирует её наличие.

Локализация ошибок, выявление причин и способов решения проблем –

функциональные задачи, других устройств.

Определение работоспособности осуществляется следующим образом:

Выдача предопределённых значений углов (азимутального и

угломестного двигателей головного зеркала)

Ожидание значений углов от датчиков (угломестного и

азимутального)

Сравнение выданных и полученных значений с максимально

допустимой погрешностью измерения

Тестирование осуществляется за счёт множественной проверки тестовых

значений. Модуль самотестирования предназначен для тестирования

устройства в боевых условиях и в момент начала работы. Время

тестирования ограничено, поэтому количество тестовых выборок сокращено

до трёх. Тестирование устройства в лабораторных условиях или на этапе

подготовки осуществляется с использованием специализированных модулей

и программного обеспечения входящего в комплект поставки, например,

данная функциональность может быть реализована с использованием

программного обеспечения автоматизированного рабочего места оператора

путём выдачи тестовых последовательностей в устройство через модуль

«Внешнее управление». Алгоритм «встроенный контроль» имеет

относительно симметричную структуру, что позволяет в случае

необходимости расширять количество предварительных значений для

тестирования. Малое количество тестовых значений (обусловленное

коротким циклом проверки устройства) и требования по условиям

работоспособности предполагают реализацию алгоритма в ПЛИС с

56

Page 54: Диплом Пояснительная записка

использованием автоматного подхода (по методу «жёсткой логики»).

Результатом работы устройства, реализованного по данному алгоритму,

является выходной сигнал сигнализирующий о исправности модуля

управления линий визирования (выходной сигнал ИспрМУЛВ: высокий

уровень – исправен, низкий уровень – «ошибка»).

Рис. 3.2.1: Блок схема алгоритма «Встроенный контроль».

На рисунке 3.2.1 представлена блок-схема алгоритма, оптимизированная

для реализации на VHDL: произведены предварительные расчеты констант,

значения углов переведены в 16-разрядные целые числа.

57

Page 55: Диплом Пояснительная записка

Опишем входных, выходных данных и предопределённых констант.

Значение констант:

1. dFy=10 – допустимая ошибка по азимуту

2. dFz=10 – допустимая ошибка по углу места

3. Fшим – опорный сигнал формирования сигналов 10 кГц

4. π = 32768

5. 2 π = 65536

Входные данные:

1. Fz_lv – положение линии визирования по углу места (16-разрядное

целое число, старший разряд знаковый)

2. Fy_lv - положение линии визирования по азимуту (16-разрядное

целое число, старший разряд знаковый)

Выходные данные:

1. ИспрМУЛВ – признак исправности МУЛВ (1 разряд)

2. Fy_set – требуемое положение головного зеркала по азимуту в

пространстве предметов 16-разрядное целое число)

3. Fz_set – требуемое положение головного зеркала по углу места в

пространстве предметов 16-разрядное целое число)

Необходимо реализовать алгоритм следующим образом – обеспечить

последовательное выполнение операций и ожидание необходимых входных

воздействий с некоторой частотой (блок ожидания сигналов Fшим).

Выполнить необходимые условия возможно, если реализовать алгоритм

с помощью автомата. Выделим каждый блок в отдельное состояние и

произведём оптимизацию алгоритма, для последующей реализации на языке

VHDL(рис. 3.2.2). Блоки «начало» и «конец» могут быть сразу объединены в

одно состояние с последующим или предыдущим блоком.

58

Page 56: Диплом Пояснительная записка

Рис. 3.2.2: Блок схема алгоритма «Встроенный контроль» с выделением

состояний для автомата.

Изобразим в схематичной форме диаграмму состояний и переходов

конечного автомата. На диаграмме отсутствуют условия перехода между

состояниями (в общем случае таким условием является фронт сигнала

синхронизации). Сплошными линиями изображены направления перехода

между состояниями, пунктирной – зависимость состояний между собой по

данным, направление стрелки характеризует элемент, от которого зависит

состояние. Рассмотрим полученную диаграмму состояний.

59

Page 57: Диплом Пояснительная записка

Рис. 3.2.3: Схематичное представление диаграммы состояний автомата и

зависимости состояний по данным между собой.

Рассмотрим полученную диаграмму и проанализируем состояния, в

которых осуществляется вывод требуемого положения в МУЛВ (состояния:

s2,s3,s7,s8,s12,s13). Выделение отдельного состояния для инициализации

сигналов не целесообразно, поэтому эти состояния необходимо попарно

объединить.

Состояния s4-s6, s9-s11,s14-s16 зависимы, однако семантика состояний

s9,s11,s16 – проверка полученных значений углов. Необходимости выделять

отдельно: ожидания опорного сигнала и получения данных,- нет, поэтому

объединим эти состояния.

60

Page 58: Диплом Пояснительная записка

Отметим особенно, что необходимо ввести сигнал сброса (Reset),

который переводит устройство в состояние самотестирования. Рассмотрим

полученную диаграмму состояний автомата.

Рис. 3.2.4: Диаграмма состояний автомата реализующего алгоритм

«встроенный контроль».

Диаграмма на рис. 3.2.4. представляет собой диаграмму переходов в

конечном автомате. Знак «!» означает отрицание условия. На диаграмме

принята следующая схема именования:

S1-S17 – состояния выделенные в алгоритме (подробнее на рис.

3.2.2)

Clk – фронт сигнала внешней синхронизации (60 МГц)

Cond1 = |Fy_lv|<dFy & |Fz_lv|<dFy

Cond2 = |Fy_lv-200|<dFy & |Fz_lv-100|<dFy

Cond3 = |Fy_lv-65336|<dFy & |Fz_lv-65336|<dFy

Fшим – высокий уровень опорного сигнала

Cond1,Cond2,Cond3 – тестовые условия.

61

Page 59: Диплом Пояснительная записка

Из-за необходимости изображения семантики состояний, названия

состояний были вынесены отдельно. Было выделено 8 состояний, которые

поименованы как s’1-s’8.

Реализация алгоритма «Встроенный контроль» с

использованием языка VHDL.

-----------------------------------------------------------------------------

-----

-- Module Name: self_test - Behavioral

-----------------------------------------------------------------------------

-----

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

---- Uncomment the following library declaration if instantiating

---- any Xilinx primitives in this code.

--library UNISIM;

--use UNISIM.VComponents.all;

-- Встроенный контроль

entity self_test is

Port ( clk_60 : in STD_LOGIC;

F_y : in STD_LOGIC_VECTOR (15 downto 0);

F_z : in STD_LOGIC_VECTOR (15 downto 0);

Fy_set : out STD_LOGIC_VECTOR (15 downto 0);

Fz_set : out STD_LOGIC_VECTOR (15 downto 0);

isprMULV : out STD_LOGIC;

reset : in STD_LOGIC;

pulse : in STD_LOGIC

);

end self_test;

-- поведенческая реализация

architecture Behavioral of self_test is

-- описание типа для хранения состояний

type state_type is (s1, s2, s3, s4, s5, s6, s7, s8);

62

Page 60: Диплом Пояснительная записка

-- сигнал, хранения текущего состояния

signal State : state_type := s1;

begin

-- описание процесса (асинхронными являются reset и clk_60)

main : process(clk_60, reset)

begin

-- асинхронный сброс

if (reset = '1') then

Fy_set <= (others => '0');

Fz_set <= (others => '0');

isprMULV <= '0';

State <= s1;

-- основная работа устройства по фронту синхронизации

elsif(rising_edge(clk_60)) then

-- инициализация начального состояния

if(State = s1)then

Fy_set <= (others => '0');

Fz_set <= (others => '0');

isprMULV <= '0'; -- установка признака неработоспособности МУЛВ

State <= s2;

end if;

-- состояние s2, вывод нулевых значений углов

if(State = s2)then

Fy_set <= (others => '0');

Fz_set <= (others => '0');

State <= s3;

end if;

-- состояние s3, получение и проверка значений углов

if(State = s3)then

if(pulse = '1')then

if(F_y < conv_std_logic_vector(10,16) or F_y >

conv_std_logic_vector(65525,16))then

if(F_z < conv_std_logic_vector(10,16) or F_z >

conv_std_logic_vector(65525,16))then

State <= s4;

end if;

63

Page 61: Диплом Пояснительная записка

end if;

end if;

end if;

-- состояние s4, вывод тесотвых значений 1

if(State = s4)then

Fy_set <= conv_std_logic_vector(200,16);

Fz_set <= conv_std_logic_vector(100,16);

State <= s5;

end if;

-- состояние s5, получение и проверка значений углов

if(State = s5)then

if(pulse = '1')then

if(F_y > conv_std_logic_vector(190,16) and F_y <

conv_std_logic_vector(210,16))then

if(F_z > conv_std_logic_vector(90,16) and F_z <

conv_std_logic_vector(110,16))then

State <= s6;

end if;

end if;

end if;

end if;

-- состояние s6, вывод тестовых значений 2

if(State = s6)then

Fy_set <= conv_std_logic_vector(65336,16);

Fz_set <= conv_std_logic_vector(65436,16);

State <= s7;

end if;

-- состояние s7, получение и проверка значений углов

if(State = s7)then

if(pulse = '1')then

if(F_y < conv_std_logic_vector(65346,16) and F_y >

conv_std_logic_vector(65326,16))then

if(F_z < conv_std_logic_vector(65446,16) and F_z >

conv_std_logic_vector(65426,16))then

State <= s8;

end if;

end if;

end if;

64

Page 62: Диплом Пояснительная записка

end if;

-- состояние s7, вывод признака работоспособности МУЛВ

-- завершение работы

if(State = s8)then

isprMULV <= '1';

end if;

end if;

end process main;

end Behavioral;

Тестирование реализации алгоритма

В качестве тестовых последовательностей были выбраны следующие значения

входных сигналов:

Fy Fz Fy_lv Fz_lv Pulse IsprMULV

0 0 U U 0 0

0 0 0 0 0 0

0 0 0xFFFB 5 1 0

0x00C8 0x0064 0xFFFB 5 1 0

0x00C8 0x0064 U U 0 0

0x00C8 0x0064 0x00CA 0x0060 1 0

0xFF38 0xFF9C U U 0 0

0xFF38 0xFF9C 0xFF30 0xFF9D 1 1

Таблица 3.1: тестовые значения входных и выходных сигналов.

В таблица 3.1. перечислены тестовые значения входных сигналов, за

исключением тестовый значений для сигналов асинхронного сброса,

текущего состояния и основного синхронизирующего сигнала,- эти значения

будут показаны детально на временной диаграмме.

Временная диаграмма, отражающая описанные тесты:

65

Page 63: Диплом Пояснительная записка

Рис. 3.2.5: Временная диаграмма тестирования устройства в среде ActiveHDL.

Временная диаграмма(рис. 3.2.5) свидетельствует о правильной

реализации и полном покрытии тестовыми значениями всех ветвей

алгоритма: асинхронный сброс устройства, изменение всех возможных

состояний устройства, признак неисправности и исправности МУЛВ,

сохранение состояния автомата в связи с ошибочными данными, изменение

состояния только в связи с выполнением всех условий.

66

Page 64: Диплом Пояснительная записка

3.3 Алгоритм состояния функционирования «внешнее

управление».

Основная задача устройства построенного по данному алгоритму,

преобразование внешних воздействий, в соответствии с характерными

особенностями устройства, и выдача требуемых значений углов головного

зеркала (по азимуту и углу места).

Если не учитывать аппаратные особенности устройства, то в общем виде

алгоритм можно представить следующим образом (рис. 3.3.1.).

Рис. 3.3.1: Блок-схема алгоритма «внешнее управление» в общем виде

Из рис. 3.3.1 видно, что в таком виде данная блок-схема алгоритма не

применима для реализации в аппаратуре. В частности, необходимо провести

ряд мер, по изменению алгоритма, с целью получения возможности

реализации, прежде всего, введение ожидания опорного сигнала, введения

дополнительных переменных, для хранения старого значения Fy и Fz 67

Page 65: Диплом Пояснительная записка

(значение будет описано во входных данных), произвести предварительные

вычисления констант, операцию деления на 2 – заменить сдвигом вправо на 1

разряд, выбрать разрядность входных и выходных данных.

Значения констант:

Fшим – опорный сигнал 10 кГц

Pi – 32768

Входные данные:

Fy – координата целеуказания по азимуту (16-разрядное целое,

старший разряд - знаковый)

Fz - координата целеуказания по углу места (16-разрядное целое,

старший разряд - знаковый)

Выходные данные:

Fy_set – требуемое положение головного зеркала по азимуту (16-

разрядное целое)

Fz_set - требуемое положение головного зеркала по углу места (16-

разрядное целое)

С учётом вышесказанного, преобразуем блок-схему алгоритма

следующим образом.

1. Введём опорный сигнал Fшим

2. Произведём предварительное вычисление констант (там где

возможно)

3. Операцию деления на 2 заменим сдвигом вправо на 1 разряд

4. Операции сравнения возможно производить параллельно

5. Операцию сравнения с «0», заменим на проверку старшего

(знакового разряда)

Так как предполагается сдвиг как положительных, так и отрицательных

чисел, то операция сдвига будет выглядеть следующим образом: разряды с 14

по 0 сдвигаются вправо, на место сдвинутых элементов устанавливается

значение знакового разряда.

68

Page 66: Диплом Пояснительная записка

Рис. 3.3.2: Блок-схема алгоритма «Внешнее управление».

Алгоритм будет реализован с использованием конечного автомата.

Необходимо выделить в алгоритме набор состояний, находясь в которых

алгоритм будет отрабатывать собственный функционал. Выделим все блоки

алгоритма как отдельные состояния, затем построим диаграмму переходов

между состояниями автомата, укажем зависимости состояний по данным.

Операция по делению на 2 (сдвигу вправо), должна быть выполнено до

непосредственного сложения, поэтому будет выделена как отдельное

состояние.

69

Page 67: Диплом Пояснительная записка

Рис. 3.3.3: Блок-схема алгоритма с выделенными состояниями.

Изобразим схематично диаграмму переходов между состояниями в

автомате. Сплошные линии – направление переходов между состояниями,

пунктирные – зависимости состояний по данным. На диаграмме не

изображались условия переходов между состояниями.

70

Page 68: Диплом Пояснительная записка

Рис. 3.3.4: Схематичное представление диаграммы переходов между

состояниями и зависимости по данным.

Ввиду необходимости предварительного вычисления Fz/2 (что

показывает зависимость между s7 и s8), выделили дополнительное состояние

s8. Однако, вычисление данного значение возможно параллельно со

сравнение в состоянии s3. Преобразуем диаграмму переходов с учётом, что

функционал s8 будет реализован в состоянии s3.

71

Page 69: Диплом Пояснительная записка

Рис. 3.3.5: Диаграмма переходов между состояниями.

Данный автомат будет реализован с использование VHDL на аппаратуре.

Fz_set Fy_set Fz Fy

0 0 0 0

44 10 88 10

44 350 88 -10

350 0 -20 0

350 270 -20 -90

350 170 -20 170

315 0 -90 0

0 190 0 -170

0 170 0 170

Таблица 3.2: Тестовые значения углов в градусах.

72

Page 70: Диплом Пояснительная записка

Рассмотрим тестовые значения углов для проверки работоспособности

алгоритма (таб. 3.2). Значения углы представлены в 10-й системе счисления,

в градусах. В данном виде применение тестовых значений не применимо,

поэтому необходимо преобразовать эти значения углов в соответствии с тем,

каким образом были выбраны разрядность и тип входных сигналов.

Преобразование углов будет проводится по следующей формуле:

,

где - значение угла в градусах, 65536 – максимальное число для 16-разрядных чисел.

Преобразуем полученные значения в 16-разрядные числа.

Fz_set Fy_set Fz Fy

0 0 0 0

1F4A 071C 3E94 071C

1F4A F8E3 3E94 F8E4

F8E2 0 F1C7 0

F8E2 BFFF F1C7 C000

F8E2 78E3 F1C7 78E3

DFFF 0 C000 0

0 871C 0 871D

0 78E3 0 78E3

Таблица 3.3: Значение углов, применяемые для непосредственного

тестирования.

Проведём реализацию алгоритма с использованием VHDL и

протестируем с использованием тестовых значений на предмет правильности

алгоритма и собственно реализации.

73

Page 71: Диплом Пояснительная записка

Реализация алгоритма состояния функционирования «внешнее

управление» на языке VHDL.

------------------------------------------------------------------------------------ Module Name: external_drive - Behavioral ----------------------------------------------------------------------------------library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;

---- Uncomment the following library declaration if instantiating---- any Xilinx primitives in this code.--library UNISIM;--use UNISIM.VComponents.all;

entity external_drive is Port ( clk_60 : in STD_LOGIC;

pulse : in STD_LOGIC; go : in STD_LOGIC;

Fy : in STD_LOGIC_VECTOR (15 downto 0); Fz : in STD_LOGIC_VECTOR (15 downto 0); Fy_set : out STD_LOGIC_VECTOR (15 downto 0); Fz_set : out STD_LOGIC_VECTOR (15 downto 0); reset : in STD_LOGIC

);end external_drive;

architecture Behavioral of external_drive is

type state_type is (s0, s1, s2, s3, s4, s5, s6);

signal State : state_type := s0;

signal ffy, ffz, ffyo, ffzo, fyc, fzc, fz2 : STD_LOGIC_VECTOR (15 downto 0);

begin

main : process(clk_60)begin

if(reset = '1')then State <= s0;

ffyo <= (others=>'0');ffzo <= (others=>'0');ffy <= (others=>'0');ffz <= (others=>'0');fyc <= (others=>'0');fzc <= (others=>'0');fz2 <= (others=>'0');

elsif(rising_edge(clk_60))then

if(State = s0 and go='1') thenState <= s1;ffyo <= (others=>'0');ffzo <= (others=>'0');ffy <= (others=>'0');ffz <= (others=>'0');

74

Page 72: Диплом Пояснительная записка

fyc <= (others=>'0');fzc <= (others=>'0');fz2 <= (others=>'0');

end if;

if (State = s1 and go='1') then ffy <= fy;

ffz <= fz;State <= s2;

end if;

if(State = s2 and go='1')then if (ffy=ffyo and ffz=ffzo) then

State <= s3;else

State <= s5;end if;

fz2(15) <= ffz(15);fz2(13 downto 0) <= ffz(14 downto 1);

if (ffz(15)='1') thenfz2(14)<='1';

elsefz2(14)<='0';

end if;

end if;

if(State = s3 and go='1')thenFy_set <= fyc;Fz_set <= fzc;State <= s4;

end if;

if(State = s4 and pulse='1' and go='1')thenState <= s1;ffyo <= ffy;ffzo <= ffz;

end if;

if(State = s5 and go='1')thenif (ffz(15)='1') then

fzc <= fz2 + conv_std_logic_vector(65535,16);else

fzc <= fz2;end if;

if (ffy(15)='1') thenfyc <= ffy + conv_std_logic_vector(65535,16);

elsefyc <= ffy;

end if;

State <= s3;end if;

end if;

end process main;end Behavioral;

75

Page 73: Диплом Пояснительная записка

Проведём тестирование алгоритма с использованием среды ActiveHDL и

построим временные диаграммы(рис. 3.3.6, рис. 3.3.7).

Рис. 3.3.6: Временная диаграмма работы устройства по тестовым значениям.

Рис. 3.3.7: Временная диаграмма работы устройства по тестовым значениям.

76

Page 74: Диплом Пояснительная записка

3.3.4 Алгоритм опроса азимутального датчика.

Непосредственное получение данных с датчиков невозможно, поэтому

необходимо реализовать модуль устройства, осуществляющий считывание

данных с датчиков и приведение этих значений в соответствии с выбранным

способом представления.

Блок-схема алгоритма представлена на рисунке (рис. 3.4.1).

Рис. 3.4.1: Блок-схема алгоритма опроса азимутального датчика.

Рассмотрим входные, выходные данные и константы.

Значения параметров и констант:

Fy_sensor_correct – поправка для азимутального датчика углов (16

разрядов)

Fшим – опорный сигнал опроса (10 кГц)

Входные данные:

N_sensor – признак N-sensor датчика

Fy_sensor – информация считанная с азимутального датчика углов

(16 разрядов)

77

Page 75: Диплом Пояснительная записка

Выходные данные:

Fy_sensor – приведённое значение

Описанная выше методика по построению автомата справедлива и для

данного случая. Поэтому проведём оптимизацию алгоритма для его

реализации в виде автомата на ПЛИС. Вышеописанным способом выделим

состояния, зависимости по данным и произведём распараллеливание за счёт

объединения функционала в некоторых из состояний, где это возможно.

Приведём конечный результат разбиения на состояния.

Рис. 3.4.2: Блок-схема алгоритма опроса азимутального датчика углов.

Было выделено 6 состояний. Блоки алгоритма, вошедшие в состояния

отмечены на блок-схеме. По аналогии с вышеописанными алгоритмами,

была построена диаграмма переходов в автомате, по которой и был

реализован автомат, реализующий данный функционал.

78

Page 76: Диплом Пояснительная записка

Реализация алгоритма опроса азимутального датчика углов на

VHDL.

------------------------------------------------------------------------------------ Module Name: azimuth_read - Behavioral ----------------------------------------------------------------------------------library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;

---- Uncomment the following library declaration if instantiating---- any Xilinx primitives in this code.--library UNISIM;--use UNISIM.VComponents.all;

entity azimuth_read is Port ( clk_60 : in STD_LOGIC;

pulse : in STD_LOGIC; N_sensor: in STD_LOGIC;

Fy : in STD_LOGIC_VECTOR (15 downto 0); Fy_sensor : out STD_LOGIC_VECTOR (15 downto 0); reset : in STD_LOGIC

);end azimuth_read;

architecture Behavioral of azimuth_read is

constant fy_correct:std_logic_vector(15 downto 0) := conv_std_logic_vector(10,16); -- заменяется на другое после испытаний и в зависимости от датчикаconstant fy_correct_65536:std_logic_vector(15 downto 0):= fy_correct-conv_std_logic_vector(65536,16);

type state_type is (s0, s1, s2, s3, s4, s5);

signal State : state_type := s0;

signal nsens: std_logic:='0';signal ffy: std_logic_vector(15 downto 0);signal ffyo : std_logic_vector(15 downto 0);signal t1, -- -Fy_old+32768 t2, -- -Fy_old-32768 t3, -- Fy_sensor/2 t4: std_logic_vector(15 downto 0);

begin

main : process(clk_60,reset)begin

if(reset = '1')then State <= s0;elsif(rising_edge(clk_60)) then

if(State = s0) thenState <= s1;nsens <= N_sensor;t1 <= (others=>'0');

79

Page 77: Диплом Пояснительная записка

t2 <= (others=>'0');end if;

if (State = s1 and pulse='1') thenffy <= Fy;State<=s2;

end if;

if (State = s2) thenif ffy<t1 and ffy>t2 then

nsens <= not nsens;end if;State <= s3;

-- Fy_sensor / 2t3(13 downto 0)<=ffy(14 downto 1);t3(15)<=ffy(15);

t3(14)<=ffy(15);end if;

if (State = s3) thenif (nsens='0') then

ffy <= t3;else

ffy <= t3 + 32768;end if;State <= s4;

end if;

if (State = s4) thenffyo<=ffy;if (ffy>fy_correct) then

ffy<=ffy-fy_correct;else

ffy<=ffy-fy_correct_65536;end if;

State <= s5;end if;

if (State = s5) thenFy_sensor<=ffy;State <= s1;

end if;

end if;

end process main;end Behavioral;

80

Page 78: Диплом Пояснительная записка

Временная диаграмма работы устройства представлена на рисунке (рис.

3.4.3).

Рис. 3.4.3: Временная диаграмма работы устройства, реализующего алгоритм

опроса азимутального датчика.

81

Page 79: Диплом Пояснительная записка

Глава 4. Реализация алгоритмов формирования

управляющих сигналов

4.1. Реализация алгоритма формирования управляющих

сигналов угломестного двигателя

Блок – схема данного алгоритма представлена на рис.4.1:

Рис.4.1.1. Алгоритм формирования управляющих сигналов угломестного

двигателя

Входные данные:

Fz_set – формируемый управляющим алгоритмом код требуемого положения

ротора (целое 16р)

Fz_sensor – сигнал с датчика (16р)

Fz_correct – сигнал коррекции стабилизации (16р)

82

Page 80: Диплом Пояснительная записка

Выходные данные:

Выходные триггеры ДМ10:

z_p – положительное напряжение в фазе

z_m – отрицательное напряжение в фазе

Внутренние переменные:

k- счетчик по периоду ШИМ сигнала угломестного привода (целое 9р)

k_poff- скважность ШИМ сигнала в положительном плече угломестного

привода (целое 9р)

k_moff – скважность ШИМ сигнала в отрицательном плече угломестного

привода (целое 9р)

N_clock – счетчик тактов 5р

dFzt_0, dFzt_1, dFzt_2, dFzt_3, dFz_con_0, dFz_con_1, dFz_con_2, dFz_con_3 –

буфер значений (целые значения 16р)

Верхний уровень данного алгоритма был реализован схемотехнически,

отдельные блоки алгоритма после их разработки объединялись в готовое

устройство (рис.4.1.2).

Рис.4.1.2. Схемотехническое представление – z_driver_jdi

Непосредственно в мою задачу входила реализация блоков z_main_for-

mul и PWM_former.

83

Page 81: Диплом Пояснительная записка

4.1.1. Реализация компонента PWM_former

Рассмотрим часть блок схемы алгоритма, которая реализуется блоком

PWM_Former (рис.4.1.1.1).

Рис. 4.1.1.1: Блок-схема алгоритма реализуемого модулем PWM_Former.

Управление угломестным двигателем осуществляется путём подачи на

двигатель сигнала определённой частоты и скважности, что приводит к

изменению угла. Модуль, реализованный по данному алгоритму,

84

Page 82: Диплом Пояснительная записка

осуществляет выдачу сигнала необходимой скважности и частоты. Как видно

из алгоритма.

Период сигнала соответствует 500 отсчётам. Значения k_poff и k_moff

отражают значения скважности сигнала в положительном и отрицательном

плече угломестного двигателя соответственно. Так как период сигнала равен

500 отсчётам, то для этих значений скважности и были выбраны 9 разрядные

числа (29 = 512).

Модуль, реализованный по данному алгоритм, является автоматом с

заданным набором состояний. Фактически данный модуль производит

непрерывную выдачу сигналов в угломестный двигатель по тем значениям

скважности, которые вычисляются на других этапах (в частности, блоком

z_main_for_mul).

Реализация алгоритма была проведена так же, как и вышеописанных

алгоритмов. Методика реализация алгоритма была следующей: выбор метода

реализации (конечный автомат), выделение всех блоков алгоритма в

отдельные состояния (там где это возможно производилось объединение

блоков в единое состояние), исследование на предмет зависимости по

данным и оптимизация за счёт объединения состояний, реализация на VHDL,

отладка.

Исходный код модуля PWM_former на языке VHDL представлен в

приложении (Приложение 1).

4.1.2. Реализация компонента «формирователь скважности

выходного сигнала» (компонент z_main_for_mul)

Функцией данного модуля является расчёт значений скважности

управляющих сигналов угломестного двигателя. Для примера рассмотрим

что происходит при широтно-импульсной модуляции в обмотках статора.

При таком способе модуляции форма токов в обмотках статора асинхронного

двигателя получается близкой к синусоидальной благодаря фильтрующим

свойствам самих обмоток (рис. 4.1.2.1).

85

Page 83: Диплом Пояснительная записка

Рис. 4.1.2.1: Зависимость тока в обмотках статора при широтно-

импульсном методе модуляции.

Из рисунка (рис. 4.1.2.1) видно, что при подаче сигнала, скважность,

которого зависит от времени, а частота и длительность соответствуют

синусоидальному закону, то в обмотках статора ток будет изменяться по

синусоидальному закону.

Блок схема алгоритма по расчёту скважности для широтно-импульсной

модуляции сигнала в положительном и отрицательном плече угломестного

двигателя представлена на рисунке (рис. 4.1.2.2).

86

Page 84: Диплом Пояснительная записка

Рис. 4.1.2.2: Блок-схема алгоритма расчёта скважности ШИМ

сигнала для положительного и отрицательного плеча угломестного

двигателя.

Расчёт значения dFz_con_0 осуществляется по формуле:

87

Page 85: Диплом Пояснительная записка

Входными являются лишь значения dFz_0 и a_0, которые

поступают с модуля speed_limiter, остальные – внутренние

значения переменных, зависящих от предыдущих шагов работы

алгоритма.

Алгоритм был реализован в виде конечного автомата.

Методика выделения состояний автомата и их оптимизации была

такой же, как и в вышеописанных алгоритмах.

Исходных код модуля на языке VHDL, реализующего данный

алгоритм приведён в приложении (приложение 1).

Реализация алгоритма была проведена в среде разработки

Xilinx ISE 9.2.

88

Page 86: Диплом Пояснительная записка

4.2.Реализация алгоритма формирования управляющих

сигналов азимутального двигателя

Блок-схема алгоритма представлена на рис.4.7.

Рис.4.2.1.Алгоритм формирования управляющих сигналов азимутального двигателя

89

Page 87: Диплом Пояснительная записка

Входные данные:

Fy_sensor – считываемое с датчика значение угла по азимуту (целое 16р)

Fy_set – формируемый управляющим алгоритмом код требуемого положения

ротора (целое 16р)

Fy_correct – поправка стабилизации (целое 16р)

Выходные данные:

Выходные триггеры МД 160:

y1_p – первая фаза положительное напряжение

y1_m – первая фаза отрицательное напряжение

y2_p – вторая фаза положительное напряжение

y2_m – вторая фаза отрицательное напряжение

y3_p – третья фаза положительное напряжение

y3_m – третья фаза отрицательное напряжение

Внутренние переменные:

ky – счетчик временных в периоде ШИМ сигнала азимутального привода

(целое 8р)

N_sensor – счетчик оборотов азимутального датчика (0,1)

Fy_old – буфер значение угла по азимуту (целое 16р)

Fy_abs – абсолютное значение требуемого угла (целое 16р)

F1,F1,F1 - угол положения ротора относительно текущего периода двигателя

(целое 10р)

k1_poff k2_poff k3_poff – скважность ШИМ сигнала в положительном

плече азимутального привода (целое 8р)

k1_moff k2_moff k3_moff – скважность ШИМ сигнала в отрицательном

плече азимутального привода (целое 8р)

Fy_set_0, Fy_set_1, Fy_set_2, Fy_set_3,

Fy_con_0, Fy_con_1, Fy_con_2, Fy_con_3 – два массива длиной в четыре

элемента заданного и корректированного сигнала (элемент целый 16р+знак)

90

Page 88: Диплом Пояснительная записка

Верхний уровень был реализован схемотехнически, отдельные блоки

данного алгоритма объединялись в готовое устройство (рис.4.2.2)

Рис.4.2.2: Схемотехническое представление - main_maket_pci.sch

Непосредственно в мою задачу входила реализация блоков

driver_block_v2, calibrovka_top_1, out_formers_new.

Принципиально управление азимутальным двигателем не отличается от

управления угломестным двигателем. Разница состоит лишь в различии

параметров двигателей. Поэтому рассмотрим один из реализованных

модулей, а именно out_formers_new, которые осуществляет формирование и

выдачу сигналов заданной скважности. Блок-схема алгоритма, реализуемого

модулем out_formers_new, представлен на рисунке (рис. 4.2.3).

91

Page 89: Диплом Пояснительная записка

92

Page 90: Диплом Пояснительная записка

Рис. 4.2.3. Блок-схема алгоритма работы модуля выходных формирователей.93

Page 91: Диплом Пояснительная записка

На рисунке (рис. 4.2.3) представлен алгоритм работы модуля выходного

формирователя для азимутального двигателя. Как и было отмечено выше,

данный модуль по алгоритму работы сопоставим с модулем выходных

формирователей для угломестного двигателя, но ввиду различных типов

двигателей, необходимо 3 значения выходного сигнала для управления

вращением. Использование 3-х значений связано с использованием 3-х

фазного азимутального двигателя.

Принцип работы формирователей и управления двигателем не

отличается от описанного в разделе 4.1.

Данный алгоритм был реализован в виде конечного автомата. Исходный

код на языке VHDL всех модулей представлен в приложении (приложение 1).

Таким образом, реализация компонент алгоритма формирования

управляющих сигналов азимутального двигателя завершена.

94

Page 92: Диплом Пояснительная записка

Глава 5. Объединение блоков в готовое устройство

5.1. Интеграция реализованных компонент в состав МУЛВ

После того, как закончена разработка блоков МУЛВ, необходимо

объединить их в готовое устройство. Это выполнялось схемотехнически, с

помощью редактора схем, который есть в среде разработки XILINX ISE.

Схема модуля управления линией визирования представлена на

рисунке (рис.5.1).

Рис.5.1. Схемотехническое объединение модулей в готовое устройство (модуль

управления линией визирования).

95

Page 93: Диплом Пояснительная записка

После объединения всех компонент была произведена их отладка и

запущен процесс синтеза. Ниже приведена часть отчета о синтезе, так

называемый конечный отчет (Final Report):

=========================================================================

* Final Report *

=========================================================================

Final Results

RTL Top Level Output File Name : scaner_top_module.ngr

Top Level Output File Name : scaner_top_module

Output Format : NGC

Optimization Goal : Speed

Keep Hierarchy : NO

=========================================================================

Device utilization summary:

---------------------------

Selected Device : 3s1000fg320-4

Number of Slices: 6627 out of 7680 86%

Number of Slice Flip Flops: 8454 out of 15360 55%

Number of 4 input LUTs: 10296 out of 15360 67%

Number used as logic: 10226

Number used as Shift registers: 70

Number of IOs: 109

Number of bonded IOBs: 108 out of 221 48%

Number of BRAMs: 12 out of 24 50%

Number of MULT18X18s: 11 out of 24 45%

Number of GCLKs: 2 out of 8 25%

Number of DCMs: 1 out of 4 25%

---------------------------

Partition Resource Summary:

---------------------------

96

Page 94: Диплом Пояснительная записка

No Partitions were found in this design.

---------------------------

Timing Summary:

---------------------------

Speed Grade: -4

Minimum period: 14.295ns (Maximum Frequency: 69.956MHz)

Minimum input arrival time before clock: 7.528ns

Maximum output required time after clock: 8.784ns

Maximum combinational path delay: No path found

==================================================================

Completed process "Synthesize".

Итак, устройство собрано, протестировано и отлажено, теперь

осталось осуществить его упаковку на кристалл.

5.2. Размещение модуля на кристалле ПЛИС

После того, как система собрана, протестирована и отлажена, можно

приступать к размещению ее на кристалле ПЛИС. Это является конечным

этапом разработки.

Для МУЛВ был создан файл UCF (Implementation Constants File),

после чего систему можно размещать на кристалле. На рис.5.2 изображен

кристалл с размещенным на нем модулем управления линией визирования.

97

Page 95: Диплом Пояснительная записка

Рис.5.2. Упаковка МУЛВ на кристалл ПЛИС.

Как видно, на кристалле еще остается место для размещения других

элементов или различных периферийных блоков, каких именно – решит

конечный пользователь.

5.3. Тестирование и отладка МУЛВ

Отладка модуля управления линией визирования осуществлялась на

плате ml403 (рис.5.3.)

98

Page 96: Диплом Пояснительная записка

Рис. 5.3. Отладочная плата комплекта Developers Kit ML403.

На данной плате установлена ПЛИС Virtex-4, а сам проект

рассчитывался на укладку на ПЛИС Spartan-3, однако это не столь важно,

так как данная плата использовалась исключительно как отладочная.

5.4. Результаты разработки и пути дальнейшего

усовершенствования устройства.

Современные системы оптической локации решают очень сложные

задачи. Безусловно, что их совершенствование будет продолжаться и впредь.

Например, можно отметить особый интерес в этой области к распознаванию

образов по силуэту самолёта или расположению и характеру струй

отработанных газов от двигателя, если рассматривать применительно к

авиационной технике.

Реализация устройства проходила на ПЛИС (Spartan 3 фирмы Xilinx).

Несмотря на то, что всё алгоритмическое обеспечение модуля управления

линией визирования было реализовано на ПЛИС с использованием жёсткой

99

Page 97: Диплом Пояснительная записка

логики, в дальнейшем этот путь не применим из-за связанных с ним больших

накладных расходов.

Если рассмотреть алгоритмы, то видно, что большинство из них либо

вообще линейны, либо имеют малое число ветвлений. Большинство

алгоритмов предполагают последовательное выполнение операций, поэтому

и их реализация на ПЛИС не имеет преимуществ. Так же необходимо

упомянуть о том, что работа алгоритмов предполагает привязку ввода/вывода

значений к опорному сигнал низкой частоты, а сами алгоритмы имеют

достаточно высокую вычислительную сложность.

Таким образом, в данном случае наилучшим решением будет в будущих

реализациях устройства для реализации алгоритмического обеспечения

использовать сигнальные процессоры с программным обеспечением. Это

позволит сократить срок разработки конечного устройства, увеличит

гибкость разработки в условиях изменяющихся требований.

Тенденции в построении подобных устройств, свидетельствуют о том,

что на устройства данного типа будут возлагаться сложные задачи и

алгоритмы их решения. В частности системы распознавания образов.

Переход на сигнальные процессоры позволит применить богатый опыт в

решении подобных задач и повторно использовать написанное ПО и рабочие

библиотеки.

Уже сейчас вычислительная мощность и скорость сигнальных

процессоров вполне покрывает требования задач из данной области, которые

возложены на них, а скорость разработки и удобство отладки и тестирования

значительно превосходят разработку на ПЛИС.

В экстремальных условиях, когда необходима пиковая

производительность возможно использование связки ПЛИС+ЦПОС. В

данной архитектуре сигнальный процессор реализует всю необходимую

логику работы, а на ПЛИС реализуются специализированные блоки. В моей

работе на ПЛИС могли бы быть вынесены части алгоритмов по опросу

датчиков, формирование напряжений для двигателей. Все необходимые

100

Page 98: Диплом Пояснительная записка

вычисления, этапы инициализации, тестирования могли бы быть

реализованы с использованием высокоуровневых языков программирования

на ЦПОС, что избавило бы от дополнительных действий по реализации

алгоритма как конечного автомата.

Так же благодаря использованию сигнальных процессоров, мы получаем

не только вычислитель, но и набор дополнительных модулей в составе

сигнального процессора таких, как, например, ЦАП и АЦП, множества

разных стандартизированных интерфейсов для межмодульного

взаимодействия. Например, процессор TMS320C6713 обладает модулями

ЦАП и АЦП, поддерживает интерфейсы SPI, RS-232, GPIO, I2C и т.д., так же

существуют реализации контроллеров данных интерфейсов для ПЛИС, что

ускоряет время разработки модулей связи между ЦПОС и ПЛИС. Это так же

благотворно воздействует на время разработки и отладки.

Наличие модулей ЦАП и АЦП возможно позволило бы обойтись без

ПЛИС, а всю необходимую функциональность реализовывать на ЦПОС, а

богатый выбор интерфейсов взаимодействия позволил бы быстро

интегрировать данное решение в состав бортового комплекса.

Так же необходимо отметить, что использование ЦПОС сокращает

количество модулей, которые необходимо тестировать во время разработки:

например, отсутствует тестирование средств взаимодействия модулей между

собой, так же сокращается сложность отладки собственно логики, за счёт

высокоуровневых и хорошо развитых средств отладки ПО. Интеграция

модулей внутри сигнального процессора снижает риск отказа модулей.

Существует и экономический аспект целесообразности. Алгоритмы, которые

реализуются устройством, достаточно сложны, поэтому для их реализации

необходимы новейшие и дорогие ПЛИС с количеством эквивалентных

вентилей миллион и более. Использование, относительно дешёвых

сигнальных процессоров, в этом плане является более предпочтительным и

экономически целесообразным.

101

Page 99: Диплом Пояснительная записка

Будущие разработки устройств линейки оптико-локационных систем

производственного объединения «УОМЗ» будут разрабатываться именно с

использованием данной связки ЦПОС+ПЛИС.

102

Page 100: Диплом Пояснительная записка

Глава 6.Эргономика рабочего места инженера-

программиста.

Введение

Эргоно�мика (от др.-греч. ἔργον — работа и νόμος — закон) —

соответствие труда физиологическим и психическим возможностям

человека, обеспечение наиболее эффективной работы, не создающей угрозы

для здоровья человека и выполняемой при минимальной затрате

биологических ресурсов.

В последнее время эргономика отходит от классического определения

и перестаёт быть строго связана с производственной деятельностью.

Определение, принятое Международной Эргономической Ассоциацией (IEA)

в 2007 году: «Эргономика — это область приложения научных знаний о

человеке к проектированию предметов, систем и окружений, используемых

им».

Рассматривается рабочее место при работе за ПЭВМ с целью

повышения эффективности работы, уменьшения риска заболеваний и

травмобезопасности, увеличения экономической эффективности, выявления

проблем, формирования мероприятий по их решению. Оценка включает

рассмотрение норм и требований, предъявляемых компьютерному труду,

рассмотрение правильной организации компьютерного рабочего места

(обоснованность его пространственных параметров, режима труда во

времени, с учетом эргономических законов и норм, применяемых к труду

оператора).

6.1) Общие требования микроклимата

6.1.1) Требования к воздуху рабочей зоны.

Воздух рабочей зоны (микроклимат) помещений определяют сле-

дующие параметры: температура воздуха в помещении, выраженная в

градусах Цельсия; относительная влажность воздуха - в процентах; скорость

103

Page 101: Диплом Пояснительная записка

его движения - в метрах в секунду; интенсивность радиации,

преимущественно в инфракрасной и частично в ультрафиолетовой областях

спектра электромагнитных излучений, - в джоулях на квадратный сантиметр

в минуту. Эти параметры по отдельности и в комплексе влияют на организм

человека, определяя его самочувствие.

Другим важным параметром микроклимата является влажность

воздуха. Относительная влажность представляет собой отношение

упругости водяного пара, содержащегося в воздухе, к упругости

насыщенного пара при той же температуре и выражается в процентах.

Влажность влияет на общее состояние человека, затрудняя или облегчая

теплообмен между организмом и окружающей средой (при большой

влажности воздуха теплоотдача путем испарения влаги с поверхности тела

уменьшается, что может привести к перегреванию организма, тепловому

удару).

В понятие «микроклимат помещений» входит также скорость дви-

жения воздуха. Влияние этого фактора на организм человека может иметь

положительную и отрицательную стороны: небольшие скорости движения

воздуха способствуют испарению влаги с поверхности тела, улучшая

теплообмен между организмом и окружающей средой, а при движении

воздуха с большими скоростями возникают сквозняки, приводящие к увели-

чению числа простудных заболеваний среди работающих.

Оптимальный микроклимат в помещении обеспечивает поддержание

теплового равновесия между организмом и окружающей средой.

Поддержание на заданном уровне параметров, определяющих микроклимат -

температуру, влажность и скорость движения воздуха, может

осуществляться с помощью кондиционирования или, с большими допусками,

вентиляцией. Но вентиляция и даже кондиционирование воздуха не

защищают от теплового излучения.

104

Page 102: Диплом Пояснительная записка

6.1.2) Требования по уровню шума

Шумом называется любой мешающий и нежелательный звук в

диапазоне частот от 20 до 20 000 Гц.

Шум редко состоит из одной частоты, как правило, это сочетание

частот, которое может быть широкополосным и узкополосным.

Частотный состав шума называют спектром.

По временным характеристикам шум следует разделять:

1) на постоянный;

1) непостоянный - колеблющийся, прерывистый, импульсный.

Не все звуки воспринимаются органами слуха человека.

Ультразвук - звук находящийся в диапазоне частот выше 15 кГц, т. е.

выше верхнего предела восприятия для человека.

Инфразвук обладает частотой ниже 16 Гц, т. е. ниже нижнего предела

слуха.

Характеристикой постоянного шума на рабочих местах являются

уровни звукового давления в дБ.

Характеристикой непостоянного шума является эквивалентный

уровень звука в дБА.

Следствием вредного воздействия производственного шума может

являться развитие профзаболеваний, повышение общей заболеваемости,

снижение работоспособности, повышение степени риска получения травм,

несчастные случаи, связанные с нарушением восприятия предупреждающих

сигналов, нарушение слухового контроля работы оборудования.

Шум подразделяется:

- на мешающий;

- раздражающий;

- вредный;

- травмирующий.

105

Page 103: Диплом Пояснительная записка

6.1.3) Помещение и освещение

В помещении, предназначенном для работы на компьютере, должно

иметься как естественное, так и искусственное освещение. Лучше всего, если

окна в комнате выходят на север или северо-восток. Помещения необходимо

оборудовать не только отопительными приборами, но и системами

кондиционирования воздуха или эффективной вентиляцией. Стены и

потолки следует окрашивать матовой краской: блестящие и тем более,

зеркальные поверхности утомляют зрение и отвлекают от работы. В

помещениях ежедневно должна проводиться влажная уборка.

Желательно, чтобы площадь рабочего места составляла не менее 6

квадратных метров, а объем - 20 кубических метров. Стол следует поставить

сбоку от окна так, чтобы свет падал слева. Наилучшее освещение для работы

с компьютером - рассеянный непрямой свет, который не дает бликов на

экране. В поле зрения пользователя не должно быть резких перепадов

яркости, поэтому окна желательно закрывать шторами либо жалюзи.

Искусственное же освещение должно быть общим и равномерным, в то же

время использование одних только настольных ламп недопустимо.

Уровень естественного освещения нормируется коэффициентом

естественной освещенности (КЕО) - это отношение естественной

освещенности внутри помещения Евн к одновременному значению наружной

горизонтальной освещенности Ен. Нормирование КЕО осуществляется по

СНиП «Естественное и искусственное освещение». Освещенность Е из-

меряется в люксах (Лк). Фактическая освещенность должна быть больше или

равна нормируемой.

При эксплуатации зданий необходимо поддерживать светоотдачу и

светопропускаемую способность окон, т. е. производить их своевременную

чистку. При незначительном выделении пыли- 4 раза в год.

Искусственное освещение - может быть общим и комбинированным, а

может быть внутренним и наружным. Искусственное освещение

106

Page 104: Диплом Пояснительная записка

обеспечивается электролампами различной мощности, заключенными в

специальную арматуру (светильники, различных типов и исполнений).

Общее освещение может быть равномерным и локализованным.

Наиболее характерным является освещение одинаковыми светильниками,

распределенными на равной высоте и равном расстоянии между собой, т. е.

над симметрично расположенным оборудованием - это равномерное общее

освещение. Локализованное освещение - над оборудованием несимметрично

расположенным, т. е. разная мощность ламп, светильники на разной высоте и

разном расстоянии, т. е. конкретно над оборудованием.

Источники искусственного освещения - электролампы U=127, 220 В;

мощностью от 15 до 1500 Вт. Чем выше мощность, тем выше

светоотдача. Для местного освещения U = 12, 36 В; W= 50 Вт и выше.

6.2) Требования безопасности при работе с ПЭВМ

6.2.1) Требования к помещениям для и ПЭВМ

Помещения с персональными электронно-вычислительными машинами

(ПЭВМ) должны иметь естественное (через световые проемы,

ориентированные преимущественно на север и северо-восток и

обеспечивающие коэффициент естественной освещенности не ниже 1,5-1,2

%) и искусственное освещение; температуру воздуха 21-25 °С,

относительную влажность 40-60 %, скорость движения воздуха 0,1-0,2 м/с;

содержание вредных химических веществ не должно превышать ПДК;

уровень шума - не более 50 дБА; уровень вибрации - корректированные

значения по виброускорению - не более 30 дБ, по виброскорости - не более

72 дБ; внутреннюю отделку интерьера с использованием диффузно-

отражающих материалов с коэффициентом отражения для потолка - 0,7-0,8,

для стен - 0,5-0,6 и для пола - 0,3-0,5; поверхность пола должна быть

ровной, нескользкой, удобной для влажной уборки, обладать

антистатическими свойствами. Размещение помещений с ПЭВМ в

107

Page 105: Диплом Пояснительная записка

подвальных помещениях не допускается, размещение в помещениях без

естественного освещения - по согласованию с СЭН.

6.2.2) Требования к ПЭВМ и рабочим местам

Визуальные эргономические параметры ПЭВМ должны обеспечивать

надежное и комфортное считывание отображаемой информации и должны

быть отражены в сертификате на ПЭВМ, т.к. являются параметрами

безопасности и их неправильный выбор приводит к ухудшению здоровья

пользователей.

ПЭВМ должен обеспечивать фронтальное наблюдение экрана с

поворотом корпуса по горизонтали и вертикали в пределах 60 град, и

фиксацией в заданном положении. Яркость знака должна быть от 35 до 120

кд/м2, внешняя освещенность экрана от 100 до 250 лк, угловой размер знака

от 16 до 60 угл. мин. Дизайн ПЭВМ, клавиатуры и др. блоков ПЭВМ должен

предусматривать окраску спокойных мягких тонов с диффузным

рассеиванием света. Органы управления должны иметь регулировку яркости

и контраста. Для защиты от электромагнитных и электростатических полей

должны применяться приэкранные фильтры, специальные экраны и другие

средства защиты. Площадь на 1 рабочее место с ПЭВМ должна быть не

менее 6 м , объем - не менее 20 м3, расстояние между экранами соседних

видеомониторов - не менее 2 м, боковыми поверхностями - не менее 1,2 м.

Рабочая мебель должна обеспечивать удобство и комфортность работы

оператора.

6.2.3) Требования к организации режима труда

Режимы труда при работе с ПЭВМ зависят от категории труда.

Категория А - считывание информации с предварительным запросом -

не более 60000 знаков за смену: перерывы по 15 мин через 2 часа работы;

Категория Б - работа по вводу информации с суммарным числом

считываемых и вводимых знаков - не более 40000 знаков за смену: перерывы

108

Page 106: Диплом Пояснительная записка

по 10 мин через каждый час работы или по 15 мин через 2 часа от начала

работы смены и через 1,5-2 часа после обеденного перерыва;

Категория В - творческая работа в режиме диалога с ЭВМ - по

суммарному времени непосредственной работы с ПЭВМ за смену: не более 6

час.

Категория при смешанных видах работ принимается по той, которая

занимает не менее 50 % рабочего времени. Рекомендуется чередование

операций по считыванию, вводу, осмысливанию текста; комплекс

упражнений в перерывах, психологическая разгрузка.

Работа оператора ПЭВМ относится к категории работ, связанных с

опасными и вредными условиями труда. В процессе труда на оператора

ПЭВМ оказывают действие следующие опасные и вредные

производственные факторы:

физические

- повышенные уровни электромагнитного излучения;

- повышение уровня рентгеновского излучения;

- повышение уровня ультрафиолетового излучения;

- повышенный уровень инфракрасного излучения;

- повышенный уровень статического электричества;

- повышение уровня запыленности воздуха рабочей зоны;

- повышенное содержание положительных аэроионов в воздухе

рабочей зоны;

- пониженное содержание отрицательных аэроионов в воздухе рабочей

зоны;

- пониженная или повышенная влажность воздуха рабочей зоны;

- пониженная или повышенная подвижность воздуха рабочей зоны;

- повышенный уровень шума;

- повышенный или пониженный уровень освещенности;

- повышенный уровень прямой блесткости;

- повышенный уровень отраженной блесткости;

109

Page 107: Диплом Пояснительная записка

- повышенный уровень ослепленности;

- неравномерность распределения яркости в поле зрения;

- повышенная яркость светового изображения;

- повышенный уровень пульсации светового потока;

- повышенное значение напряжения в электрической цепи, замыкание

которой может произойти через тело человека;

химические

- повышенное содержание в воздухе рабочей зоны двуокиси углерода,

озона, аммиака, фенола, формальдегида и полихлорированных бифенилов;

психофизиологические

- напряжение зрения;

- напряжение внимания;

- интеллектуальные нагрузки;

- эмоциональные нагрузки;

- длительные статические нагрузки;

- монотонность труда;

- большой объём информации, обрабатываемой в единицу времени;

- нерациональная организация рабочего места;

- биологические

- повышенное содержание в воздухе рабочей зоны микроорганизмов.

К работе оператором, программистом, инженером и техником ПЭВМ,

пользователем ПЭВМ допускаются:

- лица не моложе 18 лет, прошедшие обязательный при приеме на

работу и ежегодные медицинские освидетельствования на предмет

пригодности для работы на ЭВМ, ПЭВМ в соответствии с требованиями

приказа Минздрава РФ № 90 и совместно с Госкомсанэпиднадзором РФ №

280/88;

- прошедшие вводный инструктаж по охране труда;

- прошедшие обучение безопасным приемам и методам труда по

программе, утвержденной руководителем предприятия (работодателем),

110

Page 108: Диплом Пояснительная записка

разработанной на основе типовой программы и прошедшие проверку

знаний, в том числе по электробезопасности с присвоением 1-й

квалификационной группы по электробезопасности;

- прошедшие курс обучения принципам работы с вычислительной

техникой, специальное обучение по работе на персональном компьютере с

использованием конкретного программного обеспечения;

- прошедшие инструктаж по охране труда на конкретном

рабочем месте по данной инструкции.

На основании требований п. 10.3. санитарных правил и норм

"Гигиенические требования к видеодисплейным терминалам, персональным

терминалам, персональным электронно-вычислительным машинам и

организация работы. СанПиН 2,2.2.542-96, утвержденных постановлением

Госкомсанэпиднадзора России № 14 от 14 июля 1996 г. "женщины со времени

установления беременности и в период кормления ребенка грудью к

выполнению всех видов работ, связанных с использованием ВДТ и ПЭВМ, не

допускаются".

Средствами индивидуальной защиты оператора ПЭВМ являются

белый халат с антистатической пропиткой, экранный защитный фильтр

класса "полная защита", специальные спектральные очки.

Перед началом работы оператор обязан:

- вымыть лицо и руки с мылом и надеть белый хлопчатобумажный

халат;

- осмотреть и привести в порядок рабочее место;

- отрегулировать освещенность на рабочем месте, убедиться в

достаточности освещенности, отсутствии отражений на экране, отсутствии

встречного светового потока;

- проверить правильность подключения оборудования в

электросеть;

- убедиться в наличии защитного заземления и подключения

экранного проводника к корпусу процессора;

111

Page 109: Диплом Пояснительная записка

- протереть специальной салфеткой поверхность экрана и

защитного фильтра;

- убедиться в отсутствии дискет в дисководах процессора

персонального компьютера;

- проверить правильность установки стола, стула, подставки для

ног, пюпитра, положения оборудования, угла наклона экрана, положение

клавиатуры и при необходимости произвести регулировку рабочего стола и

кресла, а также расположение элементов компьютера в соответствии с

требованиями эргономики и в целях исключения неудобных поз и значитель-

ных напряжений тела.

При включении компьютера оператор обязан соблюдать

следующую последовательность включения оборудования:

- включить блок питания;

- включить периферийные устройства (принтер, монитор, сканер и др:);

- включить системный блок (процессор).

Оператору запрещается приступать к работе:

- при отсутствии на ВДТ гигиенического сертификата,

включающего оценку визуальных параметров;

- отсутствии информации о результатах аттестации условий труда

на данном рабочем месте или при наличии информации о несоответствии

параметров данного оборудования требованиям санитарных норм;

- отсутствии защитного экрана фильтра класса «полная защита»,

- отключенном заземляющем проводнике защитного фильтра;

- обнаружении неисправности оборудования;

- отсутствии защитного заземления устройств ПЭВМ и ВДТ;

- отсутствии углекислотного или порошкового огнетушителя и

аптечки первой помощи;

- нарушении гигиенических норм размещения ВДТ (при

однопорядковом расположении менее 1м от стен, при расположении

рабочих мест в колонну на расстоянии менее 1,5 м при размещении на

112

Page 110: Диплом Пояснительная записка

площади менее 6 кв.м на одно рабочее место, при рядном размещении

дисплеев экранами друг к другу).

Оператор во время работы обязан:

- выполнять только ту работу, которая ему была поручена и по которой

он был проинструктирован;

- в течение рабочего дня содержать в порядке и чистоте рабочее

место;

- держать открытыми все вентиляционные отверстия устройств;

- внешнее устройство "мышь" применять только при наличии

специального коврика;

- при необходимости прекращения работы на некоторое время

корректно закрыть все активные задачи;

- отключать питание только в том случае, если оператор во время

перерыва в работе на компьютере вынужден находиться в непосредственной

близости от видеотерминала (менее 2 метров), в противном случае питание

разрешается не отключать,

- выполнять санитарные нормы и соблюдать режимы работы и

отдыха;

- соблюдать правила эксплуатации вычислительной техники в

соответствии с инструкциями по эксплуатации;

- при работе с текстовой информацией выбирать наиболее

физиологичный режим представления черных символов на белом фоне;

- соблюдать установленные режимом рабочего времени

регламентированные перерывы в работе и выполнять в физкультпаузах и

физкультминутках рекомендованные упражнения для глаз, шеи, рук,

туловища, ног;

- соблюдать расстояние от глаз до экрана в пределах 60-80 см.

Оператору во время работы запрещается;

- касаться одновременно экрана монитора и клавиатуры;

- прикасаться к задней панели системного блока (процессора) при

113

Page 111: Диплом Пояснительная записка

включенном питании;

- переключать разъемы интерфейсных кабелей периферийных

устройств при включенном питании,

- загромождать верхние панели устройств бумагами и

посторонними предметами;

- допускать захламленность рабочего места бумагой в целях

недопущения накапливания органической пыли;

- производить отключение питания во время выполнения активной

задачи;

- производить частые переключения питания;

- допускать попадание влаги на поверхность системного блока

(процессора), монитора; рабочую поверхность клавиатуры, дисководов,

принтеров и других устройств;

- включать сильно охлажденное (принесенное с улицы в зимнее

время) оборудование;

- производить самостоятельно вскрытие и ремонт оборудования;

- превышать величину количества обрабатываемых символов

свыше 30 тыс. за 4 часа работы.

В аварийных ситуациях оператор обязан:

- во всех случаях обнаружения обрыва проводов питания,

неисправности заземления и других повреждений электрооборудования,

появления запаха гари немедленно отключить питание и сообщить об

аварийной ситуации руководителю или дежурному электрику;

- при обнаружении человека, попавшего под напряжение,

немедленно освободить его от действия тока путем отключения

электропитания и до прибытия врача оказать потерпевшему первую

медицинскую помощь;

- при любых случаях сбоя в работе технического оборудования

или программного обеспечения немедленно вызвать представителя

инженерно-технической службы эксплуатации вычислительной техники;

114

Page 112: Диплом Пояснительная записка

- в случае появления рези в глазах, резком ухудшении

видимости – невозможности сфокусировать взгляд или навести его на

резкость, появления боли в пальцах и кистях рук, усиления сердцебиения

немедленно покинуть рабочее место, сообщить о происшедшем ру-

ководителю работ и обратиться к врачу;

- при возгорании оборудования отключить питание и принять

меры к тушению очага пожара при помощи углекислого или порошкового

огнетушителя, вызвать пожарную команду и сообщить о происшествии

руководителю работ.

6.3) Антропометрические, сенсомоторные и энергетические

характеристики человека

К антропометрическим характеристикам человека относятся

статические характеристики — размеры тела человека и его отдельных частей

(головы, ног, рук, кистей, стоп, ширина плеч, таза и т. п.), и динамические

характеристики — возможные углы поворота отдельных частей тела, зоны

досягаемости.

Информационные зоны визуального поля обзора определяются полями

зрения (поле ясного зрения, поле обзора и т. д.), размеры которых выражаются

углами зрения.

6.4) Технические методы увеличения безопасности работы за

компьютером

6.4.1) Эргономичное аппаратное оборудование

Чтобы работа была комфортной и безопасной необходимо

позаботиться об аппаратном оборудовании компьютера. Как правило,

набольший вред здоровью пользователя компьютера наносят устройства

ввода-вывода: монитор, клавиатура, мышь.

В наше время, когда проблемы безопасности работы за компьютером

стоят как нельзя остро, появляется множество различных стандартов на

115

Page 113: Диплом Пояснительная записка

экологическую безопасность оборудования персонального компьютера.

Современный монитор должен соответствовать, по крайней мере, трем

общепринятым стандартам безопасности и эргономике:

1. FCC Class B - этот стандарт разработан канадской федеральной

комиссией по коммуникациям для обеспечения приемлемой защиты

окружающей среды от влияния радиопомех в замкнутом пространстве.

Оборудование, соответствующее требованиям FCC Class B, не должно

мешать работе теле- и радиоаппаратуры.

2. MPR-II - этот стандарт был выпущен в Шведским национальным

департаментом. MPR-II налагает ограничения на излучения от

компьютерных мониторов и промышленной техники, используемой в офисе.

3. TCO’95 (а также современный TCO’99) - рекомендация,

разработанная Шведской конференцией профсоюзов и Национальным

советом индустриального и технического развития Швеции (NUTEK),

регламентирует взаимодействие с окружающей средой. Она требует

уменьшения электрического и магнитного полей до технически возможного

уровня с целью защиты пользователя. Для того, чтобы получить сертификат

TCO’95 (TCO’99), монитор должен отвечать стандартам низкого излучения

(Low Radiation), т.е. иметь низкий уровень электромагнитного поля,

обеспечивать автоматическое обеспечивать автоматическое снижение

энергопотребления при долгом не использовании, отвечать европейским

стандартам пожарной и электрической безопасности

4. EPA Energy Star VESA DPMS - согласно этому стандарту

монитор должен поддерживать три энергосберегающих режима -

ожидание (stand-by), приостановку (suspend) и “сон” (off). Такой монитор

при долгом простое компьютера переводится в соответствующий режим, с

низким энергопотреблением.

Необходимо также чтобы монитор имел возможность регулировки

параметров изображения (яркость, контраст и т.д.). Рекомендуется, чтобы

при работе с компьютером частота вертикальной развертки монитора была

116

Page 114: Диплом Пояснительная записка

не ниже 75Гц (при этом пользователь перестает замечать мерцание

изображения, которое ведет к быстрому уставанию глаз).

В настоящее время многие фирмы производители мониторов начали

массовый выпуск так называемых плоскопанельных мониторов (LCD),

которые лишены многих экологических недостатков, присущих мониторам с

электронно-лучевой трубкой, как то: электромагнитное излучение, магнитное

поле, мерцание и т.д.

Устройства ввода информации

В отличие от мониторов для компьютерных устройств ввода

(клавиатура и мышь) в настоящее время не имеется общепринятых и широко

распространенных стандартов. В тоже время многие производители данного

оборудования рекламируя свою продукцию, описывают различные

конструктивные решения, повышающие эргономичность ее использования:

клавиатура с возможностью регулирования расположение клавиш, мышь с

формой, уменьшающей усталость кисти при длительной работе. Хотя

некоторые из них стоит рассматривать только как броскую рекламу, многие

модели действительно являются своеобразным технологическим скачком

вперед с точки зрения безопасности работы за компьютером.

6.5) Эргономичная организация рабочего места

6.5.1) Организация рабочего стола

На рабочем столе должны свободно помещаться монитор, клавиатура,

мышь и другое компьютерное оборудование, а также документы, книги,

бумаги - все необходимые для работы вещи. Если вы хотите разместить в ряд

несколько столов с мониторами, то следует поставить их таким образом,

чтобы расстояние в ряду составляло не менее 2 метров, а между рядами - 1,2

метра. Врачи полагают, что при выполнении творческой работы, требующей

значительного умственного напряжения или высокой концентрации

внимания, рабочие места желательно изолировать друг от друга

перегородками_высотой_1,5-2_метра.

117

Page 115: Диплом Пояснительная записка

Помимо вышесказанного, строгие требования должны предъявляться к

стулу, который просто необходим для поддержки правильной позы с учетом

особенностей фигуры и изменения ее для снижения статического напряжения

мышц шейно-плечевой области и спины. Желательно, чтобы стул

регулировался по высоте, углам наклона сиденья и спинки, а также по

расстоянию спинки от переднего края сиденья. Поверхности сиденья, спинки

и подлокотников должны быть полумягкими, с покрытием, которое не

скользит,_не_электризуется_и_пропускает_воздух.

К сожалению, часто при работе очень мало внимания уделяется этому

аспекту.

6.6.2) Вентиляция

Рабочее место должно быть с хорошей вентиляцией. С одной стороны

это важно для охлаждения разных частей компьютера, который выделяют

тепло в процессе работы (системный блок, монитор, принтер и т.п.), а с

другой стороны приток свежего воздуха в достаточной мере снабжает

организм кислородом.

Если Вы курите, ни в коем случае не курите за компьютером, курение

за компьютером только дополнительно дает нагрузку на Ваш организм. В

результате курения в крови накапливается вредный монооксид углерода

(СО), а это снижает способность организма обеспечивать кровоснабжение

мышц. Курение также снижает прочность соединительной ткани в мышцах,

увеличивая вероятность их травмирования.

6.6.3) Шум

Шум на рабочем месте может быть причиной стресса и вызывать

лишнее напряжение мышц, что в свою очередь повышает утомляемость

организма и снижает работоспособность. Поэтому необходимо выбирать по

возможности тихое место. Используйте негромкое музыкальное

сопровождение в качестве фона, для того чтобы замаскировать шум

вентиляторов, винчестеров, принтера и т.п.118

Page 116: Диплом Пояснительная записка

6.4) Рабочее кресло

Какой стул следует принимать на рабочем месте

Всем известно, что продолжительная сидячая работа вредна человеку,

поэтому удобное рабочее кресло - это и наше здоровье, и настроение, и

работоспособность, и производительность. Как говорит "всезнающая"

статистика: работа на эргономически правильно сконструированных стульях

по сравнению с обычными стульями:

уменьшает число ошибок в два раза;

повышает концентрацию внимания (+ 7%);

сохраняет активность (+ 9%);

сохраняет позитивное самочувствие (+ 15%);

способствует хорошему настроению (+ 10%).

Необходимо, чтобы рабочий стул свободно вращался относительно

основания, регулировался по высоте и, кроме того, допускал возможность

изменять угол наклона спинки (хорошо, если и сиденья тоже), а также

устанавливать нужное расстояние от спинки до переднего края сиденья.

Обивка кресла должна быть не только практичной, стойкой к длительным

физическим воздействиям, но и гигиеничной, т. е. выполненной из

материалов, безвредных для здоровья и обеспечивающих удобство и

комфорт в работе.

Идеальная высота сиденья - когда ступни ног полностью касаются

пола, а угол сгиба коленей при этом составляет примерно 90°. Очень важно,

чтобы край сиденья имел мягкую скругленную вниз форму. Это позволяет

избежать давления на кровеносные сосуды и не нарушать циркуляцию крови.

Позвоночник здорового человека напоминает знак интеграла. А,

следовательно, спинке кресла необходимо иметь соответствующую форму,

чтобы помогать сохранять это положение. Это очень важный момент. Если

приходится сидеть на обычном стуле без выпуклости под поясницу,

рекомендуется применять небольшую мягкую подушку для этих целей. Угол

между спинкой кресла и сидением должен составлять чуть более 90°. Иногда

119

Page 117: Диплом Пояснительная записка

стулья снабжаются специальным механизмом, позволяющим одновременно

менять угол наклона спинки и сиденья так, что положение позвоночника

остается правильным в любой момент времени.

Хорошо, если спинка стула поддерживает лишь нижнюю половину

спины, но при этом не является жестко закрепленной, чтобы не

препятствовать движениям в процессе работы.

Даже самое эргономичное оборудование в мире не поможет вам

избежать заболеваний, если использовать его неправильно. Следуя простым

советам по эргономичной организации рабочего места, можно предотвратить

дальнейшее развитие заболеваний.

6.6.5) Рабочее пространство.

Научная организация рабочего пространства базируется на данных о

средней зоне охвата рук человека - 35-40 см. Ближней зоне соответствует

область, охватываемая рукой с прижатым к туловищу локтем, дальней зоне -

область вытянутой руки.

6.6.6) Работа с клавиатурой.

Неправильное положение рук при печати на клавиатуре приводит к

хроническим растяжениям кисти. Важно не столько отодвинуть клавиатуру

от края стола и опереть кисти о специальную площадку, сколько держать

локти параллельно поверхности стола и под прямым углом к плечу. Поэтому

клавиатура должна располагаться в 10-15 см (в зависимости от длины локтя)

от края стола. В этом случае нагрузка приходится не на кисть, в которой

вены и сухожилия находятся близко к поверхности кожи, а на более

"мясистую" часть локтя. Современные, эргономичные модели имеют

оптимальную площадь для клавиатуры за счет расположения монитора в

самой широкой части стола. Глубина стола должна позволяет полностью

положить локти на стол, отодвинув клавиатуру к монитору.

120

Page 118: Диплом Пояснительная записка

6.6.7) Расположение монитора.

Монитор, как правило, располагается чрезмерно близко. Существует

несколько научных теорий, по разному определяющих значимые факторы и

оптимальные расстояния от глаза до монитора. Например, рекомендуется

держать монитор на расстоянии вытянутой руки. Но при этом, что человек

должен иметь возможность сам решать, насколько далеко будет стоять

монитор.

Именно поэтому конструкция современных столов позволяет менять

глубину положения монитора в широком диапазоне. Верхняя граница на

уровне глаз или не ниже 15 см ниже уровня глаз.

6.6.8) Внутренний объем.

Значимым фактором является под пространство столешницей. Высота

наших столов соответствует общепринятым стандартам, и составляет 74 см.

Также необходимо учесть, что пространства под креслом и столом должно

быть достаточно, чтобы было удобно сгибать и разгибать колени.

6.6.9) Положение за компьютером

Регулируемое оборудование должно быть таким, чтобы можно было

принять следующее положение:

Поставьте ступни плоско на пол или на подножку.

Поясница слегка выгнута, опирается на спинку кресла.

Руки должны удобно располагаться по сторонам.

Линия плеч должна располагаться прямо над линией бедер.

Предплечья можно положить на мягкие подлокотники на такой

высоте, чтобы запястья располагались чуть ниже, чем локти.

Локти согнуты и находятся примерно в 3 см от корпуса.

Запястья должны принять нейтральное положение (ни подняты,

ни опущены).

121

Page 119: Диплом Пояснительная записка

6.6.10) Выводы

В этой части дипломной работы были изложены требования к

рабочему месту программиста (пользователя). Созданные условия должны

обеспечивать комфортную работу. На основании изученной литературы по

данной проблеме, были указаны оптимальные размеры рабочего стола и

кресла, рабочей поверхности, а также проведен выбор системы и расчет

оптимального освещения производственного помещения, а также расчет

информационной нагрузки. Соблюдение условий, определяющих

оптимальную организацию рабочего места программиста, позволит

сохранить хорошую работоспособность в течение всего рабочего дня,

повысит, как в количественном, так и в качественном отношениях

производительность труда программиста.

122

Page 120: Диплом Пояснительная записка

Заключение

В данной работе были рассмотрены детали реализации

алгоритмического обеспечения модуля управления линией визирования

(МУЛВ) одной из современных систем оптической локации.

В рамках работы над проектом было выполнено следующее:

Разработана функциональная схема устройства;

Исследованы, выбраны и обоснованы методы и инструментарий

проектирования МУЛВ, в качестве которых выбраны язык описания

аппаратуры VHDL и САПР XILINX;

Осуществлено проектирование и реализация с использованием VHDL

заданных компонент:

1. Алгоритм состояния функционирования «Встроенный контроль»

2. Алгоритм состояния функционирования «Внешнее управление »

3. Алгоритм опроса датчиков углов

4. Алгоритм формирования управляющих сигналов угломестного

двигателя

5. Алгоритм формирования управляющих сигналов азимутального

двигателя

Осуществлена интеграция компонентов в единую систему с ее

последующим тестированием и отладкой;

Произведена «укладка» готовой системы на кристалл ПЛИС;

Анализ результатов проектирования и тестирования МУЛВ показывает,

что реализация удовлетворяет требованиям, поставленным в ТЗ.

123

Page 121: Диплом Пояснительная записка

Список использованной литературы

1.Поляков А.К. «Языки VHDL и VERILOG в проектировании цифровой

аппаратуры» - М. СОЛОН – Пресс, 2003. – 320с

2. Тарасов И.Е. «Разработка цифровых устройств на основе ПЛИС Xilinx с

применением языка VHDL» - М.: Горячая линия-Телеком, 2005. – 252с.

3.Угрюмов Е.П. «Цифровая схемотехника» - СПб. БХВ-Петербург, 2004. -

528с

4. Джонсон, Говард, Грэхем, Мартин : «Конструирование высокоскоростных

цифровых устройств» - изд. дом «Вильямс», 2006, -624с

124