66
國立勤益科技大學 電子工程系 林光浩 系統晶片設計與應用

系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

  • Upload
    vungoc

  • View
    251

  • Download
    4

Embed Size (px)

Citation preview

Page 1: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

國立勤益科技大學 電子工程系 林光浩

系統晶片設計與應用

Page 2: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Outline

2

Integrated Circuit 1

Application 3

Chip Design 2

Page 3: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Hardware Implementation

3

Methods or Algorithms can be implemented with 1. Hardware processor + suitable software programs (flexibility)

a. Pentium IV + suitable software programs (high-level language)

b. TI-DSP + suitable software programs

c. MCU(8051) + suitable software programs (low-level language)

2. Dedicated hardware circuits (faster)

a. old_PCBs (TTL SSI, MSI chips and wires)

b. new_PCBs(some devices, application specific integrated circuit-

ASIC, wires)

Ref:國立成功大學資訊工程系,陳培殷教授,VLSI系統設計與高階合成

Page 4: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Hardware Implementation

4

3. Some hardware circuits + software programs (to solve more complex problems)

a. System on a board (memory, processor, ASIC, I/O, other devices)

b. System on a chip (SoC)

c. current and future work (3D IC) memory CPU

ASIC I/O

PCI USB UART IEEE 1394

ASIC

Ref:CTIMES/新聞/積極創新 台積電獲2013百大創新機構殊榮

Page 5: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

IC Industry in Taiwan

5

晶粒測試及切割

晶圓切割

設計

導線架

測試 封裝 製造 光罩

晶圓

邏輯設計 封 裝

化學品

成品測試 光罩設計

長晶

聯發科、晨星、聯詠、 群聯、瑞昱、奇景 …

台積電、聯電 日月光、矽品 欣銓、京元電 台灣光罩

中美晶、合晶、嘉晶

三福化工

QFP, TSOP, SOT, SOJ

順德

Page 6: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Wafer & Die & Packaging

6

Wafer Packaging

Die

Page 7: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Outline

7

Integrated Circuit 1

Application 3

Chip Design 2

Page 8: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

IC Design Flow

IC Design Flow

Semi Custom

Standard Cells

FPGA or PLD

Full Custom

8

TSMC, UMC-cells

Xilinx, Altera, Actel-cells

Application Specific Integrated Circuit (ASIC)

Page 9: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Full (Fully) Custom Design

For analog circuits and digital circuits requiring custom optimization

Gates, transistors and layout are designed and optimized by the engineer

Full custom layout editor with Virtuoso

9

Page 10: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Full (Fully) Custom Design (Cont.)

10

Page 11: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Semi Custom Design

For larger digital circuits Real gates, transistors and layout are

synthesized and optimized by related software tools

Realization with hardware description language (HDL) such as VHDL and Verilog

11

Page 12: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Semi Custom Design (Cont.)

12

a. Product specification

b. Modeling with HDL

c. Synthesis (by using suitable standard cell)

d. Simulation and verification

e. Physical placement and layout

f. Tape-out (real chip)

g. Testing

-- implemented with suitable tools

-- implemented by suitable tools and mechanisms

-- implemented by suitable Fabrication companies

FPGA or CPLD

Real ASIC chip

less flexible, long design cycle, larger-scale production to reduce price

more flexible, shorter design cycle, suitable for smaller production

Standard cell

PLD

Fab (TSMC, UMC, ..)

Two different solutions : Xilinx, Altera

Page 13: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Standard Cells

13

Behavioral Level

Product Specification

RTLLevel

Logic and Circuit Design

Layout Level Design

(Text Editor)NC-Verilog (Compiler)Verdi, nWave(waveform)

Pre-Layout simulation

Post-Layout simulation

Synopsys Design compiler

Standard Cell

MATLAB

netlist (.v)

GDS-IITape Out

Back

IC Compiler (P & R) Calibre

(DRC, LVS)PrimeTime & PrimePower

Register Transfer Language

Page 14: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

14

Page 15: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

System Simulation

15

Channel Coding

Source Data

Receiver Data

Modulator

Demodulator

AWGN Channel (noise)

Error Correction Encoder

Error Correction Decoder

System Block Diagram

a3 a2 a1 a0

a3 a2 a1 a0

a3 a2 a1 a0

b3 b2 b1 b0 q2 q1 q0

Generators Detector

Decision logic

s2 s1 s0

Codeword

r2 r1 r0

Codeword

Source Data Receiver Data

Transmitter Receiver

Encoder Decoder

unreliable transmission

0 0 0Yes

No

Circuit Architecture

11

110

11

11

11

10

11

110

........

..........

..........()(

−−−

+−+−−

−+−−

−−−

+

−−

−−

−−−−

++++++=

++++++=

++++++=

nin

iiinn

nin

inn

nin

nin

ii

i

nn

inin

inini

ii

XcXcXcXcXcXcXcXcXcXc

XcXcXcXccXXcX

Algorithms

Simulation Result Distributed Computing

Page 16: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

RTL Simulation

Describe the circuits with Hardware Description Language (HDL) – Verilog-HDL, VHDL

Verification and Analysis Behavioral Simulation Logic Simulation Circuit Simulation

ModelSim – Supported on Windows and Linux operating systems

Verdi – Supported on Linux operating system

16

Page 17: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

ModelSim

17

Page 18: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

HDL Debugging with Verdi

The Verdi system lets you focus on tasks that add more value to your designs by cutting your debug time, typically by over 50%

Four Key Components nTrace –View and Debug Source Code nWave –View Simulation Results in Waveforms nSchema –View and Debug Design Graphically nState –View and Analyze State Machines

18 Ref:Synopsys Debug https://www.synopsys.com/Tools/Verification/debug/Pages/default.aspx

Page 19: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

19

Page 20: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Logic Synthesis with Design Compiler

20

Page 21: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Synthesis

Synthesis = Translation+Optimization+Mapping

21

always @(…) if (a==b) if (c==1) d=f; else d=1; else d=0; a

b

c

f

d

f c a

b

d

Translate into Boolean Representation

Optimize + Map HDL Source

Target Technology

Process of logic synthesis

Page 22: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Synthesis (Cont.)

Synthesis is constraint-driven You set the goals. Design Compiler optimizes

design toward goals.

22

Area

Speed

Small

Large

Fast Slow

Page 23: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Synthesis (Cont.)

23

Page 24: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Cell-Based IC Physical Design and Verification with IC Compiler

24

Page 25: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

IC Compiler Flow Synthesis

Design Setup

Design Planning

Placement

CTS

Routing

CHIP Finishing 25

Tcl or GUI-based user interface All Design Compiler reports

enhanced with physical information

Page 26: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Placement

26 Floor plan Power plan

Page 27: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Clock Tree Synthesis

27 Before CTS After CTS

Page 28: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Routing

28

Page 29: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Final Validation

29

From DFM steps

Output GDS2

GenerateOutputnetlist

Write.spef file

Calibre Formality PrimeTimeSI

DetailedDRC & LVS

Prove logical equivalence after ICC optimizations

Timing Signoff

Design for Manufacturability

Page 30: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Calibre

Push button access to Calibre DRC, LVS, xRC

Shorter iteration time Direct invocation from design environment Rapid verification & parasitic extraction Easy location of results in design environment Faster repair of errors & discrepancies Parasitic visualization

Shorter time to tape-out

30

Page 31: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Design Rule Check

31

Page 32: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Layout Versus Schematic

32

Page 33: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Queue Server

Online DRC

33

Page 34: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Taped-out via CIC

Before Taped-out, make sure that Your design is DRC clean. Your design passes the block-box LVS check. Your design meet the timing/power specification.

When taped-out via CIC, prepare the following: GDSII layout of your design Calibre DRC report file Calibre LVS report file Post-layout timing/power verification result Specification files of used memories

34

Page 35: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

下線準備資料

35

下線所需要上傳的資料有下列五種:

1. 下線報告(Word)

2. layout完成的gds檔

3. DRC.rep(看DRC錯誤的檔案)

4. lvs.rep(有笑臉的檔案)

5. tapeout報告(Word, 附件二)

需準備的檔案有下列兩種:

1. 檔名:上傳號碼;內容:學校信箱

2. ok檔;內容:ok

上傳方式請參考附件三。

Page 36: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Automatic Test System

36 Ref:NAR Labs 國家晶片系統設計中心

ADVANTEST V93000 PS1600 CIC Package:CQFP, LCC, SB

Page 37: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Automatic Test System (Cont.)

37 Ref:NAR Labs 國家晶片系統設計中心

Page 38: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Programmable Logic

PLD (Programmable Logic Device) according to their structure and density can be divided into three categories SPLD(Simple Programmable Logic Device) CPLD(Complex Programmable Logic Device) FPGA (Field Programmable Gate Array )

Xilinx Altera Actel (Microsemi)

38

Page 39: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Xilinx Spartan-6 Artix-7 Kintex-7 Virtex-7

Logic Cells 150,000 215,000 480,000 2,000,000 BlockRAM 4.8Mb 13Mb 34Mb 68Mb DSP Slices 180 740 1,920 3,600 DSP Performance (symmetric FIR) 140GMACs 930GMACs 2,845GMACs 5,335GMACs Transceiver Count 8 16 32 96 Transceiver Speed 3.2Gb/s 6.6Gb/s 12.5Gb/s 28.05Gb/s Total Transceiver Bandwidth (full duplex) 50Gb/s 211Gb/s 800Gb/s 2,784Gb/s Memory Interface (DDR3) 800Mb/s 1,066Mb/s 1,866Mb/s 1,866Mb/s PCI Express® Interface x1 Gen1 x4 Gen2 x8 Gen2 x8 Gen3 Analog Mixed Signal (AMS)/XADC Yes Yes Yes Configuration AES Yes Yes Yes Yes I/O Pins 576 500 500 1,200

39 Ref:http://www.xilinx.com/products/silicon-devices/fpga/index.htm

Page 40: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Xilinx ISE

40

Create an HDL Source

Implement Design and Verify Constraints

Page 41: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Xilinx ISE (Cont.)

41

Assigning Pin Location Constraints

Download Design to the Demo Board

Page 42: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Altera

42 Ref:http://www.xilinx.com/products/silicon-devices/fpga/index.htm

Page 43: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

QUARTUS II Design Entry Flow

43

Page 44: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

QUARTUS II

44

Pin Planner

Programmer

Page 45: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Measurement

45

Pattern Generator

Logic Analyzer

Page 46: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

SMIMS

46 Ref:北瀚科技 http://tw.smims.com/

Page 47: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Outline

47

Integrated Circuit 1

Application 3

Chip Design 2

Page 48: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

System Application

Radio Data System 智慧電子國家型科技計畫(NPIE) -- Interactive

Intelligent Healthcare System PCB board Circuits Design IC Samples

48

Page 49: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Digital RDS Demodulation in FM Subcarrier Systems

49

Page 50: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Radio Data System

50

Frequency (kHz)

Ampl

itude

(dB)

L + R

Pilot

L - R 0

-20

-40

-60

0 19 38 57 76 95

SCA1 67K+/-4Khz

SCA2 92K+/-4Khz

RDS/RBDS 57K+/-2Khz

DARC 76K+/-12Khz

Page 51: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

RDS Receiver Physical Circuit

51

LCDM PANEL

REAL TIME CLOCK

MICROPROCESSOR

PROPOSED RDS DECODER

RDS DEMODULATOR

FM TUNER

SRAM

Page 52: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Measurement Environment

52

Page 53: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Healthcare Monitoring

53

Motivation Aging Population, Intensity lifestyle

Pressure, Nervousness, Disturbance

Chronic Cardiovascular Diseases

Bio-microsystem application

EEG, ERG, ECG, EMG, Blood.

Intelligent Healthcare Monitoring Interactive, Low Power, Wireless SoC

IEEE 802.15.4 ZigBee Application

2.4GHz ISM Band

ERG Signal

EEG Signal

ECG Signal

EMG Signal

Blood Signal

Ref:國立成功大學,李順裕教授,NPIE生醫電子計畫

Page 54: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Interactive Intelligent Healthcare System

54

Targets Low Power, Anywhere, Anytime

Long-term Monitoring

Body Area Network Near-Body Application

Acquisition Node

– Wearable Device (watch)

Local Sensor Network Intermediate Interface

Wearable Device

– Portable Facility (PDA) Body Area Network

Intelligent Healthcare

Healthcare Surveillance

Local Sensor Network

ZigBee

Ethernet

ECG Disease Diagonse

ECG-Acquisition Front-End

ZigBee Transmitter

Intelligent Healthcare Center

ZigBee Receiver

Power Management

Mixed Signal Baseband RF

Transmitter

UniRISC Processor

Page 55: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

SpO2 & ECG Circuits Design

55

+3.3V

Low pass

High pass

+5V

PIC16F690 BT Module

IA

IA Band pass Amplifier

PIC16F690

Band stop and adder

Bluetooth module+3.3V -5V +5V

SpO2

ECG

Page 56: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

System Block Diagram

56

Transmitter : Wireless Bio-Signal Acquisition SoC (WBSA-SoC)

AFE : PreAmp., Filter, PostAmp., 8-bit SAADC

Baseband : ZigBee Spread Spectrum Technology

RF Front-end : VCO, Up-Mixer, PA

Receiver: ARM Display

RF Front-end : LNA, Down-Mixer

Mixed-Mode Board: BPF, AGC, ADC

Based-Band & Display : ZigBee Demod. & ARM-base Display

Wireless Bio-Signal Acquisition SoC

AntennaDAC

DAC

Baseband(ZigBee

Modulation)

PA

Mixer

Mixer

LPF

LPF

Synthesizer(QVCO)

I

Q

DSC

RF TransmitterBasebandECG Acquisition Node

Communication Network

Pre-Amplifier(Programmab

le 30-40dB)

Low-Pass Filter

(Bandwidth:fLP=100Hz)

A/D Converter(Real-time detection)

fS=1kHz

8

Compensation-Amplifier

(Gain=14-20dB &S/H)

Wilson Circuit

RA

LA

LL

ADC

ADC

Baseband(ZigBee DeMod)

LNA

Mixer

Mixer

AGC

AGC

Synthesizer(QVCO)

I

Q

DPS

RF Receiver Baseband

Communication Network

ECG Display

SDC

SDC

Page 57: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

System Experiment Result

57

Verification Setup Measurement Setup Display with ARM Platform

Video

Page 58: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

經濟部標準檢驗局電磁相容(EMC)設計競賽

58

Page 59: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Embedded Development Platform

59

I/OBuffer

&72 PinsGold

FingerInterface

Power Input 12V & 5V

RESETSwitch

MCUGPL32500A

(Based ARM7TDMI)

USB

VGA800x640

DIP SW 2x8 Bit

RS232

Audio AMP

JTAG

SDRAM256MB

NAND FLASH

1GB

MODULE

SRAM

3.3V

Transceivers C

hip

3.3V Regulator

RTC Crystal

(327KHz)

Main Crystal(6MHz)

Blue tooth

Control

UART

Data

ProtectionCircuit

Page 60: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

IC Samples

Linear Technology: http://www.linear.com/ Analog Device: http://www.analog.com T.I.: http://www.ti.com NXP: http://www.classic.nxp.com Maxim: http://www.maxim-ic.com/ Free Scale: http://www.freescale.com/

60

Page 61: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Linear Technology

61

Page 62: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Analog Device

62

Page 63: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Texas Instruments

63

Page 64: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

Digi-Key

64

Page 65: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

E絡盟: http://tw.element14.com/

65

Page 66: 系統晶片設計與應用 - 國立虎尾科技大學 - 電機工程系nfuee.nfu.edu.tw/ezfiles/42/1042/attach/38/pta_26400...c. Synthesis (by using suitable standard cell) d. Simulation

66