19
SungKyunKwan Univ . 1 VADA Lab. 시시시시시시시시 Project 시시 PROJECT : Trace-Back Viterbi Decoder 1998 년 6년 18 년 VADA Lab. 년년 1 년 년 년 년

시스템설계방법론 Project 결과

Embed Size (px)

DESCRIPTION

시스템설계방법론 Project 결과. PROJECT : Trace-Back Viterbi Decoder. 1998 년 6 월 18 일 VADA Lab. 석사 1 기 류 제 혁. Trace-Back Viterbi Decoder. Trace-Back Viterbi Decoder. Convolutional Encoder. Trace-Back Viterbi Decoder. - PowerPoint PPT Presentation

Citation preview

Page 1: 시스템설계방법론  Project  결과

SungKyunKwan Univ.

1VADA Lab.

시스템설계방법론 Project 결과

PROJECT : Trace-Back Viterbi Decoder

1998 년 6 월 18 일VADA Lab.

석사 1 기 류 제 혁

Page 2: 시스템설계방법론  Project  결과

SungKyunKwan Univ.

2VADA Lab.

Trace-Back Viterbi Decoder* Encoder : K=2, R=1/2* Decoder : Survivor Depth = 10(5K) , ¿Ï Àüº ´ · Ä ÆÄ ÀÌ ÇÁ¶óÀÎ ¹ æ½ÄÀÇ Trace-Back decoding

¿Ï Àü º ´ · Ä ÆÄÀÌ ÇÁ¶óÀÎ ¹ æ½ÄÀ» »ç¿ëÇÔÀ̧ · Î ¼ clock speed¿Í sub clockÀ» Á¦ ° ÅÇÔÀ̧ · Î ¼ low pow er¸ ¦ À§ÇÑ ¼³ ° è

DEVELOPE High-level algorithm ic m odel (VHDL Code)

DEVELOP behavioral m odel for H/WSynopsys (Design Com piler)

H/W Sim ulation Synopsys (VHDL Debegger box)

Page 3: 시스템설계방법론  Project  결과

SungKyunKwan Univ.

3VADA Lab.

Trace-Back Viterbi Decoder◈ Convolutional Encoder

Page 4: 시스템설계방법론  Project  결과

SungKyunKwan Univ.

4VADA Lab.

Trace-Back Viterbi Decoder

☞ Con_enc : 연속적으로 이진 데이터 값을 입력받아서 shift register 에 저장하면서 rule에 따라 각각 exclusive-or 연산을 통하여 encoding한 후 2bit 씩 출력한다 .

Page 5: 시스템설계방법론  Project  결과

SungKyunKwan Univ.

5VADA Lab.

Trace-Back Viterbi Decoder◈ Viterbi Decoder

Page 6: 시스템설계방법론  Project  결과

SungKyunKwan Univ.

6VADA Lab.

Trace-Back Viterbi Decoder

☞ 비터비 알고리즘의 트렐리스도에서 스테이트천이에 따른 branch metric 을 계산하고 계산된 branch metric 과 각 스테이트에 저장된 스테이트 metric 을 더하고 각 스테이트에 입력되는 경로의 metric 을 비교하여 각 스테이트에서 최소 metric 을 갖는 경로를 선택하여 최소 metric 을 갖는 경로에 대한 정보를 Bmacs_block 에서 계산하여 결정 벡터 (decision vector) 를 TBM(tbm_block) 부에 넘겨주게 된다 .

Page 7: 시스템설계방법론  Project  결과

SungKyunKwan Univ.

7VADA Lab.

Trace-Back Viterbi Decoder⑴ Bmacs_block

☞ bm_block에서 출력한 상태를 받아들여 1 time delay 된 신호와 각 상태에 맞게 add 연산을 하고 두 상태중 작은 상태를 선택하여 작은 상태를 나타내는 결정벡터와 그때의 path metric 을 출력한다 .

Page 8: 시스템설계방법론  Project  결과

SungKyunKwan Univ.

8VADA Lab.

Trace-Back Viterbi Decoder① Bm_block

☞ Encoder 에서 출력된 2bit 를 입력으로 받아 여서 각각의 state 에 따라 branch metric 을 계산하여 출력한다 .

Page 9: 시스템설계방법론  Project  결과

SungKyunKwan Univ.

9VADA Lab.

Trace-Back Viterbi Decoder② ACS_block

☞ bm_block 에서 출력한 상태를 받아들여 1 time delay 된 신호와 각 상태에 맞게 add 연산을 하고 두 상태중 작은 상태를 선택하여 작은 상태를 가르키는 결정벡터와 그때의 path metric을 출력한다 .

Page 10: 시스템설계방법론  Project  결과

SungKyunKwan Univ.

10VADA Lab.

Trace-Back Viterbi Decoder③ Buff

☞ asc-block에서 출력된 path metric 을 입력으로 받아 임시로 저장한 후 clock = '1'로 trigger 될 때 acs_block 의 입력으로 출력시킨다 .

Page 11: 시스템설계방법론  Project  결과

SungKyunKwan Univ.

11VADA Lab.

Trace-Back Viterbi Decoder④ Cmp41

☞ acs_block에서 출력되는 path metric 을 입력으로 받아서 그 중 가장 작은 값을 선택하여 출력시킨다 .

Page 12: 시스템설계방법론  Project  결과

SungKyunKwan Univ.

12VADA Lab.

Trace-Back Viterbi Decoder⑵ Tbm_block

☞ ACS 부에서 출력된 결정백터를 완전한 trace-back 처리를 위한 waiting time 이 필요없는 register 의 배열에서 연속적인 파이프라인 방식을 통하여 데이터를 검출하게 된다 .

Page 13: 시스템설계방법론  Project  결과

SungKyunKwan Univ.

13VADA Lab.

Trace-Back Viterbi Decoder① St_gen

☞ Survivor depth를 맞추어 주기 위하여 5k 만큼 지연한 후 그 다음부터 trace-back 동작을 하게되는데 이때 동기를 맞추어 주기 위하여 5k 만큼 reset신호를 발생시켜서 tbm부로 출력시킨다.

Page 14: 시스템설계방법론  Project  결과

SungKyunKwan Univ.

14VADA Lab.

Trace-Back Viterbi Decoder② Tbm

☞ Decision vector 를 입력받아서 rst1 = '1' 일 때부터 pipeline방식으로 trace-back 동작을 시작하여 decoded bit 를 출력시킨다 .

Page 15: 시스템설계방법론  Project  결과

SungKyunKwan Univ.

15VADA Lab.

Trace-Back Viterbi Decoder◈ Gate Level Simulation

▣ Convolution Encoder

Page 16: 시스템설계방법론  Project  결과

SungKyunKwan Univ.

16VADA Lab.

Trace-Back Viterbi Decoder▣ Viterbi decoder

Page 17: 시스템설계방법론  Project  결과

SungKyunKwan Univ.

17VADA Lab.

Trace-Back Viterbi Decoder◈ Chip Size Estimation

Page 18: 시스템설계방법론  Project  결과

SungKyunKwan Univ.

18VADA Lab.

Trace-Back Viterbi Decoder◈ POWER ESTIMATION

▣ Convolution Encoder

Page 19: 시스템설계방법론  Project  결과

SungKyunKwan Univ.

19VADA Lab.

Trace-Back Viterbi Decoder▣ Viterbi Decoder