35
PERCOBAAN 5 Komunikasi I/O board Spartan 3E Starter Kit Memanfaatkan 7 Segment 5.1 Tujuan Peserta praktikum dapat memahami komunikasi I/O pada board spartan 3E dengan memanfaatkan modul peripheral 7 segment. 5.2 Dasar Teori 5.2.1 Seven Segment Seven segmen adalah salah satu perangkat layar untuk menampilkan sistem angka desimal yang merupakan alternatif dari layar dot-matrix. Layar tujuh segmen ini seringkali digunakan pada jam digital , meteran elektronik, dan perangkat elektronik lainnya yang menampilkan informasi numerik. Seven segment sendiri memiliki dua jenis yang berbeda yaitu commoncatoda dan common anoda. 127

5. fix

Embed Size (px)

DESCRIPTION

fix

Citation preview

PERCOBAAN 5Komunikasi I/O board Spartan 3E Starter Kit Memanfaatkan 7 Segment

5.1 TujuanPeserta praktikum dapat memahami komunikasi I/O pada board spartan 3E dengan memanfaatkan modul peripheral 7 segment.

5.2 Dasar Teori5.2.1 Seven SegmentSeven segmen adalah salah satu perangkat layar untuk menampilkan sistem angkadesimal yang merupakan alternatif dari layar dot-matrix. Layar tujuh segmen ini seringkali digunakan pada jam digital, meteran elektronik, dan perangkat elektronik lainnya yang menampilkan informasi numerik. Seven segment sendiri memiliki dua jenis yang berbeda yaitu commoncatoda dan common anoda.

Gambar 5.1 Seven Segment

Dapat dilihat pada gaambar diatas terdapat dua jenis 7 segment yang berbeda untuk common catoda 7 segment di hubungkan pada ground sedangkan common anoda dihubungan pada Vcc ( source voltage ). Layar 7 segmen ini terdiri dari 7 buah LED yang membentuk angka 8 dan 1 LED untuk titik. Angka yang ditampilkan di seven segmen ini dari 0-9. Cara kerja dari seven segmen disesuaikan dengan LED. LED merupakan komponen diode yang dapat memancarkan cahaya.kondisi dalam keadaan ON jika sisi anode mendapatkan sumber positif dari Vcc dan katode mendapatkan sumber negatif dari ground.Berdasarkan cara kerjanya, tujuh segmen dibagi menjadi 2 bagian: Common KatodeCara kerja dari seven segmen common katode akan aktif pada kondisi high "1" dan akan off pada kondisi low "0".Tabel 5.1 Seven Segmen Common KatodeAngkahGfedcba

000111111

100000110

201011011

301001111

401100110

501101101

601111101

700000111

801111111

901101111

Tabel 5.2 Pengaktifan Common CatodeTabel pengaktifan common katode

AngkahGfedcba

011000000

111111001

210100100

310110000

410011001

510010010

610000010

711111000

810000000

910010000

Common AnodeCara kerja dari seven segmen common anode akan aktif pada kondisi low "0" dan akan off pada kondisi high "1".

5.2.2 IC Decoder BCDIC Dekoder BCD biasanya digunakan untuk driver rangkaian 7 segment untuk mengubah kode bilangan biner BCD (Binary Coded Decimal) menjadi data tampilan untuk penampil/display 7 segment. Decoder BCD ke 7 segment jenis TTL ada beberapa macam diantaranya keluarga IC TTL 7447 dan keluarga IC TTL 7448. Kedua IC TTL: tersebut memiliki fungsi yang sama namun peruntukannya berbeda IC 7447 digunakan untuk driver 7 segment common anoda sedangkan IC 7448 digunakan untuk driver dispaly 7 segment common cathode. IC dekoder BCD ke 7 segment sering juga dikenal sebagai driver display 7 segment karena selalu digunakan untuk memberikan driver sumber tegangan ke penampil 7 segment.

Gambar 5.2 IC Decoder BCDDalam aplikasi decoder, ketiga jalur kontorl (LT, RBI dan RBO) harus diberikan logika HIGH dengan tujuan data input BCD dapat masuk dan penampil 7 segmen dapat menerima data tampilan sesuai data BCD yang diberikan pada jalur input.

Gambar 5.3 Tabel Kebenaran

Gambar 5.4 Rangkaian IC Decoder BCD

5.3 Alat dan Bahan1. Board Spartan 3E Starter Kit 2. Source Voltage 5 v3. Modul 7 segment4. Jumper

5.4 Langkah percobaan1. Membuat file .vhd pada xilinx2. Membuat file .ucf pada xilinx3. Melakukan compile Synthesize XST, Implement Design, Generate Programming4. Masuk pada Manage Configuration Project ( iMPACT )5. Melakukan proses komunikasi antara board Spartan 3E dengan komputer6. Menghubungkan modul 7 segment dengan board Spartan 3E7. List Programlibrary IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity coba isport (clk : in std_logic;bcd : in std_logic_vector(3 downto 0); segment7 : out std_logic_vector(6 downto 0) );end coba ;architecture Behavioral of coba isbeginprocess (clk,bcd)BEGINif (clk'event and clk='1') thencase bcd iswhen "0000"=> segment7 segment7 segment7 segment7 segment7 segment7 segment7 segment7 segment7 segment7 segment7 segment7 segment7 segment7 segment7 segment7 O 1 0.704 0.000 p_state_FSM_FFd1-In1 (p_state_FSM_FFd1-In) FD:D 0.308 p_state_FSM_FFd1 ---------------------------------------- Total 2.225ns (1.603ns logic, 0.622ns route) (72.0% logic, 28.0% route)=====================================================Timing constraint: Default OFFSET OUT AFTER for Clock 'clk' Total number of paths / destination ports: 2 / 2-------------------------------------------------------------------------Offset: 4.394ns (Levels of Logic = 1) Source: p_state_FSM_FFd2 (FF) Destination: dout (PAD) Source Clock: clk rising

Data Path: p_state_FSM_FFd2 to dout Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ FDR:C->Q 3 0.591 0.531 p_state_FSM_FFd2 (p_state_FSM_FFd2) OBUF:I->O 3.272 dout_1_OBUF (dout) ---------------------------------------- Total 4.394ns (3.863ns logic, 0.531ns route) (87.9% logic, 12.1% route)=====================================================Total REAL time to Xst completion: 8.00 secsTotal CPU time to Xst completion: 7.65 secs

-->Total memory usage is 199992 kilobytesNumber of errors : 0 ( 0 filtered)Number of warnings : 2 ( 0 filtered)Number of infos : 0 ( 0 filtered)

PENUTUPKesimpulanSetelah melakukan keseluruhan praktikum ini, penulis dapat menyimpulkan bahwasannya semua praktikum ini sangat penting untuk menunjang Materi yang diberikan di kelas, disamping itu system komunikasi juga dapat memudahkan kita untuk menyelesaikan suatu pekerjaan yang besifat sukar dipecahkan.SaranTingkatkan terus kemampuan asisten dalam mengasistensi laporan-laporan, buat para asisten jangan mengsistimewakan teman-teman dekatnya.Jangan mempersulit mahasiswa yang akan asistensi, dalam menggoreksi laporan yang masih terdapat kesalahan beritahukan letak kekurangan pada laporan semuanya ,agar kami tidak bolak-balik untuk asistensi.Sekian dan terimakasih untuk perhatianya.

DAFTAR PUTAKA

Elektronika, Laboratorium. 2014, Modul VHDL. Laboratorium Elektro : Malang Catatan Kuliah

152