33
1 Др Милован Миливојевић дипл. инж. /// ВПТШ Ужице 7. MINIMIZACIJA LOGIČKIH FUNKCIJA C 1 22.01.19 1 Slajdovi su generalno bazirani na referenci [2] 7.1. Redukcija tablice pokrivanja U pojedinim sutuacijama (kada je reč i kompleksnim logičkim funkcija ili kada je u pitanju ciklična tabela pokrivanja) određivanje minimalnog skupa prostih implikati, nije tako jednostavno, kao u primerima prikazanim u prethodnpom bloku. U takvim prilikama pristupa se postupku redukcije tablice pokrivanja. Definicije: A. Za vrstu i tablice pokrivanja se kaže da je dominantna u odnosu na vrstu j , ako vrsta i ima znak u svim kolonama u kojima ih ima i vrsta j , i ako ima još najmanje jednu kolonu sa znakom , u kojoj vrsta j nema znak . B. Ako vrste i i j imaju znak , u istim kolonama onda su one ekvivalentne. C. Definicije A. i B. Važe na isti način za kolone i i j .

7.1. Redukcija tablice pokrivanja - vpts.edu.rs · Implikante 19,23 i 21,23 su ekvivalentne (imaju znak u istoj koloni), a pošto su njihove proste implikante istog ranga, onda se

  • Upload
    others

  • View
    7

  • Download
    0

Embed Size (px)

Citation preview

1Др Милован Миливојевић дипл. инж. /// ВПТШ Ужице

7. MINIMIZACIJA LOGIČKIH FUNKCIJA C1

22.01.19

1Sl

ajd

ovi

su

gen

eral

no

baz

iran

i na

refe

ren

ci [

2]

7.1. Redukcija tablice pokrivanja

U pojedinim sutuacijama (kada je reč i kompleksnim logičkim funkcija ili kada je

u pitanju ciklična tabela pokrivanja) određivanje minimalnog skupa prostih

implikati, nije tako jednostavno, kao u primerima prikazanim u prethodnpom

bloku.

U takvim prilikama pristupa se postupku redukcije tablice pokrivanja.

Definicije:

A. Za vrstu i tablice pokrivanja se kaže da je dominantna u odnosu na vrstu j , ako

vrsta i ima znak u svim kolonama u kojima ih ima i vrsta j , i ako ima još

najmanje jednu kolonu sa znakom , u kojoj vrsta j nema znak .

B. Ako vrste i i j imaju znak , u istim kolonama onda su one ekvivalentne.

C. Definicije A. i B. Važe na isti način za kolone i i j .

D. Ako je neka vrsta i , dominantna u odnosu na vrstu j , i ako je implikanta j višeg

ranga od implikante i , onda se tablica pokrivanja može redukovati izostavljanjem

vrste j .

E. Ako je neka vrsta pokriva kolonu j , kojom dominira kolona i , onda ta vrsta

pokriva u kolonu i . U tom slučaju se tablica pokrivanja može redukovati

izostavljanjem dominantne kolone.

F. Ako su kolone i i j ekvivalentne onda se tablica pokrivanja može redukovati

izostavljanjem bilo koje od ove dve kolone.

G. Ako su vrste i i j ekvivalentne onda se tablica pokrivanja može redukovati

izostavljanjem bilo koje od ove dve vrste.

2Др Милован Миливојевић дипл. инж. /// ВПТШ Ужице 22.01.19

1Sl

ajd

ovi

su

gen

eral

no

baz

iran

i na

refe

ren

ci [

2]

7. MINIMIZACIJA LOGIČKIH FUNKCIJA C1

322.01.19

1Sl

ajd

ovi

su

gen

eral

no

baz

iran

i na

refe

ren

ci [

2]

7. MINIMIZACIJA LOGIČKIH FUNKCIJA C1

Primer:

Minimizirati tabelarnom metodom sledeću funkciju (Quine-McKlascy method) 5

(1,2,3,5,9,10,11,18,19,20,21,23,25,26,27)y

http://www.mathematik.uni-marburg.de/~thormae/lectures/ti1/code/qmc/

Др Милован Миливојевић дипл. инж. /// ВПТШ Ужице

4Др Милован Миливојевић дипл. инж. /// ВПТШ Ужице 22.01.19

1Sl

ajd

ovi

su

gen

eral

no

baz

iran

i na

refe

ren

ci [

2]

7. MINIMIZACIJA LOGIČKIH FUNKCIJA C1

5Др Милован Миливојевић дипл. инж. /// ВПТШ Ужице 22.01.19

1Sl

ajd

ovi

su

gen

eral

no

baz

iran

i na

refe

ren

ci [

2]

7. MINIMIZACIJA LOGIČKIH FUNKCIJA C1

Kako je dobijeno rešenje?

Vidi se da su esencijalne implikante:

o Impliknta nastala sažimanjem minterma: 20,21

o Impliknta nastala sažimanjem minterma: 9,11,25,27

o Impliknta nastala sažimanjem minterma: 2,3,10,11, 18,19,26,27

Kada bi se ove esencijalne implikante (i odgovarajuci esencijalni redovi uklonili) prva

redukovana tablica imala bi izgled:

1 5 23

1,3,9,11

1,5

5,21

19,23 21,23

Implikante 19,23 i 21,23 su ekvivalentne (imaju znak u istoj koloni), a pošto su njihove

proste implikante istog ranga, onda se jedna od njih može izostaviti. Tako se dobija

druga redukovana tablica pokrivanja:

1 5 23

1,3,9,11

1,5

5,21

19,23**

6Др Милован Миливојевић дипл. инж. /// ВПТШ Ужице 22.01.19

1Sl

ajd

ovi

su

gen

eral

no

baz

iran

i na

refe

ren

ci [

2]

7. MINIMIZACIJA LOGIČKIH FUNKCIJA C1

Vidi se da vrsta 19,23 (prosta implikanta) postaje druga esencijalna vrsta (**).

Izostavljanjem ove vrste i odgovarajuće kolone koja pokriva minterm 23, dobija se TREĆA redukovana tablica:

1 5

1,3,9,11

1,5 5,21

Iz prethodne tabele se vidi da je implikanta 1,5 dominantna, i da pokriva implikntu 5,21. Pošto su implikante 1,5 i 5,21 istog ranga, onda se implikanta 5,21 može izostaviti, pa se dobija ČETVRTA REDUKOVANA TABLICA POKRIVANJA:

1 5

1,3,9,11

1,5*** Kod ove redukovane tabllice pokrivanja implikanta 1,5 je esencijalna i naziva se TREĆA

ESENCIJALNA, a pošto njena impliknata pokriva obe preostale kolone ... time ze

minimizacija završena:

Tako da se dobija konačno MDNF u obliku:

Ymin=(20,21)+(9,11,25,27)+(2,3,10,11, 18,19,26,27)+(19,23)+(1,5)

Odnosno

Ymin= 3 14 2x x x x + 23 0x x x + 2 1x x + 34 1 0x x x x + 4 3 1 0x x x x

Napomena: U prethodnom primeru, invertovan sistem oznacavanja varijablu u odnosu

na literaturu [2].

7Др Милован Миливојевић дипл. инж. /// ВПТШ Ужице 22.01.19

1Sl

ajd

ovi

su

gen

eral

no

baz

iran

i na

refe

ren

ci [

2]

7. MINIMIZACIJA LOGIČKIH FUNKCIJA C1

7.2. Petrick-ova metoda

Petrikova (Petrick) metoda služi za obradu tablice pokrivanja.

Da bi jedan minterm bio pokriven, mora se uzeti ILI jedna, ILI druga, ILI bilo koja VRSTA

koja u preseku sa odgovarajućom KOLONOM ima znak +.

Primer: 5

(0,2,6,7,8,9,13,15)y

0 2 6 7 8 9 13 15

1 2 4a x x x + +

2 3 4b x x x + +

1 43c x x x + +

2 31d x x x + +

1 2 3e x x x + +

31 4f x x x + +

2 2 4g x x x + +

1 2 4h x x x + +

Dobijena tablica pokrivanja nema esencijalnih vrsta (odnosno prostih implikanata), niti

dominantnih kolona i spada u ciklične tablice pokrivenja!

8Др Милован Миливојевић дипл. инж. /// ВПТШ Ужице 22.01.19

1Sl

ajd

ovi

su

gen

eral

no

baz

iran

i na

refe

ren

ci [

2]

7. MINIMIZACIJA LOGIČKIH FUNKCIJA C1

Sledi:

o Za pokrivenost svakog minterma: ..., , 1,jU a b U j m

o Za pokrivenost svih minterma: 2...j mP U U U

o Kada se sve sume jU , zamene u P , dobija se suma proizvoda

1 2 ... qP v v v , gde svako iv abc , predstavlja dovoljan skup prostih

implikanti pomoću kojih se može izraziti logička funkcija koja se želi

minimizovati.

o Između ovih članova bira se onaj koji sadrži NAJMANJI broj promenljivih koji

iznosi: min ...a b iN i i i , gde je ii broj promenljivih u prostoj implikanti i

.

o Odgovarajući skup: min min{ | ( )},v vS i S N i S , daće MDNF.

Rešenje za primer prethodne tablice pokrivanja:

( )( )( )( )( )( )( )( )P a b a c c e e g b d d f f h g h

( )( )( )( )P a bc e cg d bf h fg

P adeh adefg abefh abefg acdgh acdfg abcfgh abcfg

bcdeh bcdefg bcefh bcefg bcdgh bcdfg bcdfgh bcfg

adeh adefg abefh abefg acdfgh acdfg abcfg bcdeh bcefh

bcefg bcdgh bcfg

9Др Милован Миливојевић дипл. инж. /// ВПТШ Ужице 22.01.19

1Sl

ajd

ovi

su

gen

eral

no

baz

iran

i na

refe

ren

ci [

2]

7. MINIMIZACIJA LOGIČKIH FUNKCIJA C1

Sve proste implikante su istog ranga 3, pa se biraju dve sa najmanje elemenata:

1

2

v adeh

v bcfg

Dakle postoje dve MDNF:

1 2 4 2 3 11min 1 2 3 1 2 4y a d e h x x x x x x x x x x x x

2 3 4 1 4 32min 3 1 4 2 2 4y b c f g x x x x x x x x x x x x

Ili rešeno pomoću programske podrške:

10Др Милован Миливојевић дипл. инж. /// ВПТШ Ужице 22.01.19

1Sl

ajd

ovi

su

gen

eral

no

baz

iran

i na

refe

ren

ci [

2]

7. MINIMIZACIJA LOGIČKIH FUNKCIJA C1

11Др Милован Миливојевић дипл. инж. /// ВПТШ Ужице 22.01.19

1Sl

ajd

ovi

su

gen

eral

no

baz

iran

i na

refe

ren

ci [

2]

7. MINIMIZACIJA LOGIČKIH FUNKCIJA C1

Napomena: U prethodnom primeru, invertovan sistem oznacavanja varijablu u odnosu

na literaturu [2].

12Др Милован Миливојевић дипл. инж. /// ВПТШ Ужице 22.01.19

1Sl

ajd

ovi

su

gen

eral

no

baz

iran

i na

refe

ren

ci [

2]

7. MINIMIZACIJA LOGIČKIH FUNKCIJA C1

7.3. Grafička metoda minimizacije logičkih funkcija: Vejč-Karno metoda

Matodu je posatvio Vejč (E.W.Veitch), a modifikaovao Karno (M. Karnaugh).

Edward W. VeitchBorn November 4, 1924Englewood, New JerseyDied December 23, 2013 (aged 89)Citizenship AmericanAlma mater Harvard UniversityKnown for optimization of digital circuitsScientific careerFields Computer Science

Metoda Vejč-Karno je grafička metoda

minimizacije logičkih funkcija.

Pogodna za minimizaciju logičkih funkcija

koje imaju do 6 nezavisnih logičkih varijabli.

Iznad ovog broja, metod je nepregledna.

13Др Милован Миливојевић дипл. инж. /// ВПТШ Ужице 22.01.19

1Sl

ajd

ovi

su

gen

eral

no

baz

iran

i na

refe

ren

ci [

2]

7. MINIMIZACIJA LOGIČKIH FUNKCIJA C1

14Др Милован Миливојевић дипл. инж. /// ВПТШ Ужице 22.01.19

1Sl

ajd

ovi

su

gen

eral

no

baz

iran

i na

refe

ren

ci [

2]

7. MINIMIZACIJA LOGIČKIH FUNKCIJA C1

Kako su koncipirane V-K mape?

PRIMER za n=4.

Gray code

n=4 1.

---- ---- X1 ---- ---- X2

0 7 8 15

| 1 6 9 14

| | 2 5 10 13

| 3 4 11 12

X3 X4

Poseban pocetni raspored decimalnih

indeksa! Ovaj raspored ce u Grejevom

kodu obezbediti da celije budu susedne i

fizicki

2. Kodiranje Gray code-om

---- ---- X1 ---- ---- X2

0000 0100 1100 1000

| 0001 0101 1101 1001

| | 0011 0111 1111 1011

| 0010 0110 1110 1010

X3 X4 Svake dve ćelije su susedne (razlikuju se po

vertikali i po horizonatali za po jednu

jedinicu)

3. Kood tretirati kao binarni decimalni

kood

---- ---- X1 ---- ---- X2

0 4 12 8

| 1 5 13 9

| | 3 7 15 11

| 2 6 14 10

X3 X4 Svake dve ćelije su susedne (razlikuju se

po vertikali i po horizonatali za po jednu

jedinicu)

Primer: 7=0111

15Др Милован Миливојевић дипл. инж. /// ВПТШ Ужице 22.01.19

1Sl

ajd

ovi

su

gen

eral

no

baz

iran

i na

refe

ren

ci [

2]

7. MINIMIZACIJA LOGIČKIH FUNKCIJA C1

4. Popuna V-K mape za zadatu funkciju

V-K dijagram se popunjava tako da se polja, koja odgovaraju mintermovima, za koje je

vrednost funkcije jednaka 1if , stavlja symbol 1, a u ostala polja symbol 0, i takva

polja se nazivaju jedničnim odnosno nultim, respektivno.

Fizička susednost omogućava primenu teoreme o sažimanju.

Ovakav par susednih polja se u dijagramu zaokužava i obuhvata konturom koja obuhvata

dva susedna jedinična polja. Konturom obuhvaćeni par predstavlja implikantu iz koje je

otpala promenljiva koja je u jednom susednom polju u negaciji a u drugom u afirmaciji.

16Др Милован Миливојевић дипл. инж. /// ВПТШ Ужице 22.01.19

1Sl

ajd

ovi

su

gen

eral

no

baz

iran

i na

refe

ren

ci [

2]

7. MINIMIZACIJA LOGIČKIH FUNKCIJA C1

17Др Милован Миливојевић дипл. инж. /// ВПТШ Ужице 22.01.19

1Sl

ajd

ovi

su

gen

eral

no

baz

iran

i na

refe

ren

ci [

2]

7. MINIMIZACIJA LOGIČKIH FUNKCIJA C1

18Др Милован Миливојевић дипл. инж. /// ВПТШ Ужице 22.01.19

1Sl

ajd

ovi

su

gen

eral

no

baz

iran

i na

refe

ren

ci [

2]

7. MINIMIZACIJA LOGIČKIH FUNKCIJA C1

19Др Милован Миливојевић дипл. инж. /// ВПТШ Ужице 22.01.19

1Sl

ajd

ovi

su

gen

eral

no

baz

iran

i na

refe

ren

ci [

2]

7. MINIMIZACIJA LOGIČKIH FUNKCIJA C1

20Др Милован Миливојевић дипл. инж. /// ВПТШ Ужице 22.01.19

1Sl

ajd

ovi

su

gen

eral

no

baz

iran

i na

refe

ren

ci [

2]

7. MINIMIZACIJA LOGIČKIH FUNKCIJA C1

21Др Милован Миливојевић дипл. инж. /// ВПТШ Ужице 22.01.19

1Sl

ajd

ovi

su

gen

eral

no

baz

iran

i na

refe

ren

ci [

2]

7. MINIMIZACIJA LOGIČKIH FUNKCIJA C1

Pri obrazovanju kontura ista polja se mogu naći u različitim konturama, što je u

saglasnosti sa postavkama tabelarne metode, da se svaki proizvod sažima sa svim

susednim proizvodima.

Ukupnan broj kontura koje prekrivaju sva jedinična polja predstavljaju dovoljan skup

prostih implikanti, između kojih će se birati minimalan skup saglasno uslovima

minimizacije (minimalan ukupan broj kontura sa najvećim obuhvatom jediničnih polja).

Iz prethodnog slede pravila za Vejč-Karnoov-u metodu:

1. Konturama obuhvatiti što više jediničnih polja jer se time dobijaju implikante

nižeg reda.

2. Broj kontura da bude što manji, jer se time dobija manji broj članova MDNF

3. Jedinična polja moraju biti sva pokrivena konturama.

4. Jedinična polja mogu biti višestruko obuhvaćena konturama.

5. MDNF se dobija kada se konture logički saberu.

22Др Милован Миливојевић дипл. инж. /// ВПТШ Ужице 22.01.19

1Sl

ajd

ovi

su

gen

eral

no

baz

iran

i na

refe

ren

ci [

2]

7. MINIMIZACIJA LOGIČKIH FUNKCIJA C1

23Др Милован Миливојевић дипл. инж. /// ВПТШ Ужице 22.01.19

1Sl

ajd

ovi

su

gen

eral

no

baz

iran

i na

refe

ren

ci [

2]

7. MINIMIZACIJA LOGIČKIH FUNKCIJA C1

Vejč-Karno metoda i minimalna konjuktivna normalna forma

Važe slični postupci.

Obuhvataju se NULTA polja.

Konturama obuhvaćena nulta polja odgovaraće disjunkciji negiranih promenljivih.

Nakon toga se konture konjuktivno povezuju.

24Др Милован Миливојевић дипл. инж. /// ВПТШ Ужице 22.01.19

1Sl

ajd

ovi

su

gen

eral

no

baz

iran

i na

refe

ren

ci [

2]

7. MINIMIZACIJA LOGIČKIH FUNKCIJA C1

25Др Милован Миливојевић дипл. инж. /// ВПТШ Ужице 22.01.19

1Sl

ajd

ovi

su

gen

eral

no

baz

iran

i na

refe

ren

ci [

2]

7. MINIMIZACIJA LOGIČKIH FUNKCIJA C1

NEPOTPUNE LOGIČKE FUNKCIJE

Logička funkcija čija vrednost nije definisana na svih 2n slogova u oblasti definisanosti

nayiva se nepotpunom logičkom funkcijom.

Kod tabelarnog opisa logičke funkcije, neodređena stanja se obeležavaju sa -, a kod

analitičkog zapisa sa d (don’t care-condition).

i x1 x2 x3 fi 0 0 0 0 1 3

min (0,1,5,6)y

(3,4)d

1 0 0 1 1

2 0 1 0 0

3 0 1 1 -

4 1 0 0 -

5 1 0 1 1

6 1 1 0 1

7 1 1 1 0

Minimizacija se može sprovesti TABELARNO i GRAFIČKI.

Kod Tabelarne metode se, u procesu sažimanja, odnosno dobijanja potpunog skupa

prostih implikanata, zabranjena stanja posmatraju kao JEDINIČNA.

Kod GRAFIČKE metode potrebno je da se vrednosti za -, postavljaju tako da bude što

manje kontura većeg obuhvata.

Pri minimizaciji funkcija vrednosti za neodre]ena stanja se biraju tako što se uzimaju 0 ili

1 sa ciljem da se dobije što prostiji algebarski izraz logičke funkcije.

26Др Милован Миливојевић дипл. инж. /// ВПТШ Ужице 22.01.19

1Sl

ajd

ovi

su

gen

eral

no

baz

iran

i na

refe

ren

ci [

2]

7. MINIMIZACIJA LOGIČKIH FUNKCIJA C1

Grafička metoda

27Др Милован Миливојевић дипл. инж. /// ВПТШ Ужице 22.01.19

1Sl

ajd

ovi

su

gen

eral

no

baz

iran

i na

refe

ren

ci [

2]

7. MINIMIZACIJA LOGIČKIH FUNKCIJA C1

i x1 x2 x3 fi 0 0 0 0 1 3

min (0,1,5,6)y

(3,4)d

1 0 0 1 1

2 0 1 0 0

3 0 1 1 -

4 1 0 0 -

5 1 0 1 1

6 1 1 0 1

7 1 1 1 0

Tabelarna metoda:

Korak 1:

i x1 x2 x3 fi 0 0 0 0 1 3

min (0,1,3,4,5,6)y

1 0 0 1 1

2 0 1 0 0

3 0 1 1 1

4 1 0 0 1

5 1 0 1 1

6 1 1 0 1

7 1 1 1 0

Korak 2:

Tabela I

Broj simb. 1

Decimalni ekvivalent

Binarni prikaz

Sažimanje

0 0 000 +

1 1 4

001 100

+ +

2 3 5 6

011 101 110

+ + +

Korak 3:

Korak 4:Tabela II

Decimalni ekvivalent

Binarni prikaz Sažimanje

0,1 0,4

00- -00

+

d= 2 3x x

1,3 1,5 4,5 4,6

0-1 -01 10- 1-0

+ +

c= 1 3x x

b= 31x x

Tabela IIIa

Decimalni ekvivalent

Binarni prikaz Sažimanje

0,1,4,5 0,4,1,5

-0- -0-

Tabela IIIb

Decimalni ekvivalent

Binarni prikaz Sažimanje

0,1,4,5 -0- a= 2x

Korak 5:

28Др Милован Миливојевић дипл. инж. /// ВПТШ Ужице 22.01.19

1Sl

ajd

ovi

su

gen

eral

no

baz

iran

i na

refe

ren

ci [

2]

7. MINIMIZACIJA LOGIČKIH FUNKCIJA C1

VAŽNO!!!

U Tabeli pokrivanja figurišu samo jedinična stanja, iyražena decimalnim ekvivalentima

0,1,5, i 6, jer je početni zadatak bio minimizacija (3

min (0,1,5,6)y , (3,4)d )

0 1 5 6

a*=0,1,4,5; 2x + +

b=4,6; 31x x +

c=1,3; 1 3x x +

d=0,4; 2 3x x +

Sledi da je implikanta a esencijalna, pa je

Ymin=a+b= 2x + 2 3x x

29Др Милован Миливојевић дипл. инж. /// ВПТШ Ужице 22.01.19

1Sl

ajd

ovi

su

gen

eral

no

baz

iran

i na

refe

ren

ci [

2]

7. MINIMIZACIJA LOGIČKIH FUNKCIJA C1

30Др Милован Миливојевић дипл. инж. /// ВПТШ Ужице 22.01.19

1Sl

ajd

ovi

su

gen

eral

no

baz

iran

i na

refe

ren

ci [

2]

7. MINIMIZACIJA LOGIČKIH FUNKCIJA C1

31Др Милован Миливојевић дипл. инж. /// ВПТШ Ужице 22.01.19

1Sl

ajd

ovi

su

gen

eral

no

baz

iran

i na

refe

ren

ci [

2]

7. MINIMIZACIJA LOGIČKIH FUNKCIJA C1

32Др Милован Миливојевић дипл. инж. /// ВПТШ Ужице

5. MINIMIZACIJA LOGIČKIH FUNKCIJA A1

22.01.19

Reference

[1] Drndarevic D., Upravljanje procesima – priručnik, Visoka poslovno-tehnička škola, Užice

2015.

[2] Zarić S., Automatizacija proizvodnje, Mašinski fakultet, Beograd, 1987.

[3] https://logic.ly/demo/

[4] https://en.wikipedia.org./wiki/Espresso_heuristic_logic_minimzer

[5] http://www.mathematik.uni-marburg.de/~thormae/lectures/ti1/code/qmc/

33Др Милован Миливојевић дипл. инж. /// ВПТШ Ужице

HvalanaPAŽNJI!!!

4. 5. MINIMIZACIJA LOGIČKIH FUNKCIJA A1

22.01.19