22
a junta Basys2 es un diseño de circuitos y plataforma de implementación que cualquiera puede utilizar para ganar experiencia en la construcción de circuitos digitales reales. Construido alrededor de un campo de Xilinx Spartan-3E Programmable Gate Array y un Atmel AT90USB2 controlador USB, el consejo Basys2 ofrece completa de hardware, listos para usar adecuado para circuitos de alojamiento que van desde básico dispositivos lógicos a los controladores complejos. Una gran colección de dispositivos integrados de E / S y todos circuitos de soporte FPGA requeridos se incluyen, así innumerables diseños se pueden crear sin la necesidad de ningún otro componente. Cuatro conectores de expansión estándar permiten diseños para crecer más allá de la junta Basys2 utilizando placas universales, el usuario diseñado circuito tablas o Pmods (Pmods son baratos módulos analógicos y digitales de E / S que ofrecen A / D Y D / A, controladores de motor, sensor insumos, y muchas otras características). Señales en los conectores de 6 pines están protegidos contra Daños por ESD y cortocircuitos, garantizando una larga vida útil en cualquier entorno. La Tablero Basys2 funciona a la perfección con todo versiones de las herramientas de Xilinx ISE, incluido el WebPack libre. Viene con un cable USB que proporciona

a junta Basys2 es un diseño de circuitos y

  • Upload
    sxhxc

  • View
    105

  • Download
    0

Embed Size (px)

Citation preview

Page 1: a junta Basys2 es un diseño de circuitos y

a junta Basys2 es un diseño de circuitos yplataforma de implementación que cualquiera puede utilizarpara ganar experiencia en la construcción de circuitos digitales reales.Construido alrededor de un campo de Xilinx Spartan-3EProgrammable Gate Array y un AtmelAT90USB2 controlador USB, el consejo Basys2ofrece completa de hardware, listos para usaradecuado para circuitos de alojamiento que van desde básicodispositivos lógicos a los controladores complejos. Una grancolección de dispositivos integrados de E / S y todoscircuitos de soporte FPGA requeridos se incluyen,así innumerables diseños se pueden crear sinla necesidad de ningún otro componente.Cuatro conectores de expansión estándar permitendiseños para crecer más allá de la junta Basys2utilizando placas universales, el usuario diseñado circuitotablas o Pmods (Pmods son baratosmódulos analógicos y digitales de E / S que ofrecen A / DY D / A, controladores de motor, sensorinsumos, y muchas otras características). Señales enlos conectores de 6 pines están protegidos contraDaños por ESD y cortocircuitos, garantizando unalarga vida útil en cualquier entorno. LaTablero Basys2 funciona a la perfección con todoversiones de las herramientas de Xilinx ISE, incluido el WebPack libre. Viene con un cable USB que proporcionapotencia y una interfaz de programación, por lo que no se requieren otras fuentes de alimentación o cables de programación.La junta Basys2 puede obtener energía y ser programado a través de su puerto USB 2 de a bordo. Digilent es librementeprogramas disponibles Adept basado en PC detecta automáticamente la tarjeta Basys2, ofrece una programacióntransferencias de datos de interfaz de usuario para la FPGA y la Plataforma Flash ROM, y permite (véasewww.digilentinc.com para más información).La junta Basys2 está diseñado para trabajar con el software libre ISE WebPack CAD de Xilinx.WebPack se puede utilizar para definir circuitos que utilizan esquemas o HDL, para simular y sintetizarcircuitos y crear archivos de programación. Webpack se puede descargar de forma gratuita en

Page 2: a junta Basys2 es un diseño de circuitos y

www.xilinx.com/ise/.Las naves Basys2 mesa con un built-in self-test/demo almacenados en su ROM que se pueden utilizar para probar todocaracterísticas de la placa. Para ejecutar la prueba, coloque el puente de modo (véase más adelante) a la ROM y aplicar alimentación de la placa. Sila prueba se borra de la memoria ROM, que se puede descargar y volver a instalar en cualquier momento. Verwww.digilentinc.com/Basys2 para el proyecto de prueba, así como documentación adicional, diseños de referencia,y tutoriales

Power BoardLa junta Basys2 suele alimentado por un cable USB, pero unaconector de la batería también se proporciona para que los suministros externos pueden serutilizado. Para utilizar la alimentación USB, simplemente conecte el cable USB. Para poderel Basys2 utilizando una fuente externa batería u otra, adjunte una 3.5V-Batería 5.5V (u otra fuente de energía) a la 2-pin, 100-milconector de la batería espacio (tres pilas AA en serie un buen4.5 + / - voltios). Tensiones superiores a 5,5 V a cada potenciaconector puede causar daño permanente.La energía de entrada se enruta a través del interruptor de alimentación (SW8) para los cuatroConectores de expansión de 6 pines ya un Linear Technology LTC3545regulador de voltaje. El LTC3545 produce el principal suministro de 3.3Vpara el tablero, y también impulsa reguladores secundarios para producirlas tensiones de 2,5 V y 1,2 V requeridos por el FPGA. Totalactual junta depende de FPGA de configuración, frecuencia de reloj, y conexiones externas. En pruebascircuitos con aproximadamente 20 mil puertas enrutadas, una fuente de reloj de 50 MHz, y todos los LED iluminados, alrededor de 100 mAde la corriente se extrae de la fuente 1.2 V, 50 mA de la alimentación 2,5 V y 50 mA de la alimentación de 3.3V.Corriente requerida aumentará si los circuitos más grandes se configuran en el FPGA, o si las juntas periféricas sonadjunta.La junta Basys2 utiliza un PCB de cuatro capas, con las capas internas dedicadas a VCC y GND aviones.

Page 3: a junta Basys2 es un diseño de circuitos y

El FPGA y el otro en el tablero de circuitos integrados tienen un gran complemento de los condensadores de bypass de cerámicacolocado tan cerca como sea posible a cada pin VCC, lo que resulta en una fuente de alimentación muy limpio, de bajo ruido.ConfiguraciónTras el encendido, la FPGA en el tablero Basys2 debe configurarse antes de poder realizar cualquier utilidadfunciones. Durante la configuración, el archivo "poco" se transfiere a las células de memoria dentro de la FPGA para definirlas funciones lógicas y las interconexiones de circuito. El software libre ISE / CAD WebPack de Xilinx puedepuede utilizar para crear archivos de un bit de VHDL, Verilog, o archivos de origen esquema de base.Programa basado en PC de Digilent llamada Adept se puede utilizar para configurar el FPGA con cualquier archivo poco adecuadoalmacenada en el ordenador. Adept utiliza el cable USB para transferir un archivo de bits seleccionado de la PC a laFPGA (a través del puerto de programación JTAG del FPGA). Adept También puede programar un archivo de bits en un bordoROM no volátil llamada "plataforma Flash". Una vez programado, la Plataforma Flash puede automáticamentetransferir un archivo de bits almacenado a la FPGA en un evento de encendido o reinicio posterior si el Jumper de Modo(JP3) se establece en ROM. El FPGA permanecerá configurado hasta que se restablece por un evento de apagado y encendido. LaPlataforma Flash ROM mantendrá un archivo de bits hasta que se reprograma, independientemente de los eventos del ciclo de alimentación

Para la programación Basys2, establezca el modopuente para PC y conecte el cable USB a lael tablero. Inicie el software de Adept, yesperar a que la FPGA y la plataforma FlashROM para ser reconocido. Utilice el botón Examinarfunción para asociar el archivo. poco deseada conla FPGA, y / o el. archivo deseado con mcsla Plataforma Flash ROM. Haga clic en eldispositivo que desea programar, y seleccione elFunción de "programa". El archivo de configuraciónserá enviada a la FPGA o plataforma Flash,

Page 4: a junta Basys2 es un diseño de circuitos y

y el software indicará sila programación se ha realizado correctamente. El "EstadoLED "LED (LD_8) también parpadea después de laFPGA se ha configurado correctamente.Para más información sobre el uso de Adept,Por favor, consulte la documentación de Adeptdisponible en el sitio web Digilent.OsciladoresLa junta Basys2 incluye un oscilador principal, configurable por el usuario de silicio que produce 25 MHz, 50 MHz, o100 MHz basado en la posición del reloj seleccionar jumper en JP4. Inicialmente, este puente no está cargadoy debe ser soldado en su lugar. Un conector para un segundo oscilador se proporciona en IC6 (toma IC6 puedeadaptarse a cualquier oscilador CMOS 3.3V en un paquete DIP de tamaño medio). La primaria y secundariaosciladores están conectados a la entrada de reloj globalclavijas en pin B8 y el pin M6 respectivamente.Ambas entradas de reloj pueden impulsar el sintetizador de relojDLL en el Spartan 3E, lo que permite una amplia gamasi las frecuencias internas, a partir de 4 veces la entradafrecuencia a cualquier divisor entero de la entradafrecuencia.El oscilador de silicio primario es flexible ybarato, pero carece de la estabilidad de la frecuencia deun oscilador de cristal. Algunos circuitos que conducen a unMonitor VGA puede realizar una ligera mejora en laestabilidad de la imagen mediante el uso de un oscilador de cristalinstalado en el zócalo IC6. Para estas aplicaciones,un 25 MHz (o 50 MHz) oscilador de cristal, disponiblesde cualquier distribuidor catálogo, se recomienda(Ver por ejemplo el número de pieza SG-8002JF-PCC enwww.digikey.com)

Usuario I / OCuatro pulsadores y ocho interruptores deslizantes

Page 5: a junta Basys2 es un diseño de circuitos y

se proporcionan para entradas del circuito. Pulsadorentradas son normalmente bajos y conducido altasólo cuando se pulsa el botón pulsador.Interruptores deslizantes generan alta constante obajos insumos en función de la posición.Botones pulsadores e interruptores deslizantes tienenresistencias en serie para la protección contracortocircuitos (se produciría un cortocircuito siun pin de FPGA asignada a un pulsador ointerruptor deslizante se definió como inadvertidamenteuna salida).Ocho LEDs y cuatro dígitos de sietepantalla LED de segmento se proporcionan para lossalidas del circuito. Ánodos LED son accionadosdesde la FPGA a través de limitador de corrienteresistencias, por lo que se encienden cuando un'1 'lógico se escribe en el correspondienteFPGA pin. Un noveno LED se proporciona como unLED indicador de alimentación y el LED décimo(LD-D) se ilumina cada vez que el FPGA tienesido programado con éxito.Display de siete segmentosCada uno de los cuatro dígitos del sietepantalla LED segmento se compone desiete segmentos LED dispuestos en una "figura8 "patrón. LEDs segmento puede seriluminado de forma individual, por lo que cualquiera de los 128 patrones se pueden mostrar en un dígito determinado iluminanteSegmentos LED y dejando a los demás oscuro. De estos 128 patrones posibles, los diez que corresponde alos dígitos decimales son los más útiles.Los ánodos de los siete LEDs formando cada dígito están unidos en un solo circuito de ánodo comúnnodo, pero los cátodos LED permanecen separados. Las señales comunes del ánodo están disponibles en cuatro "dígitosactivar "las señales de entrada a la pantalla de 4 dígitos. Los cátodos de segmentos similares en las cuatro pantallas sonconectados en siete nodos de circuitos marcados CA a través de CG (así, por ejemplo, los cuatro cátodos "D"a partir de los cuatro dígitos se agrupan en un único nodo de circuito denominado "CD"). Estos siete cátodo

Page 6: a junta Basys2 es un diseño de circuitos y

señales están disponibles como insumos para la pantalla de 4 dígitos. Este esquema de conexión de la señal crea unpantalla multiplexada, donde las señales de cátodo son comunes a todos los dígitos pero sólo pueden iluminarlos segmentos de la cifra correspondiente cuya señal ánodo se afirma.Un circuito controlador de pantalla de escaneo se puede utilizar para mostrar un número de cuatro dígitos que aparece en esta pantalla. Estecircuito conduce las señales de ánodo y de cátodo patrones correspondientes de cada dígito en una repetición,sucesión continua, a una tasa de actualización que es más rápida que la respuesta del ojo humano. Cada dígito esiluminado sólo una cuarta parte del tiempo, pero debido a que el ojo no puede percibir el oscurecimiento de un dígitoantes de que se enciende de nuevo, el dígito que aparece iluminado continuamente. Si la actualización o la tasa de "refresh"se redujo a un punto dado (alrededor de 45 hertz), entonces la mayoría de la gente comenzará a ver la pantalla parpadee

Para cada una de las cuatro dígitos que pueden aparecerbrillante y continuamente iluminado, todocuatro dígitos deben ser conducidos una vez cada 1a 16 ms (para una frecuencia de refresco de1 kHz a 60 Hz). Por ejemplo, en un 60Hzesquema de actualización, la pantalla completa haríase actualice una vez cada 16 ms, ycada dígito serían iluminados durante ¼ deel ciclo de actualización, o 4 ms. El controladordebe asegurar que el cátodo correctapatrón está presente cuando elseñal de ánodo correspondiente es accionado.Para ilustrar el proceso, si es AN1afirmó mientras CB y CC se afirman, a continuación, un "1" se mostrará en la posición del dígito 1. Entonces, si es AN2afirmó mientras CA, CB y CC se afirman, entonces un "7" se mostrará en la posición del dígito 2. Si A1 y

Page 7: a junta Basys2 es un diseño de circuitos y

CB, CC son impulsados por 4 ms, y luego A2 y CA, CB, CC son impulsados por 4 ms en un sin finseguidas, la pantalla mostrará "17" en los dos primeros dígitos. La Figura 8 muestra un ejemplo de temporizacióndiagrama para un controlador de siete segmentos de cuatro dígitos.Puerto PS / 2El conector mini-DIN de 6 pines puede alojar a un ratón PS / 2 o el teclado. El conector PS / 2 essuministrado con 5VDC.Tanto el ratón como el teclado usan un bus serial de dos hilos (reloj y datos) para comunicarse con un hostdispositivo. Tanto el uso de palabras de 11 bits que incluyen una marcha, paro y bit de paridad impar, pero los paquetes de datos sonorganizada de manera diferente, y la interfaz de teclado permite transferencias de datos bidireccionales (por lo que el anfitrióndispositivo se iluminarán los LED de estado en el teclado). Horarios de autobuses se muestran en la figura.Las señales de reloj y de datos sólo se accionan cuando se producen las transferencias de datos, y de lo contrario, se llevan a cabo enel estado "inactivo" a 1 "lógico. Los horarios se definen los requisitos de señal para las comunicaciones del ratón a hosty las comunicaciones bi-direccionales del teclado. Un circuito de interfaz PS / 2 puede ser implementado en elFPGA para crear una interfaz de teclado o ratón

TecladoEl teclado utiliza controladores de colector abierto para que elteclado o un dispositivo host conectado puede conducir elbus de dos hilos (si el dispositivo de acogida no enviará los datos ael teclado, el host puede utilizar sólo de entrada de los puertos).Teclados estilo PS2 utilizan códigos de análisis paracomunicar los datos de pulsación de teclas. Cada tecla tiene asignado uncódigo que se envía cada vez que se pulsa la tecla, y si else mantiene pulsado, se enviará el código de exploraciónrepetidamente una vez cada 100 ms. Cuando una tecla espuesto en libertad, un "F0" código de la llave-up es enviado, seguido por elescanear el código de la llave en libertad. Si una llave puede ser "desplazado" para producir un nuevo personaje (como un capital

Page 8: a junta Basys2 es un diseño de circuitos y

carta), entonces un cambio de carácter se envía además del código de exploración, y el host debe determinar quéDe caracteres ASCII de usar. Algunas claves, llamadas teclas extendidas, envíe un "E0" delante del código de exploración (ypueden enviar más de un código de exploración). Cuando se suelta una tecla extendida, un "E0 F0" key-upcódigo es enviado, seguido por el código de exploración. Escanear códigos para la mayoría de las teclas se muestran en la figura. Un hostdispositivo también puede enviar datos al teclado. A continuación se muestra una breve lista de algunos comandos comunes de una seriepuede enviar.EDSet Num Lock, Caps Lock y Scroll Lock LEDs. Teclado devuelve "FA" después de recibir "ED",entonces host envía un byte para establecer el estado del LED: Bit 0 establece Scroll Lock, bit 1 establece Num Lock, y Bit 2establece bloqueo de mayúsculas. Se ignoran los bits 3 a 7.EEEcho (test). Teclado devuelve "EE" después de recibir "EE".F3Establecer código de exploración velocidad de repetición. Teclado devuelve "F3" en la recepción de "FA", entonces host envía segundobyte para ajustar la velocidad de repetición.FEEnviar de nuevo. "FE" dirige teclado para volver a enviar el código de exploración más reciente.FFRestablecer. Restablece el teclado.El teclado puede enviar datos al host cuando tanto las líneas de datos y reloj son altos (o inactivo).Dado que el anfitrión es el "maestro del bus", el teclado debe comprobar para ver si el host está enviando datosantes de conducir el autobús. Para facilitar esto, la línea de reloj se utiliza como un "claro para enviar" señales. Si el hosttira de la línea de bajo del reloj, el teclado no tiene que enviar los datos hasta que se libere el reloj.

El teclado envía datos al host en palabras de 11 bits que contienen un bit de inicio '0 ', seguido de 8 bits decódigo de exploración (LSB primero), seguido por un bit de paridad impar y terminó con un 1 bit de parada. El teclado

Page 9: a junta Basys2 es un diseño de circuitos y

genera 11 transiciones del reloj (en torno al 20 - 30 KHz) cuando se envían los datos, y los datos son válidos en elbajada del reloj

RatónEl ratón da salida a una señal de reloj y de datos cuando se mueve, de lo contrario, estas señales se mantienen en la lógica'1 '. Cada vez que se mueve el ratón, tres palabras de 11 bits se envían desde el ratón al dispositivo host.Cada una de las palabras de 11 bits contiene un bit '0 'comienzo, seguido por 8 bits de datos (LSB primero), seguido por unabit de paridad impar, y terminó con un 1 bit de parada. Por lo tanto, cada transmisión de datos contiene 33 bits, dondelos bits 0, 11 y 22 son '0 'bits de inicio, y los bits 11, 21 y 33 son '1' bits de parada. Los tres campos de datos de 8 bitscontener datos de movimiento como se muestra en la figura anterior. Datos válidos en el flanco de bajada del reloj, yel periodo de reloj es de 20 a 30KHz.El ratón se asume un sistema de coordenadas relativo en el que se mueve el ratón hacia la derecha genera unnúmero positivo en el campo X, y moviéndose hacia la izquierda genera un número negativo. Del mismo modo, moviendoel ratón hacia arriba genera un número positivo en el campo Y, y se extiende hacia representa un negativonúmero (los bits XS YS y en el byte de estado son los bits de signo - un '1 'indica un número negativo).La magnitud de los números de X e Y representan la tasa de movimiento del ratón - cuanto mayor sea elnúmero, más rápido se está moviendo el ratón (los bits XV y YV en el byte de estado se desborde el movimientoSe ha producido un '1 'significa desbordamiento) - indicadores. Si el ratón se mueve constantemente, la de 33 bitstransmisiones se repiten cada 50 ms o menos. Los campos L y R en el byte de estado indican izquierda yBotón derecho prensas (un '1 'indica que se presiona el botón)

Puerto VGA

Page 10: a junta Basys2 es un diseño de circuitos y

La junta Basys2 utiliza 10 señales FPGAcrear un puerto VGA con color de 8 bits y los dosseñales de sincronización estándar (HS - Sync Horizontal,y VS - Sincronización vertical). Las señales de color utilizanCircuitos de resistencias divisoras-que trabajan en conjuntocon la resistencia de terminación de 75 ohmios delPantalla VGA para crear ocho niveles de señal en laseñales VGA color rojo y verde, y cuatro en azul(El ojo humano es menos sensible a los niveles de color azul).Este circuito, que se muestra en la figura 13, produce vídeoseñales de color que procedan en incrementos igualesentre 0 V (completamente apagado) y 0,7 V (completamente activado). Lacircuito controlador de vídeo se debe crear en elFPGA para impulsar las señales de sincronización y el color conla sincronización correcta con el fin de producir un trabajosistema de visualización.VGA de temporización del sistemaCadencia de las señales VGA se especifican, publicados,derechos de autor y vendido por la organización VESA(Www.vesa.org). El sistema de VGA siguienteinformación de temporización se proporciona como un ejemplo decómo un monitor VGA puede ser conducido en 640 porEl modo 480. Para obtener información más precisa, o parainformación sobre otras frecuencias VGA, consulte la documentación disponible en el sitio web de VESA.Pantallas VGA CRT-basados utilizan haces de electrones en movimiento de amplitud modulada (o rayos catódicos) paramostrar la información en una pantalla recubierta de fósforo. Pantallas LCD utilizan una serie de interruptores que puedenimponer una tensión a través de una pequeña cantidad de cristal líquido, cambiando de este modo la luz a través de permitividadel cristal sobre una base de píxel por píxel. Aunque la siguiente descripción se limita a las pantallas CRT, LCDpantallas han evolucionado para utilizar la misma señaltiempos como las pantallas CRT (por lo que las "señales"discusión a continuación se refiere a los dos tubos de rayos catódicos yLCD). Monitores CRT de color utilizan tres electronesvigas (uno para el rojo, uno para el azul, y uno paraverde) para excitar el fósforo que recubreel lado interior del extremo de la presentación de un cátodotubo de rayos (ver ilustración). Los haces de electrones

Page 11: a junta Basys2 es un diseño de circuitos y

emanar de "cañones de electrones", que soncátodos calientes finamente puntas colocadas enestrecha proximidad a una carga positivaplaca anular denomina una "red". La electrostáticafuerza impuesta por la red de tira de rayoselectrones energizados de los cátodos, yesos rayos son alimentados por la corriente que fluyeen los cátodos. Estos rayos son partículasaceleraron inicialmente hacia la rejilla, peropronto caer bajo la influencia de la muymayor fuerza electrostática que resulta de la

superficie de la pantalla recubierta de fósforo total de la CRT siendo cargada a 20 kV (o más). Los rayos sonenfocado a un haz fino de radiación a medida que pasan a través del centro de las rejillas, y luego se aceleran aimpacto en la superficie de la pantalla recubierta de fósforo. La superficie de fósforo brilla intensamente el impactopunto, y sigue encendido por varios cientos de microsegundos después de quitar la viga. Lamayor es la corriente alimentada en el cátodo, más brillante que el fósforo se iluminará.Entre la rejilla y la superficie de la pantalla, el haz pasa a través del cuello del CRT donde dosbobinas de alambre producen campos electromagnéticos ortogonales. Debido a que los rayos catódicos se componen departículas cargadas (electrones), que pueden ser desviadas por estos campos magnéticos. Formas de onda de corriente sonpasado a través de las bobinas para producir campos magnéticos que interactúan con los rayos catódicos y causartransversal a la superficie de la pantalla en un patrón "trama", horizontalmente de izquierda a derecha y verticalmentede arriba a abajo. Como el rayo catódico se mueve sobre la superficie de la pantalla, la corriente enviada a lacañones de electrones puede ser aumentado o disminuido para cambiar el brillo de la pantalla en el cátodopunto de impacto del rayo.La información sólo se muestra cuando el haz se mueve en la dirección "hacia adelante" (de izquierda a derecha y de arribahacia abajo), y no durante el tiempo que el haz se restablece de nuevo al borde izquierdo o superior de la pantalla. Mucho

Page 12: a junta Basys2 es un diseño de circuitos y

por lo tanto, el tiempo de visualización de potencial se pierde en períodos "ciegos" cuando el haz se pone a cero yestabilizado para comenzar un nuevo pase de visualización horizontal o vertical. El tamaño de los haces, la frecuencia a lael cual el haz puede ser rastreado a través de la pantalla, y la frecuencia a la que el haz de electrones puedeser modulada determinar la resolución de pantalla. Pantallas VGA moderno con capacidad diferenteresoluciones, y un controlador de VGACircuito dicta la resoluciónproducción de señales de temporización para controlar elpatrones de trama. El responsable del tratamientoproducir impulsos de sincronización a 3.3V(O 5 V) para ajustar la frecuencia a la quelos flujos de corriente a través de la deflexiónbobinas, y debe asegurarse de que el vídeolos datos se aplica a los cañones de electrones enla hora correcta. Raster vídeo muestradefinir un número de "líneas" quese corresponde con el número dehorizontal pasa el cátodo hacesobre el área de visualización, y un número de"Columnas" que corresponde a un áreaen cada fila que se asigna a uno"Elemento de imagen" o píxel. Típicopantallas utilizan 240-1200 filasy 320 a 1600 columnas. Latamaño total de una pantalla y elnúmero de filas y columnasdetermina el tamaño de cada píxel.Los datos de vídeo normalmente proviene de unamemoria de vídeo de actualización, con uno omás bytes asignados a cada píxelubicación (la Basys2 utiliza tres bitspor píxel). El controlador debe indexaren la memoria de vídeo que el movimiento vigasa través de la pantalla, y recuperar y aplicar los datos de vídeo a la pantalla precisamente en el momento del electrón haz se mueve a través de un píxel dado.Un circuito controlador de VGA debe generar elSA y VS horarios señales y coordenadas

Page 13: a junta Basys2 es un diseño de circuitos y

la entrega de datos de vídeo basado en el píxelreloj. El reloj de píxel define el tiempodisponible para mostrar un píxel de información.La señal VS define el "refresh"frecuencia de la pantalla, o la frecuencia a laque es toda la información en la pantallavuelve a dibujar. La frecuencia mínima de actualización esuna función de fósforo y de la pantallala intensidad del haz de electrones, con la prácticafrecuencias de actualización que caen en el 50 Hz aRango de 120Hz. El número de líneas que seaparece con una frecuencia de refresco dadodefine la frecuencia horizontal "retroceso".Para un 640 píxeles por pantalla 480-seguidos con un25 MHz de reloj de píxeles y 60 + /-1Hz actualización,la cadencia de las señales que aparecen en la tabla de la derechapueden ser derivados. Tiempos para el ancho de pulso de sincronización y los intervalos de porche delantero y trasero (intervalos de porche sonlos tiempos pre-y post-sincronización de pulso durante el cual no se puede mostrar la información) se basan enobservaciones tomadas de pantallas VGA reales.Un circuito controlador VGA decodifica la salida de un contador horizontal de sincronización impulsado por el reloj de píxeles agenerar cadencia de las señales del SA. Este contador se puede utilizar para localizar cualquier ubicación de píxel en una fila dada.Del mismo modo, la salida de un contador de sincronización vertical que se incrementa con cada SA pulso se puede utilizar paragenerar las temporizaciones de señal VS, y este contador se puede utilizar para localizar cualquier fila dada. Estos doscontadores se ejecutan continuamente se pueden utilizar para formar una dirección en la RAM de vídeo. No hay relación de tiempoentre el inicio del SA pulso y el inicio de la VS pulso se especifica, por lo que el diseñador puedeponga los objetos para formar fácilmente las direcciones de RAM de vídeo, o para minimizar la lógica de decodificación para la sincronizaciónla generación de impulsosConectores de expansión (cabeceras de 6 pines)La junta Basys2 proporciona cuatro de 6 pinesconectores para módulos periféricos. Cada conectorestablece Vdd, GND, y cuatro FPGA únicaseñales. Varias placas de módulo de 6 pines que pueden

Page 14: a junta Basys2 es un diseño de circuitos y

adjuntar a este conector están disponibles enDigilent, incluyendo convertidores A / D, el altavozamplificadores, micrófonos, amplificadores H-puente,etc Por favor, consulte www.digilentinc.com para másinformación.FPGA Pin DefinicionesLa siguiente tabla muestra todas las definiciones de pines para elSpartan-3E en el tablero Basys2. Pins en griscajas no están disponibles para el usuarioClave de color tabla FPGA pin definiciónGrisNo disponible para el usuarioVerdeLos dispositivos de usuario de E / SAmarilloPuertos de datosBronceadoSeñales del conector PModAzulSeñales USBConstruido en Self TestLa junta Basys2 viene precargado con un proyecto de prueba / demostración auto sencillo almacenado en su ROM.El proyecto de demostración (disponible en la página web) muestra cómo las herramientas CAD conectar señales FPGA Xilinx aBasys2 circuitos. Dado que el proyecto se almacena en la ROM, sino que también se puede utilizar para comprobar las funciones de mesa. Aejecutar la demostración, ajuste la ROM / puente USB (JP3) a ROM y aplicar alimentación a la placa, el sietedisplay segmento mostrará contar dígitos, los interruptores se encienden los LEDs individuales, los botonesapague dígitos individuales en la pantalla de siete segmentos y un patrón de prueba se conduce en el puerto VGA.Si el auto test no es residente en la Plataforma Flash ROM, que puede ser programado en la FPGA orecargado en la ROM con el software de programación Adept.