15
SISTEM DIGITAL (ALU)] June 10, 2014 [SISTEM DIGITAL (ALU)] Stmik-Amik JAYANUSA 1 2014 Stmik-Amik JAYANUSA Sistem Digital

Alu adder

Embed Size (px)

Citation preview

Page 1: Alu adder

[ ] June 10, 2014

[ ]

1

2014

Stmik-Amik JAYANUSA Sistem Digital

Page 2: Alu adder

[ ] June 10, 2014

KATA PENGANTAR

Penyusun memanjatkan puji syukur ke hadirat Allah SWT atas rahmat dan petunjuk-Nya, sehingga penyusun dapat menyelesaikan karya tulis dengan judul “ADDER.

Didalam pembuatan makalah ini berkat bantuan dan tuntunan Tuhan Yang Maha Esa dan tidak lepas dari bantuan berbagai pihak untuk itu dalam kesempatan ini penulis menghaturkan rasa hormat dan terima kasih yang sebesar-besarnya kepada semua pihak yang membantu dalam pembuatan makalah ini.

Penyusun juga menyadari bahwa karya tulis yang disusun masih jauh dari kesempurnaan. Untuk itu, segala masukan, kritik, dan saran yang membangun dari berbagai pihak, sangat diharapkan penyusun guna memperbaiki karya tulis selanjutnya.

Akhir kata, penyusun berharap semoga karya tulis ini bermanfaat bagi seluruh pihak yang membutuhkan.

Penulis,

Kelompok 1

2

Page 3: Alu adder

[ ] June 10, 2014

DAFTAR ISI

Kata Pengantar............................................................................................1

Daftar Isi.......................................................................................................2

Bab I Pendahuluan......................................................................................3

1.1.  Latar Belakang..............................................................................3

1.2. Tujuan............................................................................................3

1.3. Rumusan Masalah........................................................................3

Bab II Pembahasan.....................................................................................4

2.1. Pengertian ARITHMETIC AND LOGIC UNIT (ALU).......................4 2.2. Sejarah ALU...................................................................................4

2.3. Operasi Pada ALU...........................................................................5

2.4. Tugas Dan Fungsi ALU...................................................................5

2.5. Struktus Dan Cara Kerja Pada ALU...............................................6

2.6. ADDER..............................................................................................7

Bab III Penutup.............................................................................................9

3.1.  Kesimpulan.....................................................................................9

Referensi......................................................................................................10

3

Page 4: Alu adder

[ ] June 10, 2014

BAB IPENDAHULUAN

1.1.Latar Belakang

Komputer merupakan alat modern yang tidak bisa dilepaskan dari kehidupan sehari-hari. Mulai dari mengerjakan pekerjaan kantor, multimedia, bahkan hiburan. Perkembangan komputer semakin berkembang dan masih akan berkembang tanpa batas. Semakin berkembang suatu komponen komputer maka otomatis kecepatan processor semakin besar dan semakin cepat proses eksekusi pada komputer. Processor juga disebut dengan otak dari suatu computer. Dalam processor itulah alu dan segala prosesnya terjadi. Tujuan serta cara kerja alu dalam suatu processor akan sama jika processor itu dibuat oleh perusahaan yang sama.

Alu atau juga sering disebut Arithmetic and Logic Unit adalah suatu komponen yang terdapat didalam computer. Alu merupakan pusat untuk menghitung operasi aritmatika dan logika. Untuk itu kami mencoba untuk menulis beberapa hal mengenai ALU.

1.2. TujuanPenulisan makalah ini untuk melengkapi tugas dari matakuliah Sistem Digital dan

yang dibina oleh bapak Ikhsan, S.Kom, M.Kom. Selain itu pula penulisan makalah ini secara umum bertujuaan untuk menambah wawasan tentang ADDER.

1.3. Rumusan MasalahDalam makalah ini, penulis akan membahas tentang :a. Pengertian Arithmetic and Logic Unit b. Sejarah ALUc. Operasi Pada ALUd. Tugas dan Fungsi ALUe. Struktur dan Cara Kerja Pada ALUf. ADDER

4

Page 5: Alu adder

[ ] June 10, 2014

BAB IIPEMBAHASAN

2.1. PENGERTIAN ARITHMETIC AND LOGIC UNIT (ALU)

Arithmatic and Logic Unit (ALU) adalah salah satu bagian/komponen dalam sistem didalam sistem komputer yang berfungsi melakukan operasi/perhitungan aritmatika dan logika (seperti penjumlahan, pengurangan dan beberapa logika lain). ALU bekerja sama dengan memori, dimana hasil dari perhitungan di dalam ALU di simpan ke dalam memori. Perhitungan dalam ALU menggunakan kode biner, yang merepresentasikan instruksi yang akan dieksekusi (opcode) dan data yang diolah (operand). ALU biasanya menggunakan sistem bilangan biner (two’s complement). ALU mendapat data dari register. Kemudian data tersebut diproses dan hasilnya akan disimpan dalam register tersendiri yaitu ALU.

2.2. SEJARAH ALUAritmetika yang terbatas pada jumlah yang sangat kecil artifak kecil yang

menunjukkan konsep yang jelas penambahan (+) dan pengurangan (-), yang paling terkenal menjadi tulang Ishango dari Afrika tengah, datang dari suatu tempat antara 20.000 dan 18.000 SM.

Jelas bahwa Babel memiliki pengetahuan yang kokoh dari hampir semua aspek aritmetika dasar oleh 1800 SM, sejarawan meskipun hanya bisa menebak metode yang digunakan untuk menghasilkan hasil aritmetika, seperti yang ditunjukkan. Misalnya, dalam tablet tanah liat Plimpton 322, yang muncul menjadi daftar Pythagoras tiga kali lipat, tetapi tanpa kerja untuk menunjukan bagaimana daftar ini awalnya diproduksi. Demikian pula, Mesir Rhin Mathematical Papyrus (berasal dari sekitar 1650 SM, meskipun jelas salinan teks yang lebih tua dari sekitar 1850 SM) menunjukan bukti penambahan (+), pengurangan (-), perkalian (x), dan pembagian (/) yang digunakan dalam sebagian unit sistem.

Nicomachus merangkum filsafat Pythagoras pendekatan angka, dan hubungan mereka satu sama lain, dalam Pengenalan aritmatika. Pada saat ini, operasi aritmatika dasar adalah urusan yang sangat rumit, itu adalah metode yang dikenal sebagai “Metode orang-orang Indian” (Latin Modus Indorum) yang menjadi aritmatika yang kita kenal sekarang. Aritmatika India jauh lebih sederhana daripada aritmatika Yunani karena kesederhanaan system angka India, yang memiliki nol dan notasi nilai tempat. Abad ke - 7 Syria Severus Sebokht uskup disebutkan metode ini dengan kekaguman, namun menyatakan bahwa Metode dari India ini tak tertuliskan. Orang-orang Arab belajar metode baru ini dan menyebutkan Fibonacci (juga dikenal dengan Leonardo dari Paris) memperkenalkan “Metode dari Indian” ke Eropa pada 1202. Dalam bukunya Liber Abaci, Fibonacci mengatakan bahwa dibandingkan dengan metode baru ini, semua metode lain telah kesalahan. Dalam Abad Pertengahan. Aritmatika adalah satu dari tujuh seni liberal diajarkan di universitas.

5

Page 6: Alu adder

[ ] June 10, 2014

2.3. OPERASI PADA ALUOperasi aritmatika adalah operasi penjumlahan dan pengurangan, sedangkan contoh

operasi logika adalah logika AND dan OR. ALU melakukan operasi aritmatika yang lainnya seperti pengurangan, dan pembagian dilakukan dengan dasar penjumlahan. Sehingga sirkuit elektronik di ALU yang digunakan untuk melaksanakan operasi aritmatika ini disebut adder. ALU melakukan operasi aritmatika dengan dasar pertambahan, sedang operasi aritmatika yang lainnya, seperti pengurangan, perkalian, dan pembagian dilakukan dengan dasar penjumlahan. sehingga sirkuit elektronik di ALU yang digunakan untuk melaksanakan operasi arithmatika.

2.4. TUGAS DAN FUNGSI ALUTugas dari ALU adalah melakukan keputusan dari operasi logika sesuai dengan

instruksi program. Operasi logika (logical operation) meliputi perbandingan dua buah elemen logika dengan menggunakan operator logika, yaitu :

a. sama dengan (=) b. tidak sama dengan (<>) c. kurang dari (<) d. kurang atau sama dengan dari (<=) e. lebih besar dari (>) f. lebih besar atau sama dengan dari (>=)

Arithmatic Logical Unit (ALU) Juga Bertugas membentuk fungsi – fungsi pengolahan data komputer. ALU sering disebut mesin bahasa (machine language) karena bagian ini mengerjakan instruksi – instruksi bahasa mesin yang diberikan padanya. ALU terdiri dari dua bagian, yaitu unit arithmetika dan unit logika boolean, yang masing – masing memiliki spesifikasi dan tugas tersendiri. Fungsi-fungsi yang didefinisikan pada ALU adalah Add (penjumlahan), Addu (penjumlahan tidak bertanda), Sub (pengurangan), Subu (pengurangan tidak bertanda), and, or, xor, sll (shift left logical), srl (shift right logical), sra (shift right arithmetic), dan lain-lain.

Arithmetic Logical Unit (ALU) merupakan unit penalaran secara logic. ALU ini merupakan Sirkuit CPU berkecepatan tinggi yang bertugas menghitung dan membandingkan. Angka-angka dikirim dari memori ke ALU untuk dikalkulasi dan kemudian dikirim kembali ke memori. Jika CPU diasumsikan sebagai otaknya komputer, maka ada suatu alat lain di dalam CPU tersebut yang kenal dengan nama Arithmetic Logical Unit (ALU), ALU inilah yang berfikir untuk menjalankan perintah yang diberikan kepada CPU tersebut.

ALU sendiri merupakan suatu kesatuan alat yang terdiri dari berbagai komponen perangkat elektronika termasuk di dalamnya sekelompok transistor, yang dikenal dengan nama logic gate, dimana logic gate ini berfungsi untuk melaksanakan perintah dasar matematika dan operasi logika. Kumpulan susunan dari logic gate inilah yang dapat melakukan perintah perhitungan matematika yang lebih komplit seperti perintah “add” untuk menambahkan bilangan, atau “devide” atau pembagian dari suatu bilangan. Selain perintah matematika yang lebih komplit, kumpulan dari logic gate ini juga mampu untuk

6

Page 7: Alu adder

[ ] June 10, 2014

melaksanakan perintah yang berhubungan dengan logika, seperti hasil perbandingan dua buah bilangan.

Instruksi yang dapat dilaksanakan oleh ALU disebut dengan instruction set. Perintah yang ada pada masing-masing CPU belum tentu sama, terutama CPU yang dibuat oleh pembuat yang berbeda, katakanlah misalnya perintah yang dilaksanakan oleh CPU buatan Intel belum tentu sama dengan CPU yang dibuat oleh Sun atau perusahaan pembuat mikroprosesor lainnya. Jika perintah yang dijalankan oleh suatu CPU dengan CPU lainnya adalah sama, maka pada level inilah suatu sistem dikatakan compatible. Sehingga sebuah program atau perangkat lunak atau software yang dibuat berdasarkan perintah yang ada pada Intel tidak akan bisa dijalankan untuk semua jenis prosesor,kecuali untuk prosesor yang compatible dengannya.

Seperti halnya dalam bahasa yang digunakan oleh manusia, instruction set ini juga memiliki aturan bahasa yang bisa saja berbeda satu dengan lainnya. Bandingkanlah beda struktur bahasa Inggris dengan Indonesia, atau dengan bahasa lainnya, begitu juga dengan instruction set yang ada pada mesin, tergantung dimana lingkungan instruction set itu digunakan.

2.5. STRUKTUR DAN CARA KERJA PADA ALU

ALU akan bekerja setelah mendapat perintah dari Control Unit yang terletak pada processor. Contorl Unit akan memberi perintah sesuai dengan komando yang tertulis(terdapat) pada register. Jika isi register memberi perintah untuk melakukan proses penjumlahan, maka PC akan menyuruh ALU untuk melakukan proses penjumlahan. Selain perintah, register pun berisikan operand-operand. Setelah proses ALU selesai, hasil yang terbentuk adalah sebuah register yang berisi hasil atau suatuperintah lainnya. Selain register, ALU pun mengeluarkan suatu flag yang berfungsi untuk memberi tahu kepada kita tentang kondisi suatu processor seperti apakah processor mengalami overflow atau tidak.

ALU (Arithmethic and Control Unit) adalah bagian dari CPU yang bertanggung jawab dalam proses komputasi dan proses logika. Semua komponen pada CPU bekerja untuk memberikan asupan kepada ALU sehingga bisa dikatakan bahwa ALU adalah inti dari sebuah CPU. Perhitungan pada ALU adalah bentuk bilangan integer yang direpresentasikan dengan bilangan biner. Namun, untuk saat ini, ALU dapat mengerjakan bilangan floating point atau bilangan berkoma, tentu saja dipresentasikan dengan bentuk bilangan biner. ALU mendapatkan data (operand, operator, dan instruksi) yang akan disimpan dalam register. Kemudian data tersebut diolah dengan aturan dan sistem tertentu berdasarkan perintah control unit. Setelah proses ALU dikerjakan, output akan disimpan dalam register yang dapat berupa sebuah data atau sebuah instruksi. Selain itu, bentuk output yang dihasilkan oleh ALU berupa flag signal. Flag signal ini adalah penanda status dari sebuah CPU. Bilangan Ineger Bilangan integer (bulat) tidak dikena oleh komputer dengan basis 10. Agar komputer mengenal bilangan integer, maka para ahli komputer mengkonversi basis 10 menjadi basis 2. Seperti kita ketahui, bahwa bilangan berbasis 2 hanya terdiri atas 1 dan 0. Angka 1 dan 0

7

Page 8: Alu adder

[ ] June 10, 2014

melambangkan bahwa 1 menyatakan adanya arus listrik dan 0 tidak ada arus listrik. Namun, untuk bilangan negatif, computer tidak mengenal simbol (-). Komputer hanya mengenal simbol 1 dan 0. Untuk mengenali bilangan negatif, maka digunakan suatu metode yang disebut dengan Sign Magnitude Representation. Metode ini menggunakan simbol 1 pada bagian paling kiri (most significant) bit. Jika terdapat angka 18 = (00010010)b, maka -18 adalah (10010010)b. Akan tetapi, penggunaan sign-magnitude memiliki 2 kelemahan. Yang pertama adalah terdaptnya -0 pada sign magnitude[0=(00000000)b; -0=(10000000)b]. Seperti kita ketahui, angka 0 tidak memiliki nilai negatif sehingga secara logika, sign-magnitude tidak dapat melakukan perhitungan aritmatika secara matematis. Yang kedua adalah, tidak adanya alat atau software satupun yang dapat mendeteksi suatu bit bernilai satu atau nol karena sangat sulit untuk membuat alat seperti itu. Oleh karena itu, penggunaan sign magnitude pada bilangan negatif tidak digunakan, akan tetapi diganti dengan metode 2′s complement. Metode 2′s complement adalah metode yang digunakan untuk merepresentasikan bilangan negatif pada komputer. Cara yang digunakan adalah dengan nilai terbesar dari biner dikurangin dengan nilai yang ingin dicari negatifnya. Contohnya ketika ingin mencari nilai -18, maka lakukan cara berikut:

1. ubah angka 18 menjadi biner (00010010)b 2. karena biner tersebut terdiri dari 8 bit, maka nilai maksimumnya adalah 11111111 3. kurangkan nilai maksimum dengan biner 18 -> 11111111 – 00010010 = 111011014. kemudian, dengna sentuhan terakhir, kita tambahkan satu -> 11101101 + 00000001

= 11101110

Dengan metode 2′s complement, kedua masalah pada sign magnitude dapat diselesaikan dan komputer dapat menjalankan. Namun, pada 2′s complement, nilai -128 pada biner 8 bit tidak ditemukan karena akan terjadi irelevansi.

2.6. ADDERAdder merupakan rangkain ALU (Arithmetic and Logic Unit) yang digunakan untuk

menjumlahkan bilangan. Karena adder digunakan untuk memproses operasi aritmatika, maka adder juga sering disebut rangkaian kombinasional aritmatika. Ada 3 jenis Adder, yaitu:

1. Rangkaian adder yang hanya menjumlahkan dua bit disebut Half Adder.2. Rangkaian adder yang hanya menjumlahkan tiga bit disebut Full Adder.3. Rangkaian adder yang menjumlahkan banyak bit disebut Paralel Adder.

1. Half Adder.Rangkain half adder merupakan dasar bilangan biner yang masing-masing hanya terdiri

dari satu bit, oleh karena itu dinamakan penjumlah tak lengkap.

1. Jika A=0 dan B=0 dijumlahkan, hasilnya S (Sum) = 0.2. Jika A=0 dan B=0 dijumlahkan, hasilnya S (Sum) = 1.

8

Page 9: Alu adder

[ ] June 10, 2014

3. Jika A=1 dan B=1 dijumlahkan, hasilnya S (Sum) = 0. Dengan nilai pindahan Cy (Carry Out) = 1.

Dengan demikian, half adder memiliki dua masukan (A dan B), dan dua keluaran (S dan Cy). 

A B S Cy

0 0 0 0

0 1 1 0

1 0 1 0

1 1 0 1

Dari tabel diatas, terlihat bahwa nilai logika dari Sum sama dengan nilai logika dari gerbang XOR, sedangkan nilai logika Cy sama dengan gerbang logika  AND. Dari tabel diatas, dapat dibuat rangkaian half adder.

2. Full Adder Full adder adalag mengolah data penjumlahan 3 bit bilangan atau lebih (bit tidak terbatas), oleh karena itu dinamakan rangkaian penjumlah lengkap. Perhatikan tabel dibawah ini.

A B C S Cy

0 0 0 0 0

0 0 1 1 0

0 1 0 1 0

0 1 1 0 1

1 0 0 1 0

1 0 1 0 1

1 1 0 0 1

1 1 1 1 1

3. Paralel Adder

Paralel Adder adalah rangkaian Full Adder yang disusun secara paralel dan berfungsi untuk menjumlahkan bilangan biner berapa pun bitnya, tergantung jumlah Full Adder yang diparalelkan. Gambar dibawah ini menunjukan Paralel Adder yang terdiri dari 4 buah Full Adder yang disusun paralel sehingga membentuk sebuah penjumlahan 4 bit.

BAB III

9

Page 10: Alu adder

[ ] June 10, 2014

PENUTUP

3.1. KESIMPULAN

Arithmetic And Logic Unit adalah salah satu bagian dalam dari sebuah mikroprosesor yang berfungsi untuk melakukan operasi hitungan aritmatika dan logika. ALU bekerja sama dengan memori, dimana hasil dari perhitungan di dalam ALU di simpan ke dalam memori. Perhitungan dalam ALU menggunakan kode biner, yang merepresentasikan instruksi yang akan dieksekusi (opcode) dan data yang diolah (operand). ALU biasanya menggunakan sistem bilangan biner (two’s complement). ALU mendapat data dari register. Kemudian data tersebut diproses dan hasilnya akan disimpan dalam register tersendiri yaitu ALU. ALU melakukan operasi arithmatika dengan dasar pertambahan, sedang operasi arithmatika yang lainnya, seperti pengurangan, perkalian, dan pembagian dilakukan dengan dasar penjumlahan. sehingga sirkuit elektronik di ALU yang digunakan untuk melaksanakan operasi arithmatika ini disebut adder. tugas utama dari ALU (Arithmetic And Logic Unit) adalah melakukan semua perhitungan aritmatika atau matematika yang terjadi sesuai dengan instruksi program.

REFERENSI

10

Page 11: Alu adder

[ ] June 10, 2014

-          Http://cahyomuhajir.blogspot.com/2012/01/aritmetic -logic-unit.html?m=1-          (sumber: buku pengenalan komputer, hal 154-155, karangan prof.dr.jogiyanto h.m,

m.b.a.,akt.)-          http://lookupmens.blogspot.com/2011/03/pengertian-dan-cara-kerja-arithmatic.html-          http://id.wikipedia.org/wiki/ALU

11