101
INSTITUTO TECNOLÓGICO DE SOLEDAD ATLÁNTICO ITSA - ITSA (958-57393) ISBN: 978-958-57393-5-2 ÁLVARO PEREZ TIRADO CHRISTIAN MORENO ROCHA

ÁLVARO PEREZ TIRADO CHRISTIAN MORENO ROCHAitsa.edu.co/docs/guia-simulacion-digital-en-proteus.pdf · Compuerta nand. 47 Compuerta nor. 48 Compuerta xor ... Como observamos en la

  • Upload
    doque

  • View
    255

  • Download
    4

Embed Size (px)

Citation preview

1

INST

ITU

TO T

ECN

OLÓ

GIC

O D

E SO

LED

AD

ATL

ÁN

TICO

ITSA

- IT

SA (9

58-5

7393

) IS

BN: 9

78-9

58-5

7393

-5-2

ÁLVARO PEREZ TIRADOCHRISTIAN MORENO ROCHA

2

PRESENTACIÓNEl ánimo de los autores en la escritura de este libro, está en la voluntad de hacer de este libro un instrumento que permita acercar a las personas interesadas, en el módulo de la simulación de circuitos en Proteus, sin la pretensión de hacerlos expertos en la materia pero si hábiles en el conocimiento de este campo.

Todas las unidades, presentadas en el libro, se articulan mediante apartados y subapar-tados y se proponen ejemplos resueltos para facilitar la consolidación de los conceptos y procedimientos expuestos; con la misma intención al final de cada apartado, se proponen diferentes actividades que se pueden realizar para mejorar, fijar y comprender la materia tratada.

Los conceptos, las imágenes y en general todo el material que conforma el libro, fue di-señado para que toda persona interesada es conocer de este tema, se encuentre con una ayuda muy eficaz y que además lo guiara de manera clara y concisa en el camino del diseño, simulación y construcción de circuitos en Proteus.

3

CONTENIDO:Introducción a Proteus 6

CAPITULO 1: CONOCIENDO A PROTEUS.

Área de trabajo 7

Herramientas de proteus. 8

Mi primer circuito. 8

Librerías 9

Vista previa 12

Edición de textos. 18

Sumador de 4 bits (74ls83). 21

Procedimiento de armado. 22

Esquema eléctrico del sumador. 24

Esquema en 3d del sumador. 26

Sumador de 8 bits (74ls83). 27

Procedimiento de armado. 28

Esquema eléctrico del sumador. 29

Esquema en 3d del sumador. 30

Restador binario de 4 bits. 31

Procedimiento de armado. 32

Esquema eléctrico. 33

Sumador BCD. 35

Procedimiento de armado. 36

Esquema eléctrico. 37

Multiplicador. 38

Procedimiento de armado. 39

Esquema eléctrico. 40

4

CAPITULO 2: COMPUERTAS.

Compuerta if. 45

Compuerta not. 45

Compuerta and. 46

Compuerta or. 47

Compuerta nand. 47

Compuerta nor. 48

Compuerta xor. 48

Actividad 2. 49

Actividad 2.1. 51

Actividad 2.2 52

Actividad 2.3. 54

Problemas propuestos. 55

CAPITULO 3: ALGEBRA DE BOOLE.

Algebra de Boole. 56

Minitérminos y maxitérminos. 58

Actividad 3. 59

Problemas propuestos. 67

CAPITULO 4: SIMPLIFICACION DE FUNCIONES. (KARNAUGH)

Conceptos. 69

Simplificación por miniterminos. 71

Solución de problemas de funciones. 73

Ejemplos. 80

Problemas propuestos. 82

5

CAPITULO 5: CIRCUITOS COMBINACIONALES.

Conceptos 82

Integrado 555 83

Multivibrador astable. 84

Multivibrador monoestable. 84

Actividad 5.1 89

Flip-plop. 89

Parámetros de un flip-flop. 90

Tipos de flip-flop. 90

Multiplexores. 93

Multiplexor de 1 bit. 94

Multiplexores de dos selecciones. 95

Desmultiplexores. 97

Desmultiplexores de 1 bit. 98

Desmultiplexores de dos entradas. 100

6

INTRODUCION.

El Software de diseño y simulación Proteus es una herramienta útil para estudiantes y profesionales que desean acelerar y mejorar sus habilidades para el desarrollo de aplicaciones analógicas y digitales.

Permite el diseño de circuitos empleando un entorno gráfico en el cual es posible colocar los símbolos representativos de los componentes y realizar la simulación de su funcionamiento sin el riesgo de ocasionar daños a los circuitos.

La simulación puede incluir instrumentos de medición y la inclusión de gráficas que representan las señales obtenidas en la simulación. Lo que más interés despierta es la capacidad de simular adecuadamente el funcionamiento de los microcontroladores más populares (PICS, ATMEL-AVR, MOTOROLA, 8051, etc.).

Proteus tiene la capacidad de pasar el diseño a un programa integrado llamado ARES en el cual se puede llevar a cabo el desarrollo de placas de circuitos impresos.

Que es proteus?

Proteus es software de diseños electrónicos, fue desarrollado y diseñado por Labcenter Electrinics, con un entorno integrado para la realización completa de proyectos de construcción de equipos o circuitos electrónicos tanto análogos o digitales, cumpliendo con cada una de sus etapas: el diseño, la simulación y la construcción.

Proteus contiene dos módulos uno llamados ISIS y otro ARES, cada cual tiene su función específica y muy utilizable dentro del software Proteus.

ISIS: (Intelligent Schematic Input System):

Mediante este programa podremos diseñar el circuito que deseemos con componentes muy variados, desde una simple resistencia hasta un microprocesador o microcontroladores, incluyendo fuentes de alimentación, generadores de señales, osciloscopios, multímetros, y muchas otras prestaciones. Los diseños realizados en Isis pueden ser simulados en tiempo real.

ARES: (Advanced Routing Modelling):

 Ares es la herramienta de ruteado de Proteus, se utiliza para la fabricación de placas de circuito impreso, esta herramienta es utilizada de manera manual o dejar que el propio programa trace las pistas, aunque aquí podemos también utilizar el tercer módulo, Electra (Electra Auto Router), el cual, una vez colocados los componentes trazará automáticamente las pistas realizando varias pasadas para optimizar el resultado.

Nuestro software Proteus al igual que cualquier otro software como por ejemplo Microsoft contiene elementos tales como iconos, barras, menús, zona de trabajo, accesos rápidos, entre otros, que nos proporcionaran un manejo e interpretación del software mucho más rápido en su utilización, veamos unas de ellas:

7

Capítulo 1: CONOCIENDO A PROTEUS:

ÁREA DE TRABAJO. Fig.1

Al iniciar nuestro software de Proteus esta será la primera interface o ventana que nos aparecerá, como observamos en la figura 1, donde apreciamos el área de trabajo y el nombre de cada una de las barras que la conforman.

ZONA DE TRABAJO:

Esta es la apariencia de nuestra zona de trabajo en ella es donde realizaremos el montaje, diseño, análisis y simulación de nuestro circuito.

8

HERRAMIENTAS DE PROTEUS:

Fig. 1.2

Como observamos en la figura 1.2, resulta muy claro identificar cada una de las herramientas que nos serán útil para el manejo ,el diseño y la simulación de nuestro circuito en este software, cada una de las herramientas será tratada y explicado su funcionamiento, a medida que se avance en la explicación del uso del software proteus.

MONTAJE DE UN CIRCUITO:

A continuación explicamos el montaje de un circuito electrónico en el área de trabajo de Proteus, explicando claramente los pasos y los elementos a utilizar al igual que la definición de cada uno de ellos.

Por ejemplo realizaremos el montaje de un conjunto de compuertas lógicas como se observa en la figura 1.3; lo que se busca es ir paso a paso hasta conseguir el circuito de la figura mostrada.

Figura.1.3

9

Lo que debemos saber de un principio son los componentes del circuito que deseamos armar y su ubicación al igual que el procedimiento para encontrarlos.

Lo que hay que hacer primero, es observar en la barra de herramientas que se encuentra colocada verticalmente del lado izquierdo del área de trabajo, su primer componente, el cual tiene la forma de un triángulo con dos patitas, debemos dirigir nuestro cursor hacia dicho componente, una vez el cursor este sobre el, este nos mostrara su nombre en idioma ingles (todo los componentes los encontraremos en inglés, ya que el software está diseñado en este idioma), lo que debemos hacer ahora es pinchar o dar clic sobre él para habilitar el componente de librerías (P), como se ve en la figura 1.4.

Figura 1.4.

OPCIÒN DE LIBRERIAS

Una vez realizado los paso anteriores, la activación de la librería está permitida, lo que debemos hacer ahora es observar en la ventana de componentes que ahora nos muestra dos letras en mayúsculas las cuales son P y L (fig.1.5), donde por ahora nos limitaremos a tratar solo con la letra P, que es la que nos servirá y llevara a la interface donde podremos escoger la herramienta o elemento electrónico que necesitemos para armar nuestro circuito.

Figura.1.5.

10

VENTANA DE LIBRERÍAS

Figura.1.6

Como se observa en la figura 1.6, la ventana de librerías está conformada de varias partes, cada una de ella al igual de importante como lo es la misma ventana, a continuación se explicara cada una de estas partes al igual que su utilización en el diseño del circuito.

CATEGORIAS:

Continuando con nuestro ejemplo del circuito que deseamos diseñar, un sistema de compuertas, en la figura 1.7 observarnos las categorías que nos mostrara, al introducir el nombre de la compuerta ,en la opción de búsqueda de palabra automática, que para este caso, nuestro elemento a buscar es una compuerta AND, lo mismo sucedería si en vez de introducir el nombre de la compuerta AND, introducimos el nombre de la RESISTENCIA que en Proteus al trabajar en idioma ingles vendrá siendo RESISTOR, nos mostraría las distintas categorías, tipos, clases, modelos, etc. de resistencias que contamos en Proteus, hay que mencionar que para cada uno de los elementos electrónicos a utilizar la gama de categorías, tipos, clase y modelos es amplia para este software, es de ahí su gran utilización.

11

Figura 1.7

SUB-CATEGORIAS

En esta opción lo que se elige es el tipo de elemento que deseemos utilizar, por colocar un ejemplo un poco más común, digamos el caso de la resistencias, en esta opción de sub-categorías, lo que se nos mostrara es los distintos tipos de resistencias tales como dependiendo su valor, su potencia de funcionamiento, su función a realizar tal como variable o fija y dentro de las mismas variables tales como los trimers y sus distintos tipos, como se ve en la figura 1.8.

Figura. 1.8

Pero ahora para el caso de nuestro circuito de un sistema de compuertas debemos elegir de la siguiente manera (fig.1.9.) y (fig.1.10).

12

Figura. 1.9.

Figura. 1.10.

ZONA DE RESULTADO

En la zona de resultados lo que vamos a encontrar es un resumen muy preciso, de las características del elemento, que con los pasos anterior escogimos, al igual también encontraremos, el nombre, su ubicación es decir la librería en donde está ubicada, y por ultimo una descripción del elemento escogido, que para el caso de nuestro ejemplo de explicación es la compuerta AND.

Figura. 1.11.

VISTA PREVIA

La opción de vista previa, nos indica la notificación del elemento seleccionado, es decir su símbolo, (Figura 1.12), además nos mostrara si dicho elemento se puede simular o no es simuladle ya que todos los elementos no son simuladle. (Importante).

13

Figura 1.12.a (se puede simular) Figura. 1.12b. (no se puede)

VENTANA DE PATILLAJE O DE OBSERVACION DEL PCB

En la esquina inferior derecha de la ventana de librerías observamos la ventana que nos ofrece el patillaje o la observación del componente seleccionado, para el diseño del circuito impreso (PCB).

Figura. 1.13.a Figura. 1.13.b

(No se puede simular) (PCB no se puede simular)

14

Figura. 1.13.c Figura. 1.13.d

(Se puede simular) (PCB se puede simular)

EXTRACCION DE ELEMENTO SELECIONADO.

Una vez realizado todo los pasos anteriores, lo que nos falta es la extracción del elemento desde su biblioteca a la zona de trabajo, esta extracción resulta muy fácil; el procedimiento a realizar es, teniendo seleccionado el elemento a utilizar en la zona de resultado le pinchamos o le damos doble clic sobre su nombre y este de inmediato se nos colocara en la ventana de dispositivo como nos muestra la figura 14.

Figura.14.

15

Ahora lo que queremos es colocar nuestro elemento a utilizar en la zona de trabajo, lo que debemos hacer es lo siguiente, una vez nuestro elemento este en la ventana de dispositivos lo pinchamos o le damos clic sobre su nombre, luego dirigimos nuestro cursor sobre la zona de trabajo y lo que sucederá es que aparecerá sobre el área de trabajo el símbolo (no PCB), con sus respectivas terminales de unión y características escogida en la opción de sub-categoría.

La figura 1.15 nos muestra la selección del elemento electrónico y su símbolo en la ventana de vista completa.

Figura. 1.15

.

Figura 1.16.

En la figura 1.16 observamos el elemento anteriormente selecionado en la venta de dispositivos, pero esta ves montado o incrustado en la zona de trabajo listo para ser utilizado.

16

Ahora bien así como se realizaron todos los pasos anteriores para un solo elemento que en este caso era la compuerta AND, debemos realizar lo mismo para cualquier elemento que deseemos incorporar en la zona de trabajo.

En la figura 1.17 se nos muestra ya todos los elementos ubicados tanto en la ventana de dispositivo como en la zona de trabajo, los cuales utilizaremos para el diseño de nuestro sistema de compuertas.

Figura 1.17

Ahora bien si observamos cuidadosamente veremos que a nuestro circuito le faltas baterías y más elementos, resulta muy fácil introducirlos en la ventana de dispositivos al igual que en la zona de trabajo, lo único que debemos hacer es repetir el procedimiento dado para la compuerta AND, para cada uno de los elementos que deseemos utilizar, vale destacar que para cada uno de estos nuevos elementos, también podemos escoger sus tipos, clases etc. Como observaras en la figura 1.18 se presenta ya la zona de trabajo con varios elementos tales como una batería, conmutadores, resistencias, led entre otros, que necesitamos para el diseño y posterior simulación de nuestro sistema de compuertas.

Figura. 1.18

17

Así como tenemos nuestro circuito no nos funcionara, nos hace falta las conexiones de cada uno de nuestros elementos, dichas conexiones se hacen dirigiendo nuestro curso primeramente sobre una de las terminales del elemento a conectar, luego dirigimos nuestro cursor sobre el terminal del otro elemento que deseemos conectar (fig. 1.19), al momento de unirlas nos deberá salir el símbolo de una cruz, el cual nos indicar que la conexión es correcta, y si por el contrario en el momento de la unión nos sale un símbolo de prohibido ,este nos indica que la conexión no es correcta.

Figura. 1.19.

Una vez teniendo conectado ya todo nuestro circuito nos deberá quedar algo de la siguiente forma (figura. 1.20):

Figura.1.20

Hay que decir que una vez colocado el elemento sobre la zona de trabajo, este puede ser editado de dos formas, una es en los textos que queremos que se vean sobre cada elemento y la otra forma en que se puede editar un elemento es sobre las características funcionales de cada una.

18

Edición de textos:

Cuando colocamos un elementos sobre el área de trabajo este puede ser editado en los textos que le acompañan, estos textos no son más que las especificaciones del elemento a utilizar, como nos muestra la figura 1.21.

Figura. 1.21.

Para editar los textos de cada elemento seleccionamos el elemento que deseemos editar y le damos clic con el botón primario del mouse y se nos abrirá la ventana de editar componente, en esta ventana se nos mostrara todas las opciones de editado de un elemento desde su referencia en el circuito hasta su nombre, y hasta nos permite escribir un corto texto descriptivo del elemento a editar, como se observa en la figura 1.22.

Figura.1.22.

19

Ahora si deseamos editar la parte funcional de un elemento el procedimiento es el mismo que la edición de textos solo que ahora debemos agregar unos pasitos de mas, en esta edición de funciones de elementos se nos permite cambiar el valor por ejemplo de una resistencia o el valor de tención de una fuente, como se ven en la figura 1.23 y 1.24, en donde se editar la parte funcional de una fuente de 5v.

Figura. 1.23.

Figura. 1.24.

20

Como hemos vistos hasta ahora el software de proteus tiene grandes aplicaciones y muchas herramientas que nos permitirá el uso fácil y seguro en el diseño y simulación de nuestro circuito, pero hasta el momento solo hemos explicado las más usadas e importante, a continuación se explicaran unas herramientas más.

Terminal Mode: en esta opción podremos escoger la “tierra” (ground), para aterrizar nuestro circuito (fig.1.25).

Figura. 1.25

Virtual instruments Mode: en esta opción podremos escoger la herramienta con que deseemos medir sobre nuestro circuito ya sea instrumentos para ac o dc, tales como amperímetros, voltímetros, osciloscopio, entre otros, (fig. 1.26.).

Figura. 1.26.

21

Botones de rotaciones o giros: estos nos permitirán el giro o la rotación de los elementos a utilizar para así lograr una mejor posición al igual que un excelente diseño de circuito, (fig. 1.27).

Figura. 1.27.

Controles de simulación: estos botones nos permiten el control en el proceso de simulación, tales como adelantar, retroceder, pausas, y stop (fig. 1.28.).

Figura. 1.28.

Actividad 1.

En la siguiente actividad nos dispondremos a dar comienzo a la enseñanza por medio de la práctica, la práctica que haremos es en primer lugar un sumador de 4 bits utilizando el 74ls83, lo diseñaremos en ISIS al igual que su simulación, y realizaremos el PCB en ARES al igual que su diseño en 3D.

Nota: (El manejo de ARES, viene incluido en el CD de este libro.)

REALIZACION:

Lo primero que necesitamos son nuestros elementos:

• 8 resistencias de 1k.

• C.I 74ls83 (sumador)

• Diswiches de 8

• 5 resistencias de 330 ohmios.

• 5 leds.

• Una fuente regulable.

22

Esquema del circuito sumador de 4 bits.

Procedimiento a armar:

Es primordial saber cómo se encuentra estructurado el C.I 74ls83 para su debido montaje, acá te muestro su estructura de conexión, (fig.1.29).

Figura 1.29.

Sabiendo cómo es la estructura de conexión del 74ls83, donde S1 nos indica la sumatoria de A1+B1, y así sucesivamente para cada S y C4 es el denominado carry, en caso que se presente.

Lo primero que se debe hacer es, conectar todas las resistencias a una fuente, y cada resistencia debe ser conectada a las salidas del Diswiches, cabe aclarar que a cada resistencia le corresponde una salida correspondiente, como se muestra en la figura 1.30.

23

Figura. 1.30.

Luego de las conexiones de las resistencias, debemos sacar de estas una conexión que nos conecte al integrado 74ls83 de acuerdo a la figura 1.29 antes mostrada, observemos estas conexiones en la figura 1.31.

Figura. 1.31. (Conexiones de resistencias e integrado).

24

Ahora el nuevo paso es la conexión de los leds y las resistencias de 330 ohmios con el integrado, observemos en la figura 1.32.

Figura 1.32. (Conexiones leds, resistencias con el integrado).

Por último no debemos olvidarnos de aterrizar todo nuestro circuito a tierra, una norma importante y básica en todo diseño eléctrico. Una vez hecho todos los pasos anteriores nuestro diseño nos quedara de la siguiente forma: (figura 1.33).

Fig. 133. (Esquema electrónico de un sumador de 4 bits).

25

En la opción de ares podemos realizar el PCB de todo esquema electrónico al igual que su presentación en 3D, por ejemplo para nuestro sumador el diseño de su PCB es:

Figura 1.34

Esta es la vista del PCB de un sumador de 4 bits, las líneas de colores nos indican las líneas que se quemarían en la váquela y los elementos que aparecen de color azul (Claro) son los elementos que utilizamos para la construcción del sumador de 4 bits, y lo que nos indica es la posición de cada uno dentro de la váquela.

Y su esquema en 3D es:

Figura 1.35

26

Este es el mismo circuito que diseñamos en ISIS pero ahora visto en su forma tridimensional, gracias a la simulación de ARES, con el objetivo de observar cómo nos quedaría el circuito ya montado sobre nuestra váquela.

Figura 1.36

En la figura 1.36 lo que observamos son las pistas vistas en la figura 1.34, pero ahora ya montadas sobres nuestra váquela. (Simulación de ARES).

Figura 1.37. (Vista superior de nuestro circuito sumador de 4 bits.)

27

Actividad 1.1

En la siguiente actividad lo que pretendemos es el montaje del mismo sumador C.I. 74ls83 pero ahora con 8 bits.

El procedimiento es muy similar al realizado en la actividad 1.0, lo que esta vez debemos tener en cuenta es la conexión de un integrado a otro, por ejemplo el carry del primer integrado esta vez será conectado en la entrada del segundo integrado, como lo veremos más adelante.

Lo primero que debemos hacer es identificar nuestros elementos a utilizar:• 16resistencias de 1k.• 2 C.I 74ls83 (sumador)• 2 Diswiches de 8• 10 resistencias de 330 ohmios.• 10 leds.• Una fuente regulable.

Esquema del circuito sumador de 8 bits.

28

Montaje:

Al igual que en la actividad 1 lo primero que debemos hacer son las conexiones de las resistencias a una fuente, luego estas resistencias deben ir conectadas correspondientemente a las salidas de cada uno de los Diswiches, como se mostrara en la figura 1.38. Posteriormente a esto cada resistencia o cada conexión de la misma deben ir conectada a su vez a los Diswiches correspondiente, como se mostrara en la figura 1.38.

Figura 1.38

La línea en morado representa la nueva conexión entre los dos integrado o sumadores 74ls83, las conexiones de las resistencias, hay que notar que ochos de ellas son para la formación de un numero por lo tanto deberán ir conectadas todas ochos en una misma serie ya se A(n) o la serie B(n) y las otras ochos deberán ir conectas a la serie opuesta, como se muestra en la figura 1.38.

Ahora para lo conexión de leds, resistencias, el procedimiento a realizar es el mismo que la actividad 1, es decir cada resistencia y leds conectados en serie deben ir conectados a las salidas correspondientes de cada uno de los integrados, como se muestra en la figura 1.39.

Figura 1.39

29

Figura 1.40

En la figura 1.39 vemos la conexión de las resistencias con los leds, hay que decir que el primer leds corresponde al denominado carry y los otros si son los numero como tal de la sumatorias. En la figura 1.40 lo que se observa es el circuito de un sumador binario de ochos bits con un integrado sumador C.I 74ls83 ya diseñado completamente y simulado correctamente en ISIS.

Ahora lo que veremos en la figura 1.41 son las pistas o lo que se denomina el auto Router, dado en ARES que nos muestras las pistas de conexión de nuestro sumador de ochos bits.

Figura 1.41

30

Figura 1.42

En esta figura la 1.42 lo observamos el 3D del sumador de 8 bits, producido o simulado en ARES y en la figura 1.43 encontramos la imagen superior del mismo sumador de 8 bits para su mejor referencia de cada uno de los elementos.

Figura 1.42

31

Actividad 1.2.

En la actividad 1.2 lo realizaremos es el montaje de un restador binario de 4 bit, como en todo circuito el primer paso es el conocer los elementos a utilizar que son los siguientes:

• 3 integrado sumadores 74ls83

• 5 leds de color.

• 5 compuertas not.

• 4 compuertas xor.

• 1 Diswiches.

Antes de comenzar a armar cualquier circuito, debemos conocer su esquemático, a continuación se muestra el esquemático de un restador de 4 bits.

Figura 1.43

32

Montaje del restador en proteus.

Una vez tengamos todos los elementos ya ubicados, procedemos a su montaje de la siguiente manera:

Figura 1.44

Como observamos en la figura 1.44 del Diswiches, salen unas conexiones a unas compuertas not, ya que debemos recordar que el restador no es más que la negación, del numero a restar, esto cuando aplicamos complementos a uno, de la siguiente manera (x-y) = (x + y c1), entonces la compuerta not, nos realiza esa negación.

33

Figura 1.45

Si miramos bien la figura 1.45, podemos observar que 4 terminales del Diswiches entran directamente sobre al segundo integrador sumador, en cambio las otras 4 terminales del Diswiches entran es a las compuertas not (negación), y estas a su vez entran al primer integrador sumador, pero si somos muchos más cuidadosos y observamos muy bien, notaremos que las salidas del primer integrador son las entradas del segundo integrador, esta conexión se hace para cumplir que : (x-y) = (x + y c1).

Figura 1.46

34

En la figura 1.46 observamos son compuertas xor, que salen del segundo integrador sumador, pero te preguntaras porque estas compuertas, la respuesta es muy sencilla si tenemos en cuenta su funcionamiento que es el siguiente:

AB (-) + A(-)B = A+B, donde (-) me simboliza la negación dada por las primeras compuertas las not.

Figura 1.47

En la figura 1.47 podemos apreciar es que las salidas de cada compuertas xor, del cual conocemos parte de su funcionamiento, están conectadas a un tercer integrado sumador, el cual sumara las señales salientes de cada compuerta u nos la mostrara en los leds que se aprecian en la figura, hay que decir que el primer led me está indicando es el signo de la resta, ya sea positiva o negativa, y los demás si son los números en binarios de la operación dada en el Diswiches.

Cuando ya estemos en esta parte, podemos decir que tenemos un 90% realizado, debemos recordar aunque no se mención en este montaje que los led están aterrizados a tierras al igual que los pines libres o que no utilizamos de los integrados sumadores y del Diswiches.

35

El circuito ya todo montado en proteus VSM (ISIS) sería el siguiente:

Figura 1.48

Actividad 1.3

En esta actividad elaboraremos un circuito sumador muy parecido al de la actividad 1, solo que esta vez será un sumador en BCD, el circuito constara de la unión de dos decodificadora de BCD y sus respectivos sumador esta vez trabajaremos con el 74ls283 similar al que hemos venido trabajando hasta ahora el 74ls83 lo único que cambia es su configuración.

En la figura 1.49 lo que observamos es un sumador sencillo pero esta vez con un decodificador y un display de ánodo común. La idea es armar dos circuitos de estos pero en uno solo, con el objetivo de mostrar los numero a sumar a sumar en un display y su respectivo resultado.

Figura 1.49

36

El montaje que realizaremos es el siguiente:

Figura 1.50

La figura 1.50 nos representa el sumador binario en BCD. Este circuito tiene incorporado 4 compuertas lógicas dos AND y dos OR es decir dos sumadores y dos multiplicadores, si observamos muy bien la figura 1.50 podremos observar que dos compuertas (AND) están conectadas a las salidas del primer sumador integrado, es decir están multiplicando cada una de sus salidas, el cual el resultado de esta operación, como observamos entra a una compuerta (OR), el cual sumara cada uno de sus resultados.

La salida de la primera compuerta (OR), entra a una segunda compuerta (OR), que a su vez está conectada al carry del primer sumador, y la salida de esta compuerta alimenta, al segundo integrador sumador, como observamos en la figura 1.50.

En la figura 1.50 en la parte derecha, observamos la alimentación de dos display de 7 segmentos, conectados a dos decodificadores (BCD) o integrados 74ls47.

Ahora bien lo que haremos es la unión de los dos circuitos por un lado el del circuito de la figura 1.49 con el de la figura 1.50, lo montaremos en ISIS teniendo en cuenta todas las conexiones dadas anteriormente y nos quedaría algo así:

Figura 1.51

37

Como observamos en la figura 1.51, el circuito esta conformador a su vez por dos circuitos vistos en la figura 1.49, es decir con dos sumadores (BCD), pero su resultado se ve en dos display de 7 segmentos, conectados respectivamente a decodificadores o integrados 74ls47, vistos en la figura 1.50.

En la figura 1.52 lo que observamos es el circuito de pistas con cada uno de sus elementos de conexión, el diseño se elaboró en ARES .

Figura 1.52

En la figura 1.53 podemos apreciar el mismo circuito que hemos estado trabajando en la actividad 1.3, pero ahora se muestra terminado y generado en un plano de 3D, con el fin de apreciar cómo se vería el montaje en una váquela real mente.

Figura 1.53

38

En la figura 1.54 observamos la parte posterior de la váquela, en esta cara se observan son las pistas de conexión de cada elemento que conforma el circuito, no es de sorprenderse el encontrar algunas resistencias en este lado de la váquela ya que suele pasar esto en el diseño de circuiros.

Lo que si debemos fijarnos es en la gran ventaja que se tiene al trabajar en PROTEUS ya que nos permite el diseño, la simulación del diseño y nos deja observar o el tener una vista del posible circuito, pero esta vez en su forma real o física.

Figura 1.54

Actividad 1.4.

En esta actividad lo que elaboraremos, es un circuito multiplicador, apoyándonos un poco en el uso de las compuertas, adelantándonos a los temas que trataremos en la unidad 2.

Los materiales a utilizar son los siguientes:

• 2 Diswiches

• 16 compuertas AND

• 3 integrados sumadores 74ls83

• 8 leds.

39

El esquema del circuito es el siguiente:

Figura 1.55

Montaje del circuito:

Lo primero que debemos colocar son los dos Diswiches o en su defecto puntas lógicas, como las utilizaremos en el montaje de este circuito, una vez colocado los Diswiches debemos colocar sobre el área de trabajo en ISIS las 16 compuertas AND como se observa en la figura 1.56.

Figura 1.56

40

Ahora creamos puntos de conexión común entre las compuertas AND (13,14, 15 y 16), como se ve en la figura 1.57, esto con el fin de todas estas compuertas tenga una misma entrada.

Figura 1.57

Ahora conectamos de la segunda entrada de la compuerta 11 a la segunda entrada de la compuerta 15, y hacemos igual conexión entre la 9 y la 11, y la 12 y 13, luego de eso se unen como punto común las compuertas 9 con la 11 y la, 7 con la 11, como se observa en la figura 1.58.

Figura 1.58

Ahora las primeras entradas de las compuertas 5,6, 7 y 8 las unimos en un solo punto común, y unimos las segundas entradas de las compuertas 5 y 9. Realizamos lo mismo para las compuertas 1, 2, 3 y 4 colocando sus primeras entradas en un solo punto común, es decir conectándolas entre ellas, y por último la segunda entrada de la compuerta 1 la conectamos con la segunda entrada de la compuerta 5, como se ve más claramente en la figura 1.59.

41

Figura 1.59

Figura 1.60

La cuarta salida del primer Diswiches la llevamos a la primera entrada de la compuerta 13, la segunda entrada de la compuerta 10 la conectamos con la segunda entrada de la compuerta 14 al igual que con la compuerta 6, y conectamos la segunda entrada de la compuerta 3 con la segunda entrada de la compuerta 7 y lo mismo hacemos con las compuertas 2 y 6, 4 y 8, de esta forma garantizamos la conexión entre todas las compuertas como se ven en la figura 1.60.

42

Ahora las conexiones del Diswiches o las de las puntas lógicas con cada una de las compuertas es la siguiente:

La salida del primer Diswiches o punta lógica se conecta con la primera entrada de la compuerta 4, la segunda salida con la primera entrada de la compuerta 10, la tercera salida con la compuerta 9, y la salida cuarta con la compuerta 13.

Para el segundo Diswiches tenemos las siguientes conexiones:

La primera salida con la segunda entrada de la compuerta 5, la segunda salida con la segunda entra de la compuerta 6, la tercera salida con la segunda entrada de la compuerta 11 y por último la cuarta salida con la segunda entrada de la compuerta 12, como veremos todas estas conexione en la figura 1.61

Figura 1.61

43

Ahora las conexiones entre compuertas e integrados e integrados e integrado es la siguiente:

Del primer integrado conectamos las patitas C0 y B4 a tierra, B3 con la compuerta 4, B2 con la compuerta 3, B1 con la compuerta 2, A4 con la compuerta 8, A3 con la compuerta 7, A2 con la compuerta 6, A1 con la compuerta 5.

Con respecto al segundo integrado las conexiones son las siguientes:

C0 a tierra, B4 con C4 del integrado 1, B3 con S4 del primer integrado, B2 con S3 del primer integrado, B1 con S2 del primer integrado. A4 con la compuerta 12, A3 con la compuerta 11, A2 con la compuerta 10, A1 con la compuerta 9.

Con respecto al tercer integrado las conexiones son las siguientes:

C0 la conectamos a tierra, B4 a C4 del segundo integrado, B3 a S4 del segundo integrado, B2 a S3 del segundo integrado, B1 a S2 del segundo integrado, A4 a la compuerta AND (16), A3 a la compuerta 15, A2 a la compuerta 14, y A1 a la compuerta 13.

Hasta el momento llevamos el circuito de la siguiente forma, con las conexiones dadas hasta el momento. Figura 1.62.

Figura 1.62

44

Por último nos faltan las conexiones de nuestros leds, para así saber el resultado de nuestro producto o números a multiplicar, y es la siguiente:

Observando los leds de izquierda a derecha, el primer led de valor (128) está conectado a C4 del tercer integrado, el leds de valor 64 está conectado a S4 del tercer integrado, el led de valor 32 está conectado a S3 del tercer integrado, el led de valor 16 está conectado a S2 del tercer integrado, el led de valor 8 está conectado a S1 del tercer integrado, el led de valor 4 está conectado a S1 del segundo integrado, el led del valor 2 está conectado a S1 del primer integrado y el led de valor 1 está conectado a la salida de la primera compuerta.

De esta forma hemos terminado nuestro multiplicador de 4x4, y el circuito final montado en ISIS se vería de la siguen forma:

Figura 1.63

Capítulo 2:

En este capítulo lo que elaboraremos son circuitos de compuertas, lógicas, nos dedicaremos en aprender, el diseño de un circuito lógico, la tabla de la verdad y su respectivo diseño real. Todo lo anterior lo realizaremos, solo teniendo como guía o como punto de partida una ecuación dada.

Antes de empezar con el diseño de nuestros circuitos, debemos conocer cada una de las compuertas que trabajaremos y su respectiva función en el circuito.

Son bloques de construcción básica de los sistemas digitales, se utilizan con números binarios, por lo que se denominan compuertas lógicas binarias.

En los circuitos digitales todos los voltajes, a excepción de las fuentes de alimentación se agrupan en dos posibles categorías, voltajes altos y voltajes bajos. Una tensión de alta significa un (1) binario y una tensión baja significa un (0) binario.

45

Todos los sistemas digitales se construyen utilizando básicamente, tres compuertas lógicas básicas, están son las puertas AND, la compuerta OR y la compuerta NOT; o la combinación de estas.

Compuerta IF (SI)

La compuerta IF se representa con un triángulo.

Figura 2.

La puerta lógica IF, llamada SI en castellano, realiza la función booleana de la igualdad. En los esquemas de un circuito electrónico se simboliza mediante un triángulo, cuya base corresponde a la entrada, y el vértice opuesto la salida. Su tabla de verdad es también sencilla: la salida toma siempre el valor de la entrada. Esto significa que si en su entrada hay un nivel de tensión alto, también lo habrá en su salida; y si la entrada se encuentra en nivel bajo, su salida también estará en ese estado.

En electrónica, generalmente se utilizan compuertas IF como amplificadores de corriente (buffers en inglés), para permitir manejar dispositivos que tienen consumos de corriente elevados desde otros que solo pueden entregar corrientes más débiles.

Figura 2.1

Compuerta NOT (NO)

Esta compuerta presenta en su salida un valor que es el opuesto del que está presente en su única entrada. En efecto, su función es la negación, y comparte con la compuerta IF la característica de tener solo una entrada.

Se utiliza cuando es necesario tener disponible un valor lógico opuesto a uno dado. La figura muestra el símbolo utilizado en los esquemas de circuitos para representar esta compuerta, y su tabla de verdad.

46

Se simboliza en un esquema eléctrico en el mismo símbolo que la compuerta IF, con un pequeño círculo agregado en su salida, que representa la negación o un rectángulo en el sistema europeo.

Figura 2.2

Compuerta AND (Y)

Con dos o más entradas, esta compuerta realiza la función booleana de la multiplicación. Su salida será un “1” cuando todas sus entradas también estén en nivel alto. En cualquier otro caso, la salida será un “0”. El operador AND se lo asocia a la multiplicación, de la misma forma que al operador SI se lo asociaba a la igualdad. En efecto, el resultado de multiplicar entre si diferentes valores binarios solo dará como resultado “1” cuando todos ellos también sean 1, como se puede ver en su tabla de verdad.

Matemáticamente se lo simboliza con el signo “x”.

Figura 2.3

Podemos pensar en esta compuerta como una lámpara, que hace las veces de salida, en serie con la fuente de alimentación y dos o más interruptores, cada uno oficiando de entrada. La lámpara se encenderá únicamente cuando todos los interruptores estén cerrados. En este ejemplo, el estado de los interruptores es “1” cuando están cerrados y 0 cuando están abiertos.

Figura 2.4 circuito equivalente de una compuerta AND.

47

Compuerta OR (O)

La función booleana que realiza la compuerta OR es la asociada a la suma, y matemáticamente la expresamos como “+”.

Esta compuerta presenta un estado alto en su salida cuando al menos una de sus entradas también está en estado alto.

En cualquier otro caso, la salida será 0.

Tal como ocurre con las compuertas AND, el número de entradas puede ser mayor a dos.

Figura 2.5 simbología en sistema europeo y americano y tabla de verdad de una compuerta OR.

Compuerta NAND (NO Y)

Cualquier compuerta lógica se puede negar, esto es, invertir el estado de su salida, simplemente agregando una compuerta NOT que realice esa tarea. Debido a que es una situación muy común, se fabrican compuertas que ya están negadas internamente. Este es el caso de la compuerta NAND: es simplemente la negación de la compuerta AND vista anteriormente.

Esto modifica su tabla de verdad, de hecho la invierte (se dice que la niega) quedando que la salida solo será un 0 cuando todas sus entradas estén en 1.

El pequeño círculo en su salida es el que simboliza la negación. El número de entradas debe ser como mínimo de dos, pero no es raro encontrar NAND de 3 o más entradas.

48

Figura 2.6

Compuerta NOR (NO O)

De forma similar a lo explicado con la compuerta NAND, una compuerta NOR es la negación de una compuerta OR, obtenida agregando una etapa NOT en su salida.

Como podemos ver en su tabla de verdad, la salida de una compuerta NOR es 1 solamente cuando todas sus entradas son 0. Igual que en casos anteriores, la negación se expresa en los esquemas mediante un círculo en la salida. El número de entradas también puede ser mayor a dos.

Figura 2.7

Compuerta XOR (O Exclusivo)

La compuerta OR vista anteriormente realiza la operación lógica correspondiente al O inclusivo, es decir, una o ambas de las entradas deben estar en 1 para que la salida sea 1. Un ejemplo de esta compuerta en lenguaje coloquial seria “Mañana iré de compras o al cine”. Basta con que vaya de compras o al cine para que la afirmación sea verdadera. En caso de que realice ambas cosas, la afirmación también es verdadera. Aquí es donde la función XOR difiere de la OR: en una compuerta XOR la salida será 0 siempre que las entradas sean distintas entre sí. En el ejemplo anterior, si se tratase de la operación XOR, la salida seria 1 solamente si fuimos de compras o si fuimos al cine, pero 0 si no fuimos a ninguno de esos lugares, o si fuimos a ambos.

Figura 2.8

49

DIAGRAMAS ESQUEMATICOS Y CODIGOS DE IDENTIFICACION DE LAS COMPUERTAS

Una vez tenido en cuenta y claro los conceptos y aplicación de cada una de las compuertas lo que haremos es el diseño de un circuito, con su tabla de la verdad apartir de una ecuacion dada.

Actividad 2

Dada la siquiente ecuacion; diseñe el circuito logico y la tabla de la verdad, definiendo bajo que combinaciones el led se encendera.

A + BC = Y

50

Hagamos el montaje de la anterior ecuacion en ISIS:

Figura 2.9

En la figura 2.9 lo que se elaboro es de acuerdo a la ecuacion dada, el circuito correspondiente pero utilizando, las compuertas para cada operación o correspondiente en cada caso, por ejemplo la primera compuerta que se utilizo fue la NOT, para negar la salida de A, y la ultima compuerta que se utilizo fue la NOR es decir la negacion de la suma de A negada mas el producto de B por C negado.

Ahora realizaremos la tabla de la verdad del anterior circuito para saber bajo que condiciones o combinaciones el led se encendera.

Como son 3 variables, entonces = 8 combinaciones posibles, miremos:

Figura 2.10

51

De la figura 2.10 que podemos decir o concluir que el circuito de la figura 2.9, solo el led encenderá cuando, las combinaciones sean:

A=1, B=0 y C=0

A=1, B=0 y C=1

A=1, B=1 y C=1

Actividad 2.1

Dada la siguiente ecuación, elabore su circuito lógico y su tabla de la verdad definiendo bajo que combinaciones el led encenderá.

Y.

Realicemos el montaje en ISIS del circuito que nos representa la anterior ecuación.

Figura 2.11

En la figura 2.11 observamos el montaje del circuito correspondiente a la ecuación dada en esta actividad, se aprecia que las salida de C Y de D están siendo negadas por una compuerta NOT y que a su vez estas salidas están siendo sumadas por una compuerta NOR, es decir una sumadora negada.

Ahora miremos las combinaciones que se presentarías en este circuito, para que el led este encendido, como son 4 variables entonces serán = 16 posibles combinaciones veamos su tabla de verdad.

52

Figura 2.12

En la figura 2.12 lo que observamos es la tabla de la verdad del ejercicio 2.1 donde podemos sacar como conclusión que el solo se prendera el led cuando las combinaciones de A, B, C, y D sean las siguientes:

A= 0, B=0 C=0 y D=0

A=0, B=0 C=0 y D=1

A=0, B=0, C=1 y D=0

A=1, B=0, C=0 y D=0

A=1, B=0, C=0 y D=1

A=1, B=0, C=1 y D=0

A=1, B=1, C=0 y D=0

A=1, B=1, C=0 y D=1

Actividad 2.2

Dada la siguiente ecuación, elabore su circuito lógico y su tabla de la verdad definiendo bajo que combinaciones el led encenderá.

+ AB = Y

Realicemos el montaje en ISIS del circuito que nos representa la anterior ecuación.

53

Figura 2.13

En la figura 2.13 se observa el circuito equivalente a la ecuación del ejercicio 2.2, donde se aprecia el uso de las compuertas NOT, AND y la OR. Donde la negación de A y B se multiplican, y A y B se multiplican igualmente pero sin estas ser negadas, luego el producto de ambas se suma y este resultado vendrá a ser el resultado de la ecuación.

Ahora lo que debemos realizar es la tabla de la verdad para así poder saber bajo qué condiciones o combinaciones el led se encenderá, y como son 4 variables recordemos que = 16, entonces vendrán a ser 16 posibles combinaciones, miremos como nos queda:

Figura 2.14

54

Podemos sacar como conclusión, observando la anterior tabla, que el led solo se encenderá, cuando:

A=0 y B=0

A=1 y B= 1

Son las dos únicas combinaciones posibles, para que el led encienda.

Actividad 2.3

Dada la siguiente ecuación, elabore su circuito lógico y su tabla de la verdad definiendo bajo que combinaciones el led encenderá.

Y = (AB) + C

Realicemos el montaje en ISIS del circuito que nos representa la anterior ecuación.

Figura 2.15

La figura 2.15 lo que nos muestra es el circuito equivalente a la ecuacion del ejercicio 2.13, donde apreciamos el uso de las compuertas NOR y la compuerta NAND, es decir las negaciones de la suma y del producto.

Ahora nos tocar realizar la tabla de la verdad, para garantizar bajo que condiciones o combinaciones posibles el led encendera.

55

Figura 2.16

En la figura 2.16 podemos apreciar todas las posibles combinaciones del anterior circuito, en donde vemos que la unica posibilidad para que el led encienda esta bajo la condicion de que :

A= 1 y B= 1

Es la unica posibilidad que existe para que el led encienda.

Problemas propuestos.

56

CAPITULO 3:

En este capitulo vamos a plantear el formalismo asociado al Álgebra de Boole.

PASOS:

• Inicialmente estableceremos las bases matemáticas asociadas al Álgebra de Boole.

• Después analizaremos la representación de las variables lógicas por magnitudes físicas, indicando los módulos mínimos para la síntesis de funciones.

• Estudiaremos algún método para simplificar en alguna forma las funciones booleanas.

• Por último, se realiza su implementación circuital.

El álgebra booleana son reglas algebraicas, basadas en la teoría de conjuntos, para manejar ecuaciones de lógica matemática.

La lógica matemática trata con proposiciones, elementos de circuitos de dos estados, etc., asociados por medio de operadores como Y, O, NO, EXCEPTO, SI...

Permite cálculos y demostraciones como cualquier parte de las matemáticas.

Es llamada así en honor del matemático George Boole, que la introdujo en 1847.

Se dice que un conjunto de elementos B, en el que existen definidas dos operaciones binarias (que representaremos por + y por •) tiene estructura de Álgebra de Boole si y solo si se cumplen los siguientes cuatro postulados:

1.) Las operaciones + y (•) son conmutativas.

Ejemplo: a + b = b + a y a • b = b • a

2.) Existen en B dos elementos neutros, que denotaremos por 0 y 1, para las operaciones + y •, respectivamente.

a + 0 = a y a • 1 = a

57

3.) Cada operación es distributiva con respecto a la otra (expresa el proceso de sacar factor común).

Ejemplo (tres variables): a(b+c) = ab + ac

4.) Para cada elemento a de B existe un al que:

a + = 0 y a + = 1

Existen una serie de teoremas, válidos en cualquier álgebra de Boole, que vamos a enunciar y que no demostraremos, los cuales nos serán de gran utilidad para la simplificación de funciones:

Teorema 1. Principio de dualidad: Cada proposición o identidad algebraica deducible de los postulados del Álgebra de Boole permanece válida si:

• cambiamos entre si las operaciones + y,

• y también cambiamos entre si los elementos neutros 0 y 1.

Teorema 2.

x +x =x y xx= x

Teorema 3.

X+1= 1 y x(0)= 0

Teorema 4. Ley de absorción

x +xy= x y x (x+ y)= x

Teorema 5.

X+( y +z) = (x+ y) + z y x (yz) = (xy) z

Asociatividad de las operaciones + y (.)

Teorema 6. El elemento x asociado a x es único.

Teorema 7. El elemento x = x.

Teorema8. Teorema de Morgan.

58

FORMA CANÓNICA DE UNA FUNCIÓN BOOLEANA

Y 1 = a * b * + a * * + * *

Y2 = (a + b + c ) * ( + b + ) * ( a + b + )

La función S1, se conoce como Suma de Productos, o función de Mini términos.

La función S2, se conoce como Productos de Suma, o función de Maxi términos

• Pasos para Miniterminos:

1) Se identifican las salidas con nivel altos (1).

2) Se multiplican entre si las variables de entradas correspondientes, las salidas (1), escribiendo en forma normal las entradas de (1), y las negadas en forma de cero (0).

3) Sumamos entre si los productos obtenidos en el paso anterior e igualamos a Y.

• Pasos para Maxitérminos:

1. Se identifican las salidas con niveles bajos (0).

2. Sumamos entre si las entradas correspondiente a ceros, escribiendo las entradas a cero (0) normalmente, y negando las de uno (1).

3. Multiplicamos entre si las expresiones obtenidas en el paso anterior e igualamos a Y.

Para transformar una función de Maxitérminos en una función de Miniterminos Se aplica el siguiente procedimiento.

1º) Se cambian todos los signos (+) por (*) y los signos (*) por (+)

2º) Se niegan todas las variables por separado

3º) Se operan con las negaciones de las variables, las doble negaciones se cancelan

4º) Por último se niega toda la variable.

Ejemplo: Transformar la función de Maxitérminos en una función de Mini términos

59

Con este procedimiento hemos transformado una función de Productos de Suma en una función de Suma de productos. Esta herramienta es de suma importancia cuando se desean cambiar de lógica en los circuitos de Sistemas Digitales en forma práctica, con el motivo de tener ojalá un solo tipo de compuertas en el diseño. Con esto se facilita el mantenimiento del circuito a reparar, con respecto de la cantidad de diferentes tipos de compuertas lógicas.

Para transformar una función de Mini términos a Maxitérminos, el procedimiento es muy similar.

Queda como ejemplo, tomar la función resultado del ejemplo anterior que ahora ha quedado en Minitérmino y obtener la función en Maxitérminos, el resultado correcto debe ser el ejemplo con el cual se empezó el ejercicio original.

Actividad 3

Diseñe el circuito lógico, real, para las siguientes funciones de salida Y1 y Y2, obtenidas por Maxitérminos y Minitérminos. Y realice el diagrama de tiempo para cada función.

Figura 3.

Solución:

Lo primero que vamos a hacer es identificar los 1 de la salida en la función Y1 y Y2 para aplicar Minitérminos.

Ahora lo que identificaremos son los 0 (ceros) de las salidas en las funciones Y1 y Y2 para aplicar Maxitérminos.

Una vez obtenidas las ecuaciones el paso que sigue es el diseño de estas ecuaciones en un circuito, para este diseño utilizaremos ISIS.

Observemos el montaje:

60

MINITERMINOS:

Y1:

Figura 3.1

En la figura 3.1 se observa el montaje en ISIS de la función Y1, como bien puede observarse se utilizó 4 compuertas OR o sumadora, tal como se ve en la función planteada.

En la figura 3.2 se muestra el mismo circuito de la función Y1, pero ahora se utilizó un osciloscopio para así poder observar, la gráfica del comportamiento del voltaje en cada una de las salidas mostradas con círculos verdes, en la figura, hay que decir que como alimentación del circuito se utilizó un generador de señales en la opción de sinodal.

En la figura 3.3 observamos el comportamiento del voltaje en cada una de las salidas mencionadas anteriormente, dicha observación se ve en el osciloscopio que se utilizó para tal fin. Como se puede apreciar ISIS, cuenta con muchas opciones que nos facilita en montaje y el estudio de los circuitos diseñados como es el caso de ahora en el estudio de soluciones de circuitos por mintérminos.

61

Figura 3.2

Figura 3.3

62

Ahora debemos repetir, el mismo procedimiento para Y2:

Figura 3.4

En la figura 3.4 podemos observar, el circuito correspondiente a la función Y2, como bien se aprecia se utilizaron 5 compuertas OR que corresponden, a los más (+), que hay en la función. En la figura 3.5 lo que se utilizo es el osciloscopio, para el estudio del voltaje en el circuito de la función Y2, en diferentes etapas del mismo. Ya en la figura 3.6 lo que observa es el comportamiento del voltaje, en diferentes puntos del circuito, valiéndonos del uso del osciloscopio, como se puede observar en la figura inmediatamente anterior la 3.5.

Figura3.5

63

Figura 3.6

Ahora lo que hacemos es el montaje de los circuitos pero ahora utilizando Maxitérminos, y al igual que en Miniterminos nos haremos de la ayuda del osciloscopio con el fin de observar el comportamiento del circuito o el voltaje en diferentes puntos del mismo.

Primero montaremos el circuito para Y1:

Figura 3.7

64

La figura 3.7 podemos observar cómo sería el diseño de la función Y1 para el caso de Maxitérminos, como bien se observa se usaron dos compuertas AND, para el caso de la multiplicación tal como nos indica la función Y2 en su parte teórica.

Figura 3.8

La 3.8 nos muestra el mismo circuito de la figura 3.7, pero ahora se la ha agregado al cir-cuito un osciloscopio, con el fin del estudio del comportamiento del mismo, además se describe bajo qué puntos se realizó la conexión del circuito al osciloscopio.

Ya en la figura 3.9 nos permite observar, por medio del osciloscopio, el comportamiento del circuito registrado en los puntos de conexión descritos anteriormente, de nuevo nos damos cuenta de las herramientas con que se cuenta en Proteus para el estudio de los circuitos.

65

Figura 3.9

Ahora realizaremos el montaje del circuito para la función Y2 en Maxitérminos y estudiaremos el circuito con el uso del osciloscopio.

66

Montaje para la función Y2:

Figura 3.10

La figura 3.10, nos muestra el respectivo montaje para la función Y2 en Maxitérminos. El circuito se encuentra conectado a una fuente de tención alterna, en este ejercicio se utilizó una frecuencia de 1 Hz.

La figura 3.10 y 3.11 nos muestra el mismo circuito, pero ahora conectado a una oscilosco-pio, para que al igual que los demás circuitos, analizar el comportamiento del mismo, bajo los puntos en que se indican que fue conectado el circuito al osciloscopio.

67

Figura 3.10

Figura 3.11

Problemas propuestos.

Dadas las siguientes tablas de verdad, crear el circuito lógico, para cada una de las funcio-nes, obtenidas por Maxitérminos y Minitérminos, y utilizando proteus (ISIS), con la ayuda del osciloscopio realice el diagrama de tiempo.

68

1.

2.

3.)

4.)

69

Capítulo 4:

SIMPLIFICACIONES DE FUNCIONES

En el diseño de circuitos digitales resulta de mayor interés simplificar ó minimizar las fun-ciones obtenidas de las Tablas de Verdad o directamente del enunciado de un problema. Cuando más simplificada es la función, menor será el número de componentes necesario para su implementación con componentes lógicos.

Un tipo de simplificación en la cual se utiliza el álgebra de Boole en la cual se deben apli-car de forma adecuada los Teoremas, Postulados y las Leyes de dicha álgebra. Es un mé-todo lento y hay que ser muy hábil con dicha álgebra para obtener un resultado óptimo.

La otra forma de realizar la simplificación es utilizar un método gráfico, llamado Karnau-gh. Este es un método sencillo de aplicar, para simplificar funciones lógicas de 2, 3 y 4 variables.

En este capítulo se examinara una aproximación fácil de llevar a cabo el mapa de Karnau-gh. Esta es una aproximación grafica para encontrar términos productos adecuados para usar en las expresiones mínimas de suma de productos. El mapa es útil para problemas de hasta seis variables y es particularmente directo para la mayoría de los problemas de tres y cuatros variables.

Simplificar o minimizar una función lógica descrita en su forma canoníca es obtener una función equivalente que involucre la mínima cantidad de operaciones y variables esto es conseguir la mínima expresión de la función. En 1953 el ingeniero de telecomunicaciones Maurice Karnaugh ideo un método que permite minimizar funciones lógicas mediante la confección de tablas o cuadriláteros. Para aplicar éste método se debe construir un cua-drilátero que divide a su vez en 2 n cuadrados elementales , donde el exponente “ n “ , es el número de variables de la función .

En la siguiente figura se indican los gráficos para 2, 3 y 4 variables

Para n = 2, entonces 2 = 4.

Donde A y B son las variables.

2

70

Para n = 3 entonces = 8

Donde A, B y C son las variables.

Para n = 4 entonces = 16

Donde A, B, C y D son las variables.

Para aplicar éste método, la función Booleana, debe estar representada en mini términos (suma de productos). Estos mini términos se deben entrar al diagrama, en la ubicación correspondiente, no pueden existir dos mini términos en una misma posición.

Para realizar las simplificaciones dentro del diagrama se deben tomar ciertas consideraciones, reuniendo una cierta cantidad de mini términos de acuerdo a ciertas reglas.

1º Se pueden reunir dos mini términos que sean adyacentes, en filas ó columnas.

2º Se pueden reunir 4 mini términos en una sola fila ó en una sola columna ó en una mez-cla de filas y columnas.

3º Se pueden reunir 8 mini términos en dos filas ó en dos columnas

71

OBERVACIONES

a) Una simplificación no válida es cuando cambian las dos variables al mismo tiempo.

b) Se debe respetar el orden de las variables dentro del gráfico con respecto del mini tér-mino de la función Booleana.

c) Se puede ocupar un mini término las veces que uno quiera en el proceso de simplifica-ción.

d) Mientras más mini términos se reúnan de una sola vez más simplificada será la función reducida.

Procedimiento para ingresar los mini términos en el gráfico

Procedimiento de Simplificación

1º Reunir: m 4 y m 1

2º Reunir: m 4 y m 2

3º El mini término m 3 no se puede reducir ya que no es adyacente con ningún con otro.

Entre m 1 y m 4 se elimina aquella variable que cambie de estado lógico, entre las variables b y c, cambia la variable c del estado 0 a 1 lógico. Por lo tanto la reducción de esta reunión es: .

Entre m 4 y m 2, aplicando mismo procedimiento, la variable que cambia de estado lógico es la variable “a” de 0 a 1 lógico. Por lo tanto la reducción de esta reunión es:

El mini término, m 3 como no se puede simplificar, sale del gráfico tal como entró .Por lo tanto la función reducida es la serie de las reducciones parciales, quedando de la siguiente forma: F: + + ABC

Simplificar una función de 4 variables.

72

Simplificación

1º Reunión (4 mini términos): m 1, m 3, m 2 y m 6. Las variables c d, las dos cambian de estado lógico, por lo tanto se eliminan. El resultado parcial de esta reunión es:

2º Reunión (4 mini términos): m 3, m 2, m 7 y m 8. De las variables c d, Cambia c, de 0 a 1 lógico, por lo tanto se elimina. En las variables a b, cambia b de 0 a 1, por lo tanto se elimina. El resultado parcial de esta reunión es: D.

3º Reunión (4 mini términos): m 1, m 3, m 4 y m 5. En las variables c y d cambia de estado lógico, la variable d de 0 a 1 por lo tanto, ésta se cancela. En las variables a b, cambia de estado lógico la variable a de 0 a 1, por lo tanto esta variable se cancela, el resultado parcial es:

La función reducida queda de la siguiente forma: + D + .

Ejemplo 4.2.

Dada la siguiente tabla de verdad, elabore el mapa de karnaugh correspondiente a cada salida, y teniendo la expresión final realice el montaje en ISIS.

Figura 4.1

73

Solución:

Realizaremos la solución, aplicando miniterminos, proceso que se vio anteriormente.

Elaboración de mapas de karnaugh:

Y1:

Figura 4.2

Observamos que hay dos grupos:

Y2:

Figura 4.3

Observamos que hay dos grupos:

74

Montaje en ISIS:Y1=

Figura 4.4

Y2:

Figura 4.5

75

4.1 SOLUCIÓN DE PROBLEMAS DE CIRCUITOS LÓGICOS.

En esta sesión del capítulo resolveremos, problemas de diseño de circuitos lógicos, elaborando su diagrama esquemático, su tabla de la verdad y la solución de la misma, para reducir el circuito lo máximo posible, para tal fin aplicaremos mapas de karnaugh y su solución, y por ultimo como comprobación y simulación montaremos el circuito en ISIS.

Para resolver un problema de diseño de circuito se recomienda seguir los siguientes pasos:

1. Leer detalladamente y entender el problema planteado.

2. Identificar las variables de (entradas y salidas), que se puedan presentar en el problema.

3. Construir tablas de verdad, a partir de las condiciones de entrada encontradas en el problema.

4. Elaboración de la(s) ecuación(es) lógica(s), no simplificadas.

5. Simplificación de la(s) ecuación(es) lógica(s), encontradas, por mapas de karnaugh (recomendado).

6. Diseño del circuito esquemático.

7. Diseño del circuito real.

8. Implementación y simulación del circuito creado en un software, especialmente en proteus (recomendado).

Ejemplo 4.3

Diseño un circuito lógico, que reciba de entrada 2 números binarios, de dos bits cada uno, y produzca de salida un número binario de 3 bits, equivalente a la suma de las entradas.

Solución:

Figura 4.6

76

La figura 4.6 nos muestra de manera simbólica, la problemática que se plantea en el ejemplo 4.3, el cual tiene como objetico encontrar el circuito correspondiente para tal fin.

Elaboración de la tabla de verdad.

Figura 4.7

La figura 4.7 representa la tabla de la verdad, correspondiente al ejemplo 4.3 en donde las variables de entrada son A B C y D y las de salida son X, Y y Z y representan las sumas de las variables de entradas que son números binarios de dos bits cada uno.

Elaboración del mapa de karnaugh por cada variable de salida.

• Para la variable X:

Figura 4.8

Como se puede observar de la figura 4.8, podemos sacar 3 grupos de unos (1), para realizar la simplificación respectiva.

77

Simulación en ISIS:

Figura 4.9

Para la variable Y:

Figura 4.10

De la figura 4.10 sacamos 6 grupos de 1.

.

78

Montaje en ISIS:

º

Figura 4.11

Para la variable Z:

Figura 4.12

79

En la figura 4.12 se ve claramente que salen 4 grupos de unos (1).

Montage en ISIS:

Figura 4.13

80

Ejemplo 4.4

Diseñe un circuito lógico que reciba Como entrada dos número binaries y produzca Como salida Uno de 4 bits, equivalente a la multiplicación de los números de entrada

Figura 4.14

La figura 4.14 nos muestra de manera simbólica, la problemática que se plantea en el ejemplo 4.4, el cual tiene como objetico encontrar el circuito correspondiente para tal fin.

Elaboración de la tabla de verdad.

Figura 4.15

La figura 4.15 nos representa la tabla de la verdad correspondiente al ejemplo 4.4, donde las variables de entrada son las letras A, B, C y D y las variables de salidas son las letras X, Y, W y Z, y son el resultado de la multiplicación de las variables de entradas.

Elaboración de mapas de karnaugh para cada variable:

• Para la variable X:

Figura 4.16

81

En la figura 4.16 solo vemos que existe un solo grupo de uno, (1):

• Primer grupo:

1111 = ABCD

• Montaje en ISIS:

Xxx

• Para la variable Y:

Figura 4.17

En la figura 4.17 se aprecia claramente que se originan 2 grupos de unos (1):

• Para la variable W:

Figura 4.18

En la figura 4.19 nos encontramos con 4 grupos de unos (1):

82

• Para la variable Z:

Figura 4.19

En la figura 4.21 se observa claramente que sale un solo grupo de unos (1):• Primer grupo:

Problemas propuestos:

1. Diseñe un circuito lógico que reciba como entrada dos numero binarios de dos bits, y produzca como salida uno de 5 bits, equivalente a la suma de los números de entrada.2. Diseñe un circuito lógico, que reciba como un entrada un numero de 4 bits, y produzca como salida uno de 4 bits, equivalente al complemento a dos del numero de entrada.3. Diseñe un circuito lógico que reciba como entrada un numero de 4 bits en código binario y produzca como salida un numero en código reflejado.4. Diseñe un circuito que reciba como entrada un número binario de 4 bits y produzca como salida la silueta del número equivalente en hexadecimal, sobre un display de 7 segmentos.

Capítulo 5.

Circuitos Combinacionales e Integrados.

En este capítulo hablaremos los circuito integrado  que no son más que una pastilla (o “chip”) muy delgada en la que se encuentran miles o millones de dispositivos electrónicos interconectados, principalmente  transistores, aunque también componentes pasivos como  resistencias  o  capacitores. Su área puede ser de un cm2 o incluso inferior. Algunos de los circuitos integrados más avanzados son los microprocesadores  que controlan múltiples artefactos: desde computadoras hasta electrodomésticos, pasando por los teléfonos móviles. Otra familia importante de circuitos integrados la constituyen las  memorias digitales.

83

Integrado 555.En 1970 Hans Camenzind ,ingeniero nacido en suiza, comenzó a desarrollar el integrado 555, tomando como base el oscilador controlado por tensión, este nuevo integrado el 555 al momento de salir al mercado en el año 1972 recibió el nombre de “the IC time machine” que traduce “El circuito integrado máquina del tiempo”.

En su estructura interna el 555 está compuesto por 23 transistores, 2 diodos, 16 resistores, 2 amplificadores operacionales, y un flip-flop, como se ve, está compuesto por un circuito bastante complejo.

• Pines del 555

GND: normalmente la patilla 1: es el polo negativo de la alimentación generalmente tierra.

Disparo: normalmente la patilla 2: se establece el inicio del tiempo de retardo.

Salida: normalmente la 3: se muestra el resultado de la operación del temporizador, no importa como esté conectado como monoestable o astable.

Reset: normalmente la 4: si se pone a un nivel por debajo de 0.7v, pone la patilla de salida a un nivel bajo. Si esta patilla no se usa, debe ser conectada a Vcc para que el 555 no se reinicie.

Control de voltaje: normalmente la 5: simplemente regula el voltaje del integrado.

Umbral: normalmente la 6: es una entrada a un comparador interno que tiene el 555 y se utiliza para poner a la salida a un nivel bajo.

Descarga: normalmente la 7: utilizado para descargar con efectividad el condensador externo utilizado por el temporizador para sí funcionamiento.

Vc+: normalmente la 8: es donde se alimenta el temporizador.

Figura 5.1 muestra la configuración de cada una de las patillas que conforman el temporizador 555.

El temporizador 555 se puede conectar para que funcione de diferentes maneras, entre las más usadas son como: Multivibrador monoestable y Multivibrador astable.

84

MULTIVIBRADOR ASTABLE:

Este tipo de conexión se caracteriza por una salida con forma de onda cuadrada o rectangular.

La señal de salida tiene un nivel alto por un tiempo T1 y un nivel bajo por un tiempo T2. La duración de estos tiempos depende de los valores de R1, R2, C según las formas siguientes:

T1= Ln 2 * (R1+R2)*C

T2= Ln 2 *(R2)*C

La frecuencia de oscilación es; f=

El ancho del pulso es D:

Figura 5.2, configuración del temporizador 555 en multivibrador astable.

MULTIVIBRADOR MONOESTABLE:

En este caso el circuito entrega a su salida un solo pulso de un ancho determinado.

El tiempo de duración en que la salida está un nivel alto está dado por la siguiente ecuación:

T= Ln 3 * RC

Es necesario que la señal de disparo, en la terminal numero dos (2) sea de nivel bajo y de muy corta duración para iniciar la señal de salida.

85

Figura 5.3 configuración del temporizador 555 en su forma monoestable.

Ahora lo que realizaremos es el montaje de cada una de las formas, en que se puede configurar el temporizador 555, y estudiaremos su salida con la ayuda del osciloscopio.

Figura 5.4. Nos muestra la simulación o el montaje en ISIS, de un multivibrador astable, y a la salida se le conecto un leed, para observar la variación de su forma

de onda.

86

Figura 5.5. Nos muestra la simulación o el montaje en ISIS, de un multivibrador monoestable, y en la patilla dos se colocó una fuente senoidal para simular a un

trigger en dicha patilla.

Figura 5.6

87

Figura 5.7

Figura 5.8

88

Figura 5.9

Las figuras 5.6 y 5.7 nos muestran la conexión del multivibrador astable al osciloscopio, y también nos permite observar el comportamiento de la onda de salida, comprobándonos lo predicho en la teoría, la forma de su onda de salida es cuadrada o rectangular.

Las figuras 5.8 y 5.9, nos presenta la conexión del multivibrador monoestable al osciloscopio, y también nos permite observar el comportamiento de la onda de salida, de igual forma confirma lo predicho en la teoría, y su forma de onda de salida son pulsos, con un tiempo de duración definido anteriormente.

Actividad 5.1

Una de las aplicaciones del integrado 555, de mayor utilidad es como circuito astable, es decir como un circuito que emite una serie de pulsos cuya frecuencia puede ser ajustada de acuerdo a la necesidad del circuito.

En esta actividad elaboraremos un circuito de direccional de giro, que podrá ser instalado en bicicletas o vehículos pequeños, será alimentado por una pequeña batería y nos permitirá visualizar a qué lado se desea girar, mediante la activación de luces intermitentes tal como se aplica en los vehículos de combustible.

En la figura 5.10 se muestra la construcción de este circuito, al igual que su posterior explicación, detallada de cada uno de los elementos que los conforman para su mejor entendimiento.

89

Figura 5.10. Resistencia (R3): limitan la corriente que llega a la base del transistor

Resistencia (R1, R2) y condensador (C1): Determinan la frecuencia o velocidad de los pulsos. Si los valores de estos son muy altos, la frecuencia de los pulsos será muy baja, mientras que si estos son muy pequeños la frecuencia será más alta.

Transistor ( Q1) : Se encuentra configurado como interruptor, su funcionamiento es amplificar la corriente proveniente del circuito integrado para así poder manejar varios diodos al mismo tiempo.

Interruptor (SW1): Selecciona hacia qué lado va a girar y al mismo tiempo controla la alimentación del circuito para evitar que este consuma corriente mientras no está funcionando.

FLIP-FLOP

Un  biestables  (flip-flop  en inglés), es un  multivibrador  calificado para permanecer en uno de dos estados posibles durante un tiempo indeterminado en ausencia de perturbaciones.  Esta característica es ampliamente utilizada en  electrónica digital  para memorizar información. El cambio de un estado a otro se realiza alterando sus entradas. Dependiendo del tipo de dichas entradas los biestables se dividen en:

§ Asíncronos: Sólo tienen entradas de control. El más empleado es el biestables RS.

§ Síncronos: Además de las entradas de control posee una entrada de sincronismo o de reloj. Si las entradas de control dependen de la de sincronismo se denominan síncronas y en caso contrario asíncronas. comúnmente, las entradas de control asíncronas prevalecen sobre las síncronas.

90

La entrada de sincronismo puede ser activada por nivel (alto o bajo) o por flanco (de subida o de bajada). Dentro de los biestables síncronos activados por nivel están los tipos RS y D, y dentro de los activos por flancos los tipos JK, T y D.

Parámetros de un flip-flop:

1. Tiempo de establecimiento: tiempo anterior al flanco activo de toma de datos, durante el cual las entradas no deben cambiar.

2. Tiempo de mantenimiento: tiempo posterior al flanco activo, las entradas no deben cambiar.

3. Frecuencia máxima del reloj: es la frecuencia admisible de la señal del reloj.

4. Duración del tiempo del reloj: Es el tiempo que debe durar las partes (alta o baja) del impulso del reloj.

5. Tiempo de reset o clear: Es el tiempo que debe activarse las entradas para garantizar el funcionamiento del flip-flop.

6. Tiempo de retardo: Es tiempo que transcurre desde el flanco activo del reloj, para que se produzca la conmutación.

TIPOS DE FLIP-FLOP:

• RS: Dispositivo de almacenamiento temporal de 2 estados (alto y bajo), cuyas entradas principales permiten al ser activadas:

§R: el borrado (Reset en inglés), puesta a 0 ó nivel bajo de la salida.

§S: el grabado (set en inglés), puesta a 1 ó nivel alto de la salida

Si no se activa ninguna de las entradas, el biestables permanece en el estado que poseía tras la última operación de borrado o grabado. En ningún caso deberían activarse ambas entradas a la vez, ya que esto provoca que las salidas directas (Q) y negada (Q’) queden con el mismo valor: abajo, si el flip-flop está construido con puertas NOR, o a alto, si está construido con puertas NAND. El problema de que ambas salidas queden al mismo estado está en que al desactivar ambas entradas no se podrá determinar el estado en el que quedaría la salida. Por eso, en las tablas de verdad, la activación de ambas entradas se contempla como caso no deseado (N. D.).

RS (síncrono): Además de las entradas R y S, no posee una entrada C de sincronismo cuya misión es la de no permitir o no el cambio de estado del biestables. En la figura 5.11 se muestra un ejemplo de un biestables síncrono a partir de una asíncrona.

91

Taba de verdad para flip-flop RS.

Donde x significa estados no importa.

Figura 5.11

• D: El flip-flop D resulta inútil cuando se necesita almacenar un único bit de datos (1 o 0). Si se añade un inversor a un flip-flop S-R obtenemos un flip-flop D básico. El funcionamiento de un dispositivo activado por el flanco negativo es, por supuesto, idéntico, excepto que el disparo tiene lugar en el flanco de bajada del impulso del reloj. Recuerde que Q sigue a D en cada flanco del impulso de reloj.

Para ello, el dispositivo de almacenamiento temporal es de dos estados (alto y bajo), cuya salida adquiere el valor de la entrada D cuando se activa la entrada de sincronismo, C. En función del modo de activación de dicha entrada de sincronismo, existen dos tipos:

§ Activo por nivel (alto o bajo), también denominado registro o cerrojo (latch en inglés).

§ Activo por flanco (de subida o de bajada).

La ecuación característica del biestable D que describe su comportamiento es:

Q siguiente = DTabla de verdad:

92

En la figura 5.12 podemos observar la simulación de este tipo de flip-flop en ISIS.

Figura 5.12

• T: Dispositivo de almacenamiento temporal de 8 estados (alto y bajo). El biestables T cambia de estado (“toggle” en inglés) cada vez que la entrada de sincronismo o de reloj se dispara mientras la entrada T está a nivel alto. Si la entrada T está a nivel bajo, el biestables retiene el nivel previo. Puede obtenerse al unir las entradas de control de un biestables JK, unión que se corresponde a la entrada T.

La ecuación característica del biestables T que describe su comportamiento es:• Q siguiente= .

Tabla de verdad.

• JK: Es uno de los tipos de flip-flop más usados. Su funcionamiento es idéntico al del flip-flop S-R en las condiciones SET, RESET y de permanencia de estado. La diferencia está en que el flip-flop J-K no tiene condiciones no validas como ocurre en el S-R.

Este dispositivo de almacenamiento es temporal que se encuentra dos estados (alto y bajo), cuyas entradas principales, J y K, a las que debe el nombre, permiten al ser activadas:

§ J: El grabado (set en inglés), puesta a 1 ó nivel alto de la salida.

§ K: El borrado (reset en inglés), puesta a 0 ó nivel bajo de la salida.

93

Si no se activa ninguna de las entradas, el biestables permanece en el estado que poseía tras la última operación de borrado o grabado. A diferencia del biestables RS, en el caso de activarse ambas entradas a la vez, la salida adquirirá el estado contrario al que tenía.

La ecuación característica del biestables JK que describe su comportamiento es:

Q siguiente=

Tabla de verdad.

La figura 5.13 nos representa la simulación en ISIS, de un flip-flop tipo JK.

º

Figura 5.13

MultiplexoresSon circuitos combinacionales, al que entran varios canales de datos, y solo uno de ellos, el que se haya seleccionado es el que aparecerá por la salida, es decir que es un circuito que nos permite seleccionar que datos pasan por dicho componente.

Figura 5.14(a) Fígura 5.14 (b)

94

La figura 5.14 (a) nos representa un multiplexor de 8 entradas de datos y 3 de selección y la figura 5.14 (b) nos representa el patillaje de un multiplexor 74151.

Multiplexores de 1 bit y sus expresiones booleanas.

El multiplexor más simple es el que tiene una entrada de selección “s” que permite seleccionar entre dos entradas de datos, según S=0 o S= 1 y su aspecto es el siguiente:

Figura 5.15

Expresión de la función de salida F, usando el álgebra de Boole.

El multiplexor más simple sabemos que posee 3 entradas, 2 de datos y una es de selección.

Como llenamos la tabla de verdad?

Si S= 1, I1= 0 I0=1, aplicamos la definición de multiplexor, y puesto que S=0, se está seleccionando la entrada de datos “0” es decir la entrada I0, por tanto lo que entre por I1 será ignorado por el multiplexor.

Si la entrada seleccionada es la I0, la salida tendrá su mismo valor y puesto que I0=1 entonces F=1 realizamos este procedimiento para todos y obtenemos la siguiente tabla:

Figura 5.16

La tabla se ha dividido en dos bloques uno en el que S=0 y otro en el que S=1. En el primer bloque se selecciona I0 que aparecerá en la salida.

95

Ahora aplicamos karnaugh y obtenemos la función:

Figura 5.17

Al aplicar karnaugh obtenemos que la función F dará: I0 + SI1

Ahora como nos damos cuenta, f es la definición de un multiplexor, la salida toma el valor de una de las entradas según el valor que tome la entrada de selección.

Multiplexores de dos entradas de selección:

Figura 5.18

Si queremos hacer lo mismo para este multiplexor, con lo que hicimos con el multiplexor más simple, necesitamos una tabla de karnaugh que tenga 64 filas ya que posee 6 entrada, dos son de selección y 4 son de datos.

Para estos tipos de multiplexores y de más entradas, aplicamos el siguiente procedimiento:

Figura 5.19

Para obtener la función de este tipo de multiplexor solo basta con extender la suma de producto que se aprecia en la figura 5.19

Este mismo procedimiento se realizaría para multiplexores de más entradas.

96

Montaje de un multiplexor en ISIS:Supongamos que tenemos un multiplexor de dos entradas A y B, una salida de datos O y una señal de control S. Cuando la señal de control S se coloca en 0 lógico, la entrada A es conectada a la salida. Cuando la señal de control S se coloca en 1 lógico, la entrada B es la que se conecta a la salida.

Realicemos este circuito con puertas lógicas:

Según el diseño que realizamos cuando la señal de control está en 0 lógico, debe pasar a la salida, la entrada A. En la siguiente simulación vemos que la salida O está en 0 lógico lo cual indica que pasó la señal A que también está en 0 lógico:

Figura 5.20

Ahora cambiemos la señal A y la pasamos a 1 lógico, vemos que la salida también es 1 lógico lo cual indica que pasó la señal A:

Figura 5.21

Ahora coloquemos la señal S de control en 1 lógico, esto quiere decir que debe pasar la seña B según la teoría, vemos que la salida O cambia a 0 lógico el mismo valor que tiene la entrada B lo cual indica que pasó a la salida esta última.

97

Figura 5.22

Por último cambiemos la señal B y la colocamos en 1 lógico, la salida O cambia a 1 lógico lo cual quiere decir que pasó la señal B.

Figura 5.23

De esta forma se explica claramente el funcionamiento de un multiplexor.

Desmultiplexores.El concepto de desmultiplexor es muy similar al de multiplexor, viendo las entradas de datos como las salidas y las de salidas como entradas. En un multiplexor hay varias entradas de datos, y solo una de ellas es la que es conducida a la salida. En los Demultiplexores hay un único canal de entrada que es el que saldrá por una de las múltiples salidas (y solo por una nada más).

Los Desmultiplexores son circuitos combinacionales que, mediante las oportunas señales de control, permite seleccionar presentar en cualquiera de sus salidas la información binaria presente en su entrada. El desmultiplexor posee varias salidas y una única entrada, y su función es comparable a la de un conmutador selector capaz de conectar cualquiera de sus salidas con la línea de entrada. En la práctica no se construyen Desmultiplexores porque su función puede ser realizada por decodificadores.

98

Figura 5.24 Figura 5.25.

Desmultiplexores de un bit y sus expresiones booleanas.

El desmultiplexor más simple es el que tiene una entrada de selección, una entrada de datos y dos salidas. Según el valor de la entrada de selección, la entrada de datos es la que será mostrada en la salida O0 o por la O1, como se muestra en la

Figura 5.26.

Para obtener la tabla de verdad, aplicamos la definición de desmultiplesores y vamos comprobando caso por caso, que valores aparecerán en las salidas.

Por ejemplo si S=1 e I1=1, se estará seleccionando O1, y por esa salida aparecerá el valor de I que en este caso es 1, y la entrada O0 no estará seleccionada por tanto tendrá el valor de 0.

Tabla de verdad.

99

Entonces podemos decir que:

O1= S.I

O0= .I

Desmultiplexores con 2 entradas de selección

Figura 5.27 desmultiplexor de 2 entradas de selección.

Este desmultiplexor posee dos entradas de selección y cuatro salidas. La tabla de verdad (abreviada) para este desmultiplexor está dada de la siguiente forma:

Ahora bien podemos inferir que:

O0= 10I

O1=1S0I

O2= S10I

O3= S1S0I

Donde O representa cada una de las salidas del desmultiplexor, y S las entradas de selección.

100

Montaje de en desmultiplexor en ISIS.

Figura 5.28

En la figura 5.28 se puede apreciar el montaje en Isis de un desmultiplexor, en el momento de ya montado, puedes observar que si varias las entradas de selección, por consiguiente te darás cuenta que a cada variación de la entrada selección a si también lo harán las salidas, como se realizó en la figura 5.20 en el caso del multiplexor.

101

REFERENCIAS BIBLIOGRÁFICAS

Breijo, E. G. (2008). Compilador C CCS y simulador PROTEUS para microcontrola-dores PIC (Primera Ed). México D.F.: Alfaomega.

Breijo, E. G. (2014). Compilador C CCS y simulador Proteus para microcontrolado-res PIC (Segunda Ed). Bogotá, D.C.: Alfaomega Colombiana.

Calaza, G. T. (2008). Simulación de circuitos electronicos y microcontroladores a través de ejemplos. Marcombo.

Rossano, V. (2013). Proteus VSM. Buenos Aires: Fox Andina.

Zapata, O. E. B. Z. F. B. (2014). Microcontroladores PIC con programación PBP (Pri-mera Ed). Mexico: Alfaomega.