79
Thái nguyên 08/2008 Slide Slide 1 Thiết kế vi mạch VLSI - ASIC Thiết kế vi mạch VLSI - ASIC - FPGA - FPGA THIÊT KẾ VI MẠCH VLSI ASIC THIÊT KẾ VI MẠCH VLSI ASIC Áp dụng cho ngành Kỹ thuật Máy tính Áp dụng cho ngành Kỹ thuật Máy tính Biên soạn: Biên soạn: Nguyên Văn Huy Nguyên Văn Huy BM: BM: KTMT – ĐH KTCN Thái Nguyên KTMT – ĐH KTCN Thái Nguyên Web: Web: http://ktmtcn.tk http://ktmtcn.tk Tài liệu tham khảo: - “ASIC lập trình được”, Tống Văn On, NXB Thống Kê, 2004 - “Thiết kế hệ thống VLSI”, Đinh Sỹ Hiền, NXB ĐHQG TPHCM - “The VLSI Handbook”, Wai Kai Chen

Asic Va Vlsi

Embed Size (px)

Citation preview

Page 1: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 11Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

THIÊT KẾ VI MẠCH VLSI ASICTHIÊT KẾ VI MẠCH VLSI ASIC Áp dụng cho ngành Kỹ thuật Máy tínhÁp dụng cho ngành Kỹ thuật Máy tính

Biên soạn:Biên soạn: Nguyên Văn HuyNguyên Văn HuyBM:BM: KTMT – ĐH KTCN Thái NguyênKTMT – ĐH KTCN Thái NguyênWeb:Web: http://ktmtcn.tkhttp://ktmtcn.tk

Tài liệu tham khảo:

- “ASIC lập trình được”, Tống Văn On, NXB Thống Kê, 2004

- “Thiết kế hệ thống VLSI”, Đinh Sỹ Hiền, NXB ĐHQG TPHCM

- “The VLSI Handbook”, Wai Kai Chen

Page 2: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 22Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

Chương 1 TỔNG QUANChương 1 TỔNG QUAN

1.1. Tìm hiểu về VLSI1.1. Tìm hiểu về VLSI

1.2. Lý do để VLSI được chọn và phát triển1.2. Lý do để VLSI được chọn và phát triển

1.3. ASIC là gì?1.3. ASIC là gì?

1.4. FPGA là gì?1.4. FPGA là gì?

1.5. Nguyên lý lập trình cho vi mạch1.5. Nguyên lý lập trình cho vi mạch

1.6. So sánh với lập trình phần mềm1.6. So sánh với lập trình phần mềm

Page 3: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 33Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

1.1. Tìm hiểu về VLSI1.1. Tìm hiểu về VLSI

SSI (Small Scale Integration – Mạch tích SSI (Small Scale Integration – Mạch tích hợp cỡ nhỏ) 1970hợp cỡ nhỏ) 1970 Trên mạch chỉ có thể chứa được từ 1 đến 10 Trên mạch chỉ có thể chứa được từ 1 đến 10

công logic (NAND, NOR, .v.v.) công logic (NAND, NOR, .v.v.) Chủ yếu áp dụng cho các bài toán nhỏ nhứ Chủ yếu áp dụng cho các bài toán nhỏ nhứ

thiết kế các máy tính điện tử cầm tay.thiết kế các máy tính điện tử cầm tay.

Page 4: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 44Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

1.1. Tìm hiểu về VLSI1.1. Tìm hiểu về VLSI

VD Máy tính cầm tayHình 1.1 – Kích thước chip lớn nhưng chức năng nhỏ

Page 5: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 55Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

1.1. Tìm hiểu về VLSI1.1. Tìm hiểu về VLSI

MSI (Medium Scale Integration – Mạch MSI (Medium Scale Integration – Mạch tích hợp cỡ trung bình).tích hợp cỡ trung bình). Ngoài việc tích hợp các cổng logic, các mạch Ngoài việc tích hợp các cổng logic, các mạch

còn được mở rộng tích hợp thêm các bộ đếm còn được mở rộng tích hợp thêm các bộ đếm và các chức năng logic tương đương .và các chức năng logic tương đương .

Page 6: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 66Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

1.1. Tìm hiểu về VLSI1.1. Tìm hiểu về VLSI

LSI (Large Scale Integration – Mạch tích LSI (Large Scale Integration – Mạch tích hợp cỡ lớn)hợp cỡ lớn) Được tích hợp với nhiều chức năng logic Được tích hợp với nhiều chức năng logic

hơn, thậm chí có cả bộ vi xử lý hoàn chỉnh hơn, thậm chí có cả bộ vi xử lý hoàn chỉnh trong một chip.trong một chip.

Page 7: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 77Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

1.1. Tìm hiểu về VLSI1.1. Tìm hiểu về VLSI

Ví dụ chip điều khiển màn hình LCDHình 1.2 – Kích thức IC giảm những chức năng lớn

Page 8: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 88Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

1.1. Tìm hiểu về VLSI1.1. Tìm hiểu về VLSI

VLSI(verry large scale integation)VLSI(verry large scale integation) Mọi thứ đều có trong một chíp.Mọi thứ đều có trong một chíp. Đã có các bộ xử lý 64 bít, các bộ số học dấu Đã có các bộ xử lý 64 bít, các bộ số học dấu

phẩy động.phẩy động. Trên một triệu transistor chỉ trên một miếng Trên một triệu transistor chỉ trên một miếng

Silic Silic

Page 9: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 99Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

1.1. Tìm hiểu về VLSI1.1. Tìm hiểu về VLSI

Page 10: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 1010Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

1.1. Tìm hiểu về VLSI1.1. Tìm hiểu về VLSI

Page 11: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 1111Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

1.2. Lý do để VLSI được chọn1.2. Lý do để VLSI được chọnvà phát triểnvà phát triển

Trước đây, khi muốn thiết kế một hệ thống Trước đây, khi muốn thiết kế một hệ thống mạch, chúng ta phải thiết kế từ những IC mạch, chúng ta phải thiết kế từ những IC chuẩn thiết kế sẵn sử dụng công nghệ chuẩn thiết kế sẵn sử dụng công nghệ TTL (Transistor – Transistor Logic).TTL (Transistor – Transistor Logic).

Page 12: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 1212Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

Hình 1.3 – Một ví dụ về 1 mạch được thiết kế trên các IC chuẩn TTL

Page 13: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 1313Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

1.2. Lý do để VLSI được chọn1.2. Lý do để VLSI được chọnvà phát triểnvà phát triển

Khi công nghệ CMOS ra đời, hàng triệu Khi công nghệ CMOS ra đời, hàng triệu transistor có thể chứa trong một miếng transistor có thể chứa trong một miếng silic nhỏsilic nhỏ

Các kỹ sư bắt đầu nhận ra lợi ích của việc Các kỹ sư bắt đầu nhận ra lợi ích của việc thiết kế một IC đáp ứng yêu cầu cụ thể thiết kế một IC đáp ứng yêu cầu cụ thể cho một hệ thống thay vì phải thiết kế cho một hệ thống thay vì phải thiết kế chúng từ các IC chuẩn riêng biệt.chúng từ các IC chuẩn riêng biệt.

Page 14: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 1414Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

1.2. Lý do để VLSI được chọn1.2. Lý do để VLSI được chọnvà phát triểnvà phát triển

Các kỹ sư sẽ phân tích và thiết ra một IC Các kỹ sư sẽ phân tích và thiết ra một IC duy nhất để giải bài toán đó, không cần sự duy nhất để giải bài toán đó, không cần sự chắp nối của nhiều IC khác nhau, giảm chắp nối của nhiều IC khác nhau, giảm khả năng lỗi, giảm thời gian chờ giữa các khả năng lỗi, giảm thời gian chờ giữa các IC, giá thành hạ.IC, giá thành hạ.

Page 15: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 1515Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

1.3. ASIC là gì?1.3. ASIC là gì?

ASIC viết tắt của: Application-Specific ASIC viết tắt của: Application-Specific Integrated CircuitIntegrated Circuit

Là một IC được thiết cho một mục đích Là một IC được thiết cho một mục đích hoặc một hệ thống cụ thể (Full custom IC )hoặc một hệ thống cụ thể (Full custom IC )

Thực chất là một dải các transistor MOS Thực chất là một dải các transistor MOS chưa được kết nối.chưa được kết nối.

Việc kết nối để tạo thành mạch cụ thể Việc kết nối để tạo thành mạch cụ thể phục thuộc vào người sử dụngphục thuộc vào người sử dụng

Page 16: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 1616Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

1.4. FPGA là gì?1.4. FPGA là gì?

FPGA là tập hợp các cell logic lập trình FPGA là tập hợp các cell logic lập trình được nối với nhau bằng ma trận chuyển được nối với nhau bằng ma trận chuyển mạch lập trình được.mạch lập trình được.

Để trở thành một mạch cụ thể, ma trận Để trở thành một mạch cụ thể, ma trận chuyển mạch sẽ được lập trình để định chuyển mạch sẽ được lập trình để định tuyến các tín hiệu giữa các khối logictuyến các tín hiệu giữa các khối logic

Page 17: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 1717Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

1.4. FPGA là gì?1.4. FPGA là gì?

Cấu trúc của FPGACấu trúc của FPGA Các khối logic cơ bản lập trình được (logic Các khối logic cơ bản lập trình được (logic

block) block) Hệ thống mạch liên kết lập trình được Hệ thống mạch liên kết lập trình được Khối vào/ra (IO Pads) Khối vào/ra (IO Pads) Phần tử thiết kế sẵn khác như DSP slice, Phần tử thiết kế sẵn khác như DSP slice,

RAM, ROM, nhân vi xử lý... RAM, ROM, nhân vi xử lý...

Page 18: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 1818Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

Page 19: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 1919Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

Page 20: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 2020Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

Page 21: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 2121Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

1.4. FPGA là gì?1.4. FPGA là gì?

So sánh FPGA với ASICSo sánh FPGA với ASIC Xét cùng một ứng dụng thì thiết kế trên ASIC Xét cùng một ứng dụng thì thiết kế trên ASIC

đạt được mức độ tối ưu hơn thiết kế trên đạt được mức độ tối ưu hơn thiết kế trên FPGAFPGA

FPGA hạn chế trong các tác vụ đặc biệtFPGA hạn chế trong các tác vụ đặc biệt FPGA có khả năng tái lập trình đơn giản, thiết FPGA có khả năng tái lập trình đơn giản, thiết

kế ứng dụng dễ dàng nên chi phí và thời gian kế ứng dụng dễ dàng nên chi phí và thời gian sản xuất giảm.sản xuất giảm.

Page 22: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 2222Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

1.4. FPGA là gì?1.4. FPGA là gì?

Các chip FPGA và ASIC cùng với các gói Các chip FPGA và ASIC cùng với các gói phần mềm thiết kế mạch thường được phần mềm thiết kế mạch thường được các công ty thiết kế sẵn cho người sủ các công ty thiết kế sẵn cho người sủ dụng như Xilinx, Altera.dụng như Xilinx, Altera.

Các gói phần mềm này tích hợp đầy đủ Các gói phần mềm này tích hợp đầy đủ quy trình từ “bắt đầu” đến ra “sản phẩm”, quy trình từ “bắt đầu” đến ra “sản phẩm”, mợi thao tác hoàn toàn trong suốt với mợi thao tác hoàn toàn trong suốt với người sử dụngngười sử dụng

Page 23: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 2323Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

Ý tưởng Thiết kế

Mô phỏngChạy thử

Lập trìnhlên mạch

Phần mềm thiết kế ASIC/FPGA

Page 24: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 2424Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

1.4. FPGA là gì?1.4. FPGA là gì?

Ứng dụng:Ứng dụng: Xử lý tín hiệu số, hàng không, vũ trụ, quốc Xử lý tín hiệu số, hàng không, vũ trụ, quốc

phòng, tiền thiết kế mẫu ASIC (ASIC phòng, tiền thiết kế mẫu ASIC (ASIC prototyping), nhận dạng ảnh, nhận dạng tiếng prototyping), nhận dạng ảnh, nhận dạng tiếng nói, mật mã học, mô hình phần cứng máy nói, mật mã học, mô hình phần cứng máy tính...tính...

Page 25: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 2525Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

1.4. FPGA là gì?1.4. FPGA là gì?

Ứng dụng:Ứng dụng: Do tính linh động cao trong quá trình thiết kế Do tính linh động cao trong quá trình thiết kế

cho phép FPGA giải quyết lớp những bài toán cho phép FPGA giải quyết lớp những bài toán phức tạp mà trước kia chỉ thực hiện nhờ phần phức tạp mà trước kia chỉ thực hiện nhờ phần mềm máy tínhmềm máy tính

Ngoài ra nhờ mật độ cổng logic lớn FPGA Ngoài ra nhờ mật độ cổng logic lớn FPGA được ứng dụng cho những bài toán đòi hỏi được ứng dụng cho những bài toán đòi hỏi khối lượng tính toán lớn và dùng trong các hệ khối lượng tính toán lớn và dùng trong các hệ thống làm việc theo thời gian thực. thống làm việc theo thời gian thực.

Page 26: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 2626Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

1.5. NL lập trình cho vi mạch1.5. NL lập trình cho vi mạch

Tạo các kết nối hợp lý giữa các Cell logic Tạo các kết nối hợp lý giữa các Cell logic hoặc giữa các transistor tích hợp sẵn để hoặc giữa các transistor tích hợp sẵn để tạo thành mạch có chức năng theo yêu tạo thành mạch có chức năng theo yêu cầu.cầu.

Page 27: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 2727Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

1.5. NL lập trình cho vi mạch1.5. NL lập trình cho vi mạch

Có hai công nghệ tạo liên kết:Có hai công nghệ tạo liên kết: 1 là tất các cell hoặc transistor đã được liên 1 là tất các cell hoặc transistor đã được liên

kết đầy đủ với nhau, khi được lập trình hệ kết đầy đủ với nhau, khi được lập trình hệ thống sẽ phá bỏ các mối liên kết chỉ giữ lại thống sẽ phá bỏ các mối liên kết chỉ giữ lại các liên kết thuộc về mạch.các liên kết thuộc về mạch.

2 là tất cả các cell hoặc transistor chưa được 2 là tất cả các cell hoặc transistor chưa được liên kết, hệ thống lập trình sẽ tạo liên kết giữa liên kết, hệ thống lập trình sẽ tạo liên kết giữa các cell để tạo thành mạch.các cell để tạo thành mạch.

Page 28: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 2828Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

1.6. So sánh lập trình vi mạch và 1.6. So sánh lập trình vi mạch và lập trình phần mềmlập trình phần mềm

Thảo luận so sánh….Thảo luận so sánh….

Page 29: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 2929Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

Chương 2: Công nghệ CMOSChương 2: Công nghệ CMOS

Complementary Metal Oxide Silicon (oxit Complementary Metal Oxide Silicon (oxit kim loại bù)kim loại bù)

Là một loại vi mạch tích hợp cao nhưng lại Là một loại vi mạch tích hợp cao nhưng lại tiêu tốn ít năng lượng.tiêu tốn ít năng lượng.

Page 30: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 3030Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

Chương 2: Công nghệ CMOSChương 2: Công nghệ CMOS

"complementary" ("bù"), vì các vi mạch "complementary" ("bù"), vì các vi mạch CMOS sử dụng cả hai loại tranzito PMOS CMOS sử dụng cả hai loại tranzito PMOS và NMOS và.và NMOS và.

Tại mỗi thời điểm chỉ có một loại tranzitor Tại mỗi thời điểm chỉ có một loại tranzitor ở trạng thái đóng (ON).ở trạng thái đóng (ON).

Page 31: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 3131Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

Chương 2: Công nghệ CMOSChương 2: Công nghệ CMOS

Cấu trúc của p-mos và n-mosCấu trúc của p-mos và n-mos

Page 32: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 3232Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

2.1. Chuển mạch Transistor MOS2.1. Chuển mạch Transistor MOS

Page 33: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 3333Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

2.2. Logic CMOS2.2. Logic CMOS

Cổng đảo:Cổng đảo:

Page 34: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 3434Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

2.2. Logic CMOS2.2. Logic CMOS

Nguyên tắc ghep cổng CMOSNguyên tắc ghep cổng CMOS Nguyên tắc mắc song song cho logic ORNguyên tắc mắc song song cho logic OR Nguyên tắc mắc nối tiếp cho logic ANDNguyên tắc mắc nối tiếp cho logic AND

Nguyên tắc thiết kế mạch CMOSNguyên tắc thiết kế mạch CMOS Viết hàm cho F (dùng bìa cacno nhóm phần Viết hàm cho F (dùng bìa cacno nhóm phần

tử 1)tử 1) Viết hàm cho F’ (dùng bìa cacno nhóm phần Viết hàm cho F’ (dùng bìa cacno nhóm phần

tử 0, hoặc lấy đảo của F)tử 0, hoặc lấy đảo của F)

Page 35: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 3535Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

2.2. Logic CMOS2.2. Logic CMOS

Thiết kế công AND hai đầu vàoThiết kế công AND hai đầu vào

a

bF = a.b

ff 00 11

00 00 00

11 00 11

F = a.b {dùng mạch nối tiếp}

F’ = a’ + b’ {dùng mạch song song}

Page 36: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 3636Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

2.2. Logic CMOS2.2. Logic CMOS

Thiết kế cổng AND hai đầu vàoThiết kế cổng AND hai đầu vào

a

a b

b

VDD

VSS

F = a.b

Page 37: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 3737Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

2.2. Logic CMOS2.2. Logic CMOS

Cổng NAND 2 đầu vào: Cổng NAND 2 đầu vào: Xây dựng: Bảng chân lý…Xây dựng: Bảng chân lý… Sơ đồ mạch:Sơ đồ mạch:

a

bF = a.b

Page 38: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 3838Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

2.2. Logic CMOS2.2. Logic CMOS

Cổng OR 2 đầu vào: Cổng OR 2 đầu vào:

a

b

F = a + b

Page 39: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 3939Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

2.2. Logic CMOS2.2. Logic CMOS

Cổng NOR 2 đầu vào: Cổng NOR 2 đầu vào: Xây dựng: Bảng chân lý…Xây dựng: Bảng chân lý… Sơ đồ mạch:Sơ đồ mạch:

a

b

F = a + b

Page 40: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 4040Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

2.2. Logic CMOS2.2. Logic CMOS

Bài tậpBài tập Thiết kế mạch thực hiện hàm logic sau sử Thiết kế mạch thực hiện hàm logic sau sử

dụng phần tử cơ bản CMOSdụng phần tử cơ bản CMOS

F = a.b.c // F = a.b.c // phần tử and 3 đầu vàophần tử and 3 đầu vào

F = a + b + c // F = a + b + c // phần tử or 3 đầu phần tử or 3 đầu vàovào

F = a.b.c + a’.d + eF = a.b.c + a’.d + e

Page 41: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 4141Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

2.2. Logic CMOS2.2. Logic CMOS

Mạch chốt:Mạch chốt:

Page 42: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 4242Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

2.2. Logic CMOS2.2. Logic CMOS

Flip-Flop:Flip-Flop:

Page 43: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 4343Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

2.2. Công truyền CMOS2.2. Công truyền CMOS

Công truyền:Công truyền:

Page 44: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 4444Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

2.2. Công truyền CMOS2.2. Công truyền CMOS

Bộ ghép kênh CMOS 2 đầu vào:Bộ ghép kênh CMOS 2 đầu vào:

Page 45: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 4545Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

2.2. Công truyền CMOS2.2. Công truyền CMOS

Bộ ghép kênh CMOS 2 đầu vào:Bộ ghép kênh CMOS 2 đầu vào: MUX là phần tử cơ bản tạo ra các khối logic MUX là phần tử cơ bản tạo ra các khối logic

trong thiết kế cho ASICtrong thiết kế cho ASIC MUX còn được dùng để thiết kế ra các phần MUX còn được dùng để thiết kế ra các phần

tử logic cơ bản và các mạch logic. (tử logic cơ bản và các mạch logic. (sẽ được chi sẽ được chi

tiết ở chương 4tiết ở chương 4))

Page 46: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 4646Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

Chương 3: Các ASIC lập trình đượcChương 3: Các ASIC lập trình được

Page 47: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 4747Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

Liên kết lập trình đượcLiên kết lập trình được

ASIC/FPGA được cấu tạo từ các phần tử ASIC/FPGA được cấu tạo từ các phần tử hoặc các khối logic cơ bản.hoặc các khối logic cơ bản.

Các khối này được liên kết với nhau một Các khối này được liên kết với nhau một cách toàn diện, tức là mợi tiếp điểm đều cách toàn diện, tức là mợi tiếp điểm đều được liên kết với nhauđược liên kết với nhau

Các liên kết này sẽ trở nên dẫn khi khi Các liên kết này sẽ trở nên dẫn khi khi được lập trình, gọi là antifuse – phản cầu được lập trình, gọi là antifuse – phản cầu trìtrì

Page 48: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 4848Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

3.1. Phản cầu trì (antifuse)3.1. Phản cầu trì (antifuse)

Trái ngược với cầu trì, phản cầu trì có Trái ngược với cầu trì, phản cầu trì có điện trở rất lớn, tương đương với một điện trở rất lớn, tương đương với một mạch hở.mạch hở.

R>>>

I=>0

Page 49: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 4949Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

Page 50: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 5050Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

3.1. Phản cầu trì (antifuse)3.1. Phản cầu trì (antifuse)

Khi có 1 dòng điện lập trình khoảng 5mA Khi có 1 dòng điện lập trình khoảng 5mA chạy qua, phản cầu trì sẽ trở lên dẫn điệnchạy qua, phản cầu trì sẽ trở lên dẫn điện

R

I=5mA

Page 51: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 5151Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

3.2. RAM tĩnh3.2. RAM tĩnh

READ or WRITE

DATA

Q

Q’

SRAM có thể được tao ra bằng việc lập SRAM có thể được tao ra bằng việc lập trình ASIC để tạo ra các phần tử nhớ như trình ASIC để tạo ra các phần tử nhớ như sau:sau:

Page 52: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 5252Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

3.3. Công nghệ EPROM và EEPROM3.3. Công nghệ EPROM và EEPROM

Cấu trúc 1 cell EPROMCấu trúc 1 cell EPROM

Source Drain

+Vpp=12VGate 2

Gate 1

GND

electrons

Với điện áp Với điện áp lập trình >12V lập trình >12V VVpppp áp vào áp vào

drain, các điện drain, các điện tử sẽ nhẩy lên tử sẽ nhẩy lên Gate1Gate1

Page 53: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 5353Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

3.3. Công nghệ EPROM và EEPROM3.3. Công nghệ EPROM và EEPROM

Cấu trúc 1 cell EPROMCấu trúc 1 cell EPROM

Source Drain

+Vpp=12VGate 2

Gate 1

GND

No channel

Khi các điện tử Khi các điện tử bị bẫy ở Gate1, bị bẫy ở Gate1, transistor trở transistor trở lên không dẫn. lên không dẫn. Cell EPROM đã Cell EPROM đã được lập trìnhđược lập trình

Page 54: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 5454Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

3.3. Công nghệ EPROM và EEPROM3.3. Công nghệ EPROM và EEPROM

Cấu trúc 1 cell EPROMCấu trúc 1 cell EPROM

Source Drain

+Vpp=12VGate 2

Gate 1

GND

No channel

Khi các điện tử Khi các điện tử bị bẫy ở Gate1, bị bẫy ở Gate1, transistor trở transistor trở lên không dẫn. lên không dẫn. Cell EPROM đã Cell EPROM đã được lập trìnhđược lập trình

Page 55: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 5555Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

3.3. Công nghệ EPROM và EEPROM3.3. Công nghệ EPROM và EEPROM

Cấu trúc 1 cell EPROMCấu trúc 1 cell EPROM

Khi tác động bởi Khi tác động bởi ánh sáng cực ánh sáng cực tím, các điện tử tím, các điện tử lại trở về nền => lại trở về nền => transistor trở nên transistor trở nên dẫn – EPROM bị dẫn – EPROM bị xóaxóa

Source Drain

+Vpp=12VGate 2

Gate 1

GND

Ánh sáng cực tím

Page 56: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 5656Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

3.3. Công nghệ EPROM và EEPROM3.3. Công nghệ EPROM và EEPROM

EEPROM cũng tương tự EPROM chỉ khác EEPROM cũng tương tự EPROM chỉ khác là thay vì dùng ánh sáng cực tím để xóa là thay vì dùng ánh sáng cực tím để xóa chip( chip( tức đẩy các điện cực về vị trí nềntức đẩy các điện cực về vị trí nền) thì ) thì loại này cũng có thể dùng điện để xóa.loại này cũng có thể dùng điện để xóa.

Page 57: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 5757Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

Chương 4Chương 4Cell logic của các ASIC lập trình đượcCell logic của các ASIC lập trình được

Page 58: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 5858Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

Dẫn nhậpDẫn nhập

Các ASIC hoặc các FPGA đều cấu tạo từ Các ASIC hoặc các FPGA đều cấu tạo từ các cell logic cơ bản, được bố trí liên tiếp các cell logic cơ bản, được bố trí liên tiếp trên chip.trên chip.

Có 3 loại cell được sử dụng:Có 3 loại cell được sử dụng: Cell dựa trên bộ ghép kênhCell dựa trên bộ ghép kênh Cell dựa vào bảng tìm kiếmCell dựa vào bảng tìm kiếm Cell dựa vào mạch logic dải lập trình đượcCell dựa vào mạch logic dải lập trình được

Page 59: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 5959Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

4.1. ACT của Actel4.1. ACT của Actel

Page 60: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 6060Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

4.1.1. Module logic của ACT 14.1.1. Module logic của ACT 1

Các cell logic cơ bản trong họ FPGA ACT Các cell logic cơ bản trong họ FPGA ACT của Actel gọi là các các module logic LM.của Actel gọi là các các module logic LM.

Họ ACT 1 chỉ sử dụng một loại LMHọ ACT 1 chỉ sử dụng một loại LM

Page 61: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 6161Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

4.1.1. Module logic của ACT 14.1.1. Module logic của ACT 1

Page 62: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 6262Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

4.1.1. Module logic của ACT 14.1.1. Module logic của ACT 1

Các hàm logic sẽ được xây dựng thông Các hàm logic sẽ được xây dựng thông qua việc kết nối các tín hiệu logic đến một qua việc kết nối các tín hiệu logic đến một số hoặc tất cả các ngõ vào của các số hoặc tất cả các ngõ vào của các module logic.module logic.

Các ngõ còn lại sẽ được nối với VDD Các ngõ còn lại sẽ được nối với VDD hoặc GND.hoặc GND.

Page 63: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 6363Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

4.1.1. Module logic của ACT 14.1.1. Module logic của ACT 1

Ví dụ một hàm logic được xây dựng từ 1 Ví dụ một hàm logic được xây dựng từ 1 cell logic:cell logic:

Page 64: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 6464Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

4.1.2. Đ/L khai triển Shannon4.1.2. Đ/L khai triển Shannon

Ý tưởng của định lý này bắt nguồn từ hàm Ý tưởng của định lý này bắt nguồn từ hàm logic của bộ ghép kênh 2 đầu vào:logic của bộ ghép kênh 2 đầu vào:

F= S.A + S’.BF= S.A + S’.B 0

1

S

B

A

F

Page 65: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 6565Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

4.1.2. Đ/L khai triển Shannon4.1.2. Đ/L khai triển Shannon

Phát biểu:Phát biểu: Mọi hàm logic F có thể được triển khai theo Mọi hàm logic F có thể được triển khai theo

biến A như sau:biến A như sau:

F = A.F(A=1) + A’.F(A=0)F = A.F(A=1) + A’.F(A=0)

Trong đó:Trong đó:F(A=1) là biểu diễn của F với A=1F(A=1) là biểu diễn của F với A=1

F(A=0) là biểu diễn của F với A=0F(A=0) là biểu diễn của F với A=0

Page 66: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 6666Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

4.1.2. Đ/L khai triển Shannon4.1.2. Đ/L khai triển Shannon

Vi dụ:Vi dụ:

F = A’.B + A.B.C’ + A’.B’.CF = A’.B + A.B.C’ + A’.B’.C

= A.(B.C’) + A’.(B + B’.C)= A.(B.C’) + A’.(B + B’.C)

Vậy mục đích là mọi hàm F cần phải Vậy mục đích là mọi hàm F cần phải chuyển về dạng chuyển về dạng F = A.F(A=1) + A’.F(A=0). F = A.F(A=1) + A’.F(A=0). Nhằm sử dụng phần tử MUXNhằm sử dụng phần tử MUX

Page 67: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 6767Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

4.1.2. Đ/L khai triển Shannon4.1.2. Đ/L khai triển Shannon

Vi dụ thiết kế mạch sử dụng ACT 1 cho Vi dụ thiết kế mạch sử dụng ACT 1 cho hàm sau:hàm sau:

F = A.B + (B’.C) + DF = A.B + (B’.C) + D

Page 68: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 6868Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

4.1.3. Tạo hàm từ ACT14.1.3. Tạo hàm từ ACT1Sử dụng ACT1 để tạo ra các phần tử logic cơ Sử dụng ACT1 để tạo ra các phần tử logic cơ bản và các hàm logic thông dụngbản và các hàm logic thông dụng

Page 69: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 6969Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

4.1.3. Tạo hàm từ ACT14.1.3. Tạo hàm từ ACT1

Bài tập:Bài tập:1.1. Thiết mạch cho các hàm sau sử dụng ACT1Thiết mạch cho các hàm sau sử dụng ACT1

F1 = a.b.c.dF1 = a.b.c.d

F2 = a+b+c+dF2 = a+b+c+d

F3 = F3’F3 = F3’

2.2. Thiết kế bộ cộng đủ 4 bit sử dụng ACT1Thiết kế bộ cộng đủ 4 bit sử dụng ACT1

3.3. Áp dụng định lý shannon vào MUX để giải bài 1Áp dụng định lý shannon vào MUX để giải bài 1

4.4. Thiết mạch thực hiện hàm sau:Thiết mạch thực hiện hàm sau:F = a + b’ + a.d + b.d’F = a + b’ + a.d + b.d’

5.5. Thiết kế mạch giải mã tại địa chỉ 314hThiết kế mạch giải mã tại địa chỉ 314h

Page 70: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 7070Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

4.1.4  ACT 2 và ACT 34.1.4  ACT 2 và ACT 3

(a) The C-Module (a) The C-Module for combinational for combinational logic.logic.

(b) The ACT 2 S-(b) The ACT 2 S-ModuleModule

(c) The ACT 3 S-(c) The ACT 3 S-Module.Module.

(d) The equivalent (d) The equivalent circuit (without circuit (without buffering) of the SE buffering) of the SE (sequential (sequential element).element).

(e) The sequential (e) The sequential element configured element configured as a positive-edge–as a positive-edge–triggered D flip-flop. triggered D flip-flop.

Page 71: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 7171Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

4.2  Xilinx LCA 4.2  Xilinx LCA

Page 72: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 7272Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

4.2.1  XC3000 CLB4.2.1  XC3000 CLB

Dựa vào các Dựa vào các MUX lập trình MUX lập trình được F/G có được F/G có thể dược nối thể dược nối tới X/Y.tới X/Y.

Page 73: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 7373Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

4.2.1  XC3000 CLB4.2.1  XC3000 CLB

Bảng tìm kiếm (LUT – Look up table) lập trình Bảng tìm kiếm (LUT – Look up table) lập trình được.được. Xét hàm F = a.b + c có bảng trân lý như sau:Xét hàm F = a.b + c có bảng trân lý như sau:

FF 0000 0101 1010 1111

00 00 00 00 11

11 11 11 11 11GM

GMa b

c

F Với mỗi tổ hợp Với mỗi tổ hợp đầu vào a,b,c bất đầu vào a,b,c bất kỳ được được kỳ được được giải mã tương giải mã tương ứng tới một ô ứng tới một ô trong LUT xác trong LUT xác định giá trị của định giá trị của hàmhàm

Page 74: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 7474Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

4.2.1  XC3000 CLB4.2.1  XC3000 CLB

GM

a

b

c

0

1

0

1

F

Look up table (EPROM)

000

001

010

111

Page 75: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 7575Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

4.2.2 XC4000 Logic Block4.2.2 XC4000 Logic Block

Page 76: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 7676Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

4.3  Altera MAX 4.3  Altera MAX

Phần tử chính của Altera MAX là các mảng Phần tử chính của Altera MAX là các mảng cổng lập trình đượccổng lập trình được

Thực chất là dẫy các phẩn tử not, and, or được Thực chất là dẫy các phẩn tử not, and, or được tích hợp với số lượng lớn và một IC, và các tích hợp với số lượng lớn và một IC, và các hàm logic được lập trình bằng việc liên kết các hàm logic được lập trình bằng việc liên kết các phần tử logic đóphần tử logic đó

Page 77: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 7777Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

Page 78: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 7878Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

4.4  Altera MAX 4.4  Altera MAX

Page 79: Asic Va Vlsi

Thái nguyên 08/2008 Slide Slide 7979Thiết kế vi mạch VLSI - ASIC - FPGAThiết kế vi mạch VLSI - ASIC - FPGA

Phát triển một ứng dụng bằng vi mạch lập Phát triển một ứng dụng bằng vi mạch lập trình đượctrình được Bài toán bơm nướcBài toán bơm nước Bài toán máy giặtBài toán máy giặt