29
The Art of Engineering, AMPLIFIED ® TowerJazz Analog Mixed-Signal Power Management IC Reference Flow Cadence Custom IC Design Flow Solutions

Cadence Tgs

Embed Size (px)

Citation preview

Page 1: Cadence Tgs

The A

rt o

f E

ng

inee

rin

g, A

MP

LIF

IED

®

TowerJazz Analog Mixed-Signal Power Management IC Reference Flow

Cadence

Custom IC Design Flow Solutions

Page 2: Cadence Tgs

© 2009 Cadence Design Systems, Inc. All rights reserved.

10/27/2010

Outline

• Overview of Cadence Design Solutions

• Reference Flow

– Major Mixed-Signal Reference Sub-Flows

– Cadence Mixed-Signal Design Platforms

– Analog Block Design Sub-Flows

• Schematic Capture

• Verification

• Layout Implementation

• Reference Design Example

– TS018 (180nm BCD) Bandgap Reference Design

– Reference Design Kit (RDK)

Page 3: Cadence Tgs

© 2009 Cadence Design Systems, Inc. All rights reserved.

10/27/2010

IP

Design Foundry

Cadence

Cadence at the core of product development

Cadence

3

Page 4: Cadence Tgs

© 2009 Cadence Design Systems, Inc. All rights reserved.

10/27/2010

Solution Offerings

Low Power Mixed Signal Advanced NodeEnterprise VerificationSystem Development

Cadence strategyBuild complete solutions for pressing challenges

Design outsourcing

Infrastructure

outsourcing

Design environment

Enablement

Methodology

enhancement

Hosted and managed

design solutions

Design fundamentals

(Training)

Service OfferingsEcosystem

Systems

Foundry

IP

Standards

Alliances

EDA Partners

4

Page 5: Cadence Tgs

© 2009 Cadence Design Systems, Inc. All rights reserved.

10/27/2010

5

Evolution of Mixed Signal DesignTechnology Implications

Older Design

Physical hierarchy

separates digital

and analog

Latest Design

Digital and analog

distributed

throughout design

Page 6: Cadence Tgs

© 2009 Cadence Design Systems, Inc. All rights reserved.

10/27/2010

Virtuoso: The gold standard for mixed-signal ICs

Virtuoso platform

VERIFY

IMPLEMENT

DESIGN

MA

NU

FA

CT

UR

AB

ILIT

Y

Process design kits and SKILL

1000s of customer tapeouts

Trusted by leading foundries and IP providers

Production-proven PDKs available for every foundry

Deep knowledge and expansive expertise within engineering community

Integrated digital verification and implementation

6

Page 7: Cadence Tgs

© 2009 Cadence Design Systems, Inc. All rights reserved.

10/27/2010

OpenAccess

Mixed-Signal Implementation SolutionInteroperability Technology

7

Virtuoso®

(Custom)Encounter®

(Digital)

• Black-box methodology insufficient

• Common data base for design data and

constraints

• Area, power, noise tradeoff during

floorplanning

• Concurrent analog and digital layout

• Full chip signoff covering AMS blocks

• Facilitate collaboration between analog

and digital designers

Page 8: Cadence Tgs

© 2009 Cadence Design Systems, Inc. All rights reserved.

10/27/2010

Power Management IC Implementation Challenges

© 2009 Cadence Design Systems, Inc. All rights reserved.8

Multiple Power Supply Voltage Domains- Need to avoid connection errors such as connection to the wrong power

domain

- Advanced low-power techniques are introducing new verification challenges

High Voltage - Voltage-dependent design rules

- Layout placement guidelines

- Special devices and layout isolation structures

High Power- Electromigration (EM) and Voltage drop analysis (IR) verification a

must

- Possible thermal issues

- Possible reliability issues

Page 9: Cadence Tgs

© 2009 Cadence Design Systems, Inc. All rights reserved.

10/27/2010

VSE - XL

Built in Property Editor

Browser functionality

Auto-SchematicGeneration

Hierarchy tree view

User-configurableworkspaces

CompleteConstraint entry

system

Search engine

VSE-L

Built on familiar foundation

IC61 Virtuoso IC Design PlatformVirtuoso Schematic Editor (VSE) Products and Features

VSE - L

Bookmarks

Skill customization

User definable toolbars

Multi-view Tabs

GXL

(future)

VSE-XL

Enhanced with powerful

assistants

9

Page 10: Cadence Tgs

© 2009 Cadence Design Systems, Inc. All rights reserved.

10/27/2010

IC61 Virtuoso Schematic Editor XL

Circuit

Prospector

Dockable

Assistants Constraint

Manager

Design

Navigator

Property

Editor

Page 11: Cadence Tgs

© 2009 Cadence Design Systems, Inc. All rights reserved.

10/27/2010

IC61 Virtuoso Simulation “Cockpit”Virtuoso Analog Design Environment (ADE)Products and Features

ADE-L Entry-level exploration

– Initial design development

ADE-XL Specification compliance

– Extensive analysis and

verification

ADE-GXL Extensive Analysis

– Design finishing up to six sigma

yield standards

– Early parasitic exploration

ADE - GXL

Parasitic Estimation

6-sigma DFY

GlobalOptimization

SensitivityAnalysis

LocalOptimization

SiP support

MismatchAnalysis

Design Characterization

Specification Generation

Matlab/OceanMeasure support

Monte Carlo

Sweeps and Corners

Fast waveform Visualization

Multiple Testbenches

ADE - XLADE - L

Parametric sweeps

3rd party simulator support

Ocean scripting

11

Page 12: Cadence Tgs

© 2009 Cadence Design Systems, Inc. All rights reserved.

10/27/2010

IC61 ADE L Simulation CockpitA new interactive user interface

New

icons

Loaded Design

Parameterized Stop Freq

Status bar during simulation Loaded State

Edit Variables here

12

Page 13: Cadence Tgs

© 2009 Cadence Design Systems, Inc. All rights reserved.

10/27/2010

April 15, 2011 Cadence Proprietary13

Increase Quality and Test Coverage with:A Single Analysis Environment – ADE XL

Setup & manage

Multiple tests

Setup Signals to plot,

Measures etc

Results

summary

Sweeps

Corners

Monte Carlo

Parallelized

Simulation

Documentation

Page 14: Cadence Tgs

© 2009 Cadence Design Systems, Inc. All rights reserved.

10/27/2010

April 15, 2011 Cadence Proprietary - All Rights Reserved14

ADE XL Datasheet Creation

Page 15: Cadence Tgs

© 2009 Cadence Design Systems, Inc. All rights reserved.

10/27/2010

Extensive Analysis and further productivity with:Virtuoso ADE GXL

15

Automate design centering

– Find the optimum power/speed

– Maximize yield up to 6 sigma

Well integrated parasitic analysis

– Explore parasitic effects early

– Reduce iterations through Layout

Assist key parts of the design flow

– Behavioral model calibration to SPICE accuracy

• Calibrate VerilogA, AMS or Liberty

Test benches

Analysis

Parameters

Documentation

Model

Calibration

Page 16: Cadence Tgs

© 2009 Cadence Design Systems, Inc. All rights reserved.

10/27/2010

16

Powerful Layout Creation and Editing Virtuoso Layout Suite (VLS)

• VLS L

Foundation Layout Editing

– Layout entry,built on a common userinterface and infrastructure

• VLS XL

Designer productivity though assisted automation

– Connectivity, constraint & design rule driven layout

• VLS GXL

Designer Productivity though full automation

– Full placement, routing, device generation, and optimization automation

VLS GXL

Floorplanning

OptimizationDFY/DFM

Block Placer

AnalogPlacer

Cell Planning

Modgens

VCAR

Digital Placer

VLS XL

Design RuleDriven Editing

ConstraintDriven layout

Wire Editor

SchematicDriven layout

Guided Routing

Point-to-pointRouting

Push/Shove

VLS L

DRD Q-Cells

QT UI/GUI

Workspaces

Manual Editing

Turbo Toolbox

Toolbars

Assistants

RIDE

VLM

Page 17: Cadence Tgs

© 2009 Cadence Design Systems, Inc. All rights reserved.

10/27/2010

Unified Design Constraint System Adding Constraints to the Schematic

Old MethodDesigner notes added to the schematic

New MethodCaptured in Constraint System

Tracked and Enforced throughout the

Design Flow

Constraint Types:

-Electrical

-Placement

-Routing

Page 18: Cadence Tgs

© 2009 Cadence Design Systems, Inc. All rights reserved.

10/27/2010

Unified Design Constraint System Assisted Constraint Creation using the VSE The Circuit Prospector

Circuit

Prospector

Design

Navigator

Circuit

Finders

Grouped

ResultsProspector

Finder Results

Highlighted on

Canvas

Constraint Creation

Button

Page 19: Cadence Tgs

© 2009 Cadence Design Systems, Inc. All rights reserved.

10/27/2010

19

Virtuoso Platform Integrated Design GXL Level: Automated level of design creation

• VLS-GXL inherits the functionality of VLS-L

and VLS-XL

– Adds tokened plug-ins to run advanced &

automated tasks

• Floorplanning

• Cell Planning

• Modgens

• Analog/Custom Placer

• Custom Digital Placer

• Block Placer

• Virtuoso Space Based Router (VSR)

• Virtuoso Chip Assembly Router (VCAR)

• Virtuoso Layout Migrate (VLM)

Modgen

Editor

Device

Placement

Pattern Editor

Page 20: Cadence Tgs

© 2009 Cadence Design Systems, Inc. All rights reserved.

10/27/2010

Analog/Power Management Specific FeaturesAdding Guard Rings

Assisted Using Multipart Paths Automated Using Guardring Utility

Page 21: Cadence Tgs

The A

rt o

f E

ng

inee

rin

g, A

MP

LIF

IED

®

Custom IC Flow SolutionsBGR Reference Design Database (RDK)

Overview

Page 22: Cadence Tgs

© 2009 Cadence Design Systems, Inc. All rights reserved.

10/27/2010

BGR Reference Design Kit (RDK) Overview

• Used to Demonstrate the TowerJazz AMS Reference Flow

• Flow Validation Vehicle

• Version 1.0 Available for Download Soon

– Available for download from TowerJazz Web Site for Qualified Customers

• TowerJazz-Supplied IP Block

– Band Gap Reference Block

– Based on the TS018 180nm Power Management Enabled BCD Process

• Portability

– 100% Cadence Virtuoso-Based Flow

– No External Library Dependencies

– Only Specific Environment and Tool Configuration Dependencies

• Includes

– Intermediate and Final Design Files

– Simulation Testbenches and Results

– Verification Run Scripts (Assura and QRC)

• Workshops Covering Complete Analog Block Design and Implementation Flows to be Available end of Q410

Page 23: Cadence Tgs

© 2009 Cadence Design Systems, Inc. All rights reserved.

10/27/2010

Reference Design Database (RDK) Structure

DESIGNS

TECH

WORK

bin

etc

setup

TS018

GPDK180

custom

BGR oa

doc

doc

TS018

spectre

README.txt

Makefile

cds.lib

$P

RO

JE

CT

User

Workarea

User workspace – tools

generally launched from

here – contains

environment setup files

common.lib

assura_tech.lib

.cdsinit

project.cshrc

BGR_IP

gds

Reference Design Specific

Files

TowerJazz ts018 PDK Files

(Flow Enablement)

oa

spectre

assura

ts018_v1.1

assura

ts018_pm_prim

Page 24: Cadence Tgs

© 2009 Cadence Design Systems, Inc. All rights reserved.

10/27/2010

BGR Top-Level Schematics

Page 25: Cadence Tgs

© 2009 Cadence Design Systems, Inc. All rights reserved.

10/27/2010

BGR Top-Level Layout

Page 26: Cadence Tgs

© 2009 Cadence Design Systems, Inc. All rights reserved.

10/27/2010

TowerJazz RDK Complete AMS Reference Flow

Page 27: Cadence Tgs

© 2009 Cadence Design Systems, Inc. All rights reserved.

10/27/2010

Cadence Product Requirements

Table: Cadence Tool Product List

Product Name Release Release

Type

Key Product

Features Tasks

Virtuoso (IC) 6.1.4 Update

VSE XL

ADE GXL

VLS GXL

Schematic capture

Simulation environment

Layout design

Assura 41USR1OA_614 Update Assura DRC, LVS

EXT 09.11.004 Update QRC RC Extraction

MMSIM 7.2 Base Spectre Circuit Simulation

Page 28: Cadence Tgs

© 2009 Cadence Design Systems, Inc. All rights reserved.

10/27/2010

TowerJazz RDK Release Schedule

Q410

AMS Flow v1.0

Design: BGR

Process: TS018

Tools/BOM- IC 6.1.4

- ASSURA 4.1

- MMSIM 7.2

- EXT 9.1.1

Flow/Database

AMS block

AMS flow documentation

Workshops

Committed Planned

Q211

AMS Flow v2.0

Design: BGR

Process: TS018

Tools/BOM- IC 6.1.5

- ASSURA 4.1

- EDI 10.1

- EXT TBD

- IUS TBD

- MMSIM TBD

Flow/Database

AMS block

AMS flow documentation

Workshops

v2.0 Planned Enhancements

• Addition of Digital Block to

Reference Design

• Demonstration of

Encounter (EDI)

Interoperability

• Mixed-Signal Simulation

using AMSD/Ultrasim

• Virtuoso Power Solution

(VPS) System

• IC6.1.5 Productivity

Enhancement Features

Page 29: Cadence Tgs

The A

rt o

f E

ng

inee

rin

g, A

MP

LIF

IED

®

©2006 Cadence Design Systems, Inc.

Thank-You!