56
Cell-Based Design Flow 1 林丞蔚 [email protected]

Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

  • Upload
    doanbao

  • View
    219

  • Download
    4

Embed Size (px)

Citation preview

Page 1: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Cell-Based Design Flow

1

林丞蔚

[email protected]

Page 2: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Outline

• Overview

• Design Flow – 1

– RTL Development

– Synthesis

– Gate Level Simulation

• Design Flow – 2

– Placement and Routing

• Example Design

– IC Contest 2006

• Project Assignment2

Page 3: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Outline

• Overview

• Design Flow – 1

– RTL Development

– Synthesis

– Gate Level Simulation

• Design Flow – 2

– Placement and Routing

• Example Design

– IC Contest 2006

• Project Assignment3

Page 4: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Cell-based Design Flow Overview

4

• A design flow is a set of procedures that allows designers to progress from a specification for a chip to the final chip implementation in an error-free way.

Page 5: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Cell-based Design Flow

Specification DevelopmentSystem Models

RTL code developmentFunctional Verification

SynthesisTiming Verification

Physical Synthesis/Place and RoutePhysical Verification

PrototypeBuild and Test

System Architecture

RTL

Synthesis

Physical Design

System Integration and Software Test

Source: CM: 5086 VLSI Design Lab

5

Page 6: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Cell-based Design Tool

• System Architecture/SW simulation

– C/C++, Matlab, System C, System Verilog…

• RTL

– NC-Verilog, NC-VHDL, nLint, Debussy…

• Synthesis

– RTL Compiler, Design Compiler, PrimePower…

• Physical Design

– SoC Encounter, Astro, Calibre, Nanosim…

6

Source: CM: 5086 VLSI Design Lab

Page 7: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Outline

• Overview

• Design Flow – 1

– RTL Development

– Synthesis

– Gate Level Simulation

• Design Flow – 2

– Placement and Routing

• Example Design

– IC Contest 2006

• Project Assignment7

Page 8: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

RTL Development

• Development / simulation

– NC-verilog

• Unix:> ncverilog <your_testbench_file> +access+r

8

Page 9: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

RTL Development

• Check the simulation output

– Dump waveform from testbench when simulation

• $fsdbDumpfile(“triangle.fsdb”);

– nWave

• Unix:> nWave

9

Page 10: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

RTL Development

• Verilog dump related command

– VCD file format(Value Change Dump)

• $dumpfile()

– FSDB file format(from Novas)

• $fsdbDumpfile(“output.fsdb”);

• Fsdb file is the input of Verdi

• Verdi(debussy): a powerful debugging tool provided by NOVAS

– Unix:> Verdi

10

Page 11: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

RTL Development

• nLint from NOVAS

– Unix:> nLint –gui &

– Run->Compile

– Run->Lint

11

/cad/EDA/spring/verdi/2006.04v1/nLint/doc/pdf/rules.pdf

Page 12: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Outline

• Overview

• Design Flow – 1

– RTL Development

– Synthesis

– Gate Level Simulation

• Design Flow – 2

– Placement and Routing

• Example Design

– IC Contest 2006

• Project Assignment12

Page 13: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Synthesis

• Synthesis=translation+ optimization+ mapping

13

Residue = 16’h0000;If(high_bits==2’b10)

residue = state_table[i];Else state_table[i] = 16’h0000;

HDL Source(RTL)

Translate(HDL Compiler)

Optimize + Map

(Design Compiler)

Generic Boolean

Target Technology

NO Timing Info =>

Timing Info =>

Source: CIC Jan.08 Design Compiler

Page 14: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Synthesis

• Design Compiler

– It synthesizes your designs (Verilog) into optimized technology-dependent, gate-level designs.

• Use Design Compiler GUI

– Startup x-win ( or any other X terminal application)

– $design_vision

14

Page 15: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Synthesis

• Environment Setup

– /home directory/.cshrc : set path and license of synthesis tool

– /your working directory/.synopsys_dc.setup : setup technology file, designware library file…etc

• Use DC-TCL script file(.tcl)

– Set design constraints

– Unix:> design_vision-xg -f syn.tcl

15

Page 16: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Synthesis

• Detail of synopsys_dc.setup

ASIC Technology file

For schematic

For Designware

16

Page 17: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Your Design Name

Synthesis

• Modify the syn.tcl

Your Design Name

Synopsys

Design

Constain

sdc file:synopsys design constrains

sdf file:standard delay format

17

Page 18: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Synthesis

• SDC file : synopsys design constrain

– Setup input/output delay and loading

• SDF file : standard delay format

– Setup the rising/holding/falling time for each cell of your design

18

Page 19: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Synthesis

• Put the “RTL file”, “.synopsys_dc.setup” and “syn.tcl” to your working directory, or assert the setup commands by hand, while synthesis.

• Under your working directory, make new directories, Report and Netlist, for saving synthesis reports.

19

Page 20: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Synthesis

• Output result

command

Command return result(error)

command

Command return result(done)

20

Page 21: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Synthesis

• The synthesis information is in your “Report” directory

Timing.txt

21

Page 22: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Outline

• Overview

• Design Flow – 1

– RTL Development

– Synthesis

– Gate Level Simulation

• Design Flow – 2

– Placement and Routing

• Example Design

– IC Contest 2006

• Project Assignment22

Page 23: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Gate Level Simulation

• Verify your synthesis result

– Modify your testbench

• sdf_annotate(“triangle.sdf“, top)

• `include “CHIP.v”

• Unix:> ncverilog <YourTestBench.v> -v ./tsmc13_neg.v +access+r

23

Page 24: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Outline

• Overview

• Design Flow – 1

– RTL Development

– Synthesis

– Gate Level Simulation

• Design Flow – 2

– Placement and Routing

• Example Design

– IC Contest 2006

• Project Assignment24

Page 25: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

SOC Encounter Placing & Routing Flow

IO, P/G Placement

25

Netlist(verilog)

Timing Constrain(sdc)

IO Constrain

Specify floorplan

Timing Analysis

Pre-CTS OptimizePower Planning

Power Analysis

Clock Tree Synthesis

Timing AnalysisPost-CTS Optimize

Power Route

SI Driven RouteTiming/SI AnalysisPost-Route Optimize

GDS file

Netlist, DEF

4 Main Step(must be in order):

IO Placement, Cell Placement, CTS, SI Driven Routing

Source: CIC Jan. 2008, SoC Encounter

Page 26: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Basic View

• $encounter

Floorplan view

Ameoba view

Physical view

26

Page 27: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Project Setup

• Design -> Design Import

netlist

Cell Library

Physical

Library

IO Map file

27

Page 28: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

IO, Power/Ground Placement

• Floorplan -> Connect Global Nets…

28

Page 29: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Floorplan

• Floorplan -> Specify Floorplan

29

Page 30: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Cell Placement

• Place -> Standard Cell And Blocks

30

Page 31: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Power Planning

• Power -> Power Planning -> Add Rings

31

Page 32: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Clock Tree Synthesis

• Clock -> Design Clock…

32

Page 33: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Rounting

• Route -> Special Route…

33

Page 34: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Rounting

• Route -> NanoRoute…

34

Page 35: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Result Analysis

• Final step

– DRC LVS verification

• Verify -> Verify Connectivity

– Timing analysis

• Timing -> Analysis Timing

– Post layout simulation

35

Page 36: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Outline

• Overview

• Design Flow – 1

– RTL Development

– Synthesis

– Gate Level Simulation

• Design Flow – 2

– Placement and Routing

• Example Design

– IC Contest 2006

• Project Assignment36

Page 37: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Example Design

• Triangle Rendering Engine

– Get 3 consecutive pairs of coordinate for a triangle from system testbench

– Input will meet the following relation.(This means that

one side of the triangle will be vertical!)

– Output (xo, yo) coordinate

– Output “po” is active to indicate there is a valid coordinate output (xo, yo)

37

Page 38: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Example Design

• I/O Interface :

38

Page 39: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Example Design

• Basic Algorithm

– Given 2 point (x1, y1), (x2, y2). The line equation of 2 point form are :

– Any points in the right side of this line will satisfy the equation:

– Any points in the left side of this line will satisfy the equation:

39

Page 40: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Example Design

• Design Implementation

– Use 2 counters to count 0~7(3 bits), when (count_x, count_y) located in the triangle, active “po” as high.

– When count_x is betwee X1 and X_bound, active “po” as high.

40

Page 41: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Design Implement

• Finite State Machine

41

case(state)0: begin

if(nt) state = 1;end1: begin

state = 2;end2: state = 3;3: begin

if(&{cnt_x, cnt_y})beginstate = 0;

endend

Extra state for 3 pairs of input

Page 42: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Design Implement

• Input : Register shift module

– 3 register for x and y coord.

– Input data state : shift data to next register

– Computation state : keep data.

42

Assign mux = (compu_state) ? output : input;always@(posedge clk)begin

if(rst)output <= 3’b0;

elseoutput <= mux;

end

Output pass to the input port of next register

Page 43: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Design Implement

• X_bound calculation

– Case 1: x_bound += (y1-y2/x1-x2)

– Case 2: x_bound -= (y0-y1/x1-x0)

– Case 3: x_bound -= (y1-y2/x1-x2)

– Case 4: x_bound += (y0-y1/x0-x1)

43

v1v1

v2

v0

Case 1

Case 2

Case 3

Case 4

If(cnt_y < y1 && cnt_y >= y2)if(x1 > x2)

x_bound <= x_bound + dx1;else

x_bound <= x_bound – dx1;Else if(cnt_y >= y1)

if(x1 > x2)x_bound <= x_bound – dx2;

elsex_bound <= x_bound + dx2;

Page 44: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Design Implement

• “po” specification

44

assign po = (state = computation_state)

&& (cnt_y >= y2 && cnt_y <= y0 && x1 > x2 && cnt_x >= x2 && cnt_x <= x_bound)

&& (cnt_y >= y2 && cnt_y <= y0 && x1 <= x2 && cnt_x >= x_bound && cnt_x <= x2)

v1v1

v2

v0

Case 1

Case 2

Case 3

Case 4

Case 1, 2

Case 3, 4

Page 45: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Design Implement

• System architecture

45

Page 46: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Outline

• Overview

• Design Flow – 1

– RTL Development

– Synthesis

– Gate Level Simulation

• Design Flow – 2

– Placement and Routing

• Example Design

– IC Contest 2006

• Project Assignment46

Page 47: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Mini Project

• Goal : Compile / Simulate / Synthesis an Ethernet MAC project at opencores.org

– Tool(platform) used:

• Compile : ModelSim (win)

• Simulate : ModelSim(win)

• Synthesis : Design Compiler(Unix)

• Gate level simulation : ModelSim(win)

47

Page 48: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Mini Project

• Server IP

– 140.113.17.123~140.113.17.130 port: 22 (SSH)

– 140.113.17.158~140.113.17.159 port: 22 (SSH)

– 140.113.17.217~140.113.17.219 port: 22 (SSH)

• ID/password will be announced

48

Page 49: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Compile / Simulate - 1

• Just fallow the README instruction

– Open the project file

– Execute the scripts file

49

Page 50: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Compile / Simulate - 2

• Compiling and simulation message show in the command line window, and the waveform window pop up.

50

Page 51: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Synthesis

• Uncompress prepared file in Unix server

• Modify “syn.tcl”

– set DESIGN “eth_rxstatem”

– create_clock –period 40 [get_ports MRxClk]

• Unix:> design_vision-xg –f syn.tcl

• Check out the Report and Netlist file

51

Page 52: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Gate-Level Simulation - 1

• Get file at Netlist directory from Unix server

– eth_rxstatem_SYN.v, eth_rxstatem_SYN.sdf, tsmc13_neg.v

• Modify tb_eth.do in the project directory

– Comment line 82, type in line 83– (use gate-level v file instead of original one)

52

Page 53: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Gate-Level Simulation - 2

• Modify eth_rxethmac.v

– Add these lines as below and copy the “eth_rxstatem_SYN.sdf” file to where do.do is located

– (add the timing information for the new gate level v file)

53

Page 54: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Gate-Level Simulation - 3

• Modify eth_wave.do file

– Add these line as below– (add the Rx signal to the waveform window)

54

• Execute the simulation scripts again

• Modelsim > do do.do

Page 55: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Mini Project

• TODO list

– Take screen shot of each important step or result with text explanation

– Check out some signals in RX module in certain period of time, then find out the corresponding verilog code

– What is the main difference between two simulations (logic level v.s. gate level) in their waveform?

– Demo and turn-in a short report at 1:00-4:00PM 3/20(Fri.) at 715 電資大樓

55

Page 56: Cell-Based Design Flowspeed.cis.nctu.edu.tw/~ydlin/course/cn/nsd2009/IC_Design_Flow.pdf · Cell-based Design Flow Specification Development ... –FSDB file format ... sdc file:synopsys

Term Project

• Goal : Write a network hardware simulation module in verilog

– Quick scan of the top testbench file : tb_ethernet.v

– Select some properties of this project, ex : full duplex/half duplex

– Develop a simple test case to simulate the properties you choose, and explain the result

• Synthesis the corresponding module and take this gate level verilog file into term project testbench

• (just copy and modify from tb_ethernet.v)56