44
DIE3006, DSE3007 반도체프로세스 Chapter 5. 반도체공정-(1)리소그라피 1 1. 리소그라피(Photo lithography) (1) 리소그라피 정의 (2) 리소그라피 공정 (3) 분해능과 초점심도 (4) 차세대 리소그라피 기술 2. 실리콘 산화막 (Thermal oxidation) 3. 식각 공정 (Ethching process) 4. 확산공정 (Diffusion process), 이온주입법 (Ion implantation) 5. 필름 증착 공정 (Thin film evaporation process) 2 리소그라피(Lithography) 리소그라피(Lithography) 그리스어에서 파생된 말로서, 돌(Litho)과 인쇄(graphy)의 합성어로 석판 인쇄술을 의미 집적회로 제조과정에서 마스크(mask) 상의 회로 패턴을 웨이퍼(wafer) 위에 옮기는 공정 선택적인 보호막을 형성하여 부분적인 식각이 가능하게 함 리소그라피 공정의 구성 감광막 공정 (Photoresist process): 마스크를 통해 빛을 통과시켜 그 형태를 마스크로부터 감광제(photoresist)로 옮기는 공정 식각 (Etching process): 현상된 감광막 패턴을 이용하여 웨이퍼 상의 불필요한 부분을 제거하는 공정 리소그라피 (Lithography) 감광막 프로세스 Photoresist process 식각 프로세스 Etching process 3 리소그라피(Lithography) 리소그라피(Lithography) 소자의 고집적화를 위한 가장 핵심적인 기술 동일 면적당 메모리 용량의 지속적 증가: 반도체 소자의 집적도는 18개월마다 2배씩 증가 (Moore’s Law) 약 20-25회 적용되는 단일 최다 수요 공정 메모리 제조 공정시간의 60%, 총 생산 원가의 35% 차지 (a) Ion implantation (b) Dry (or Wet) etch process 4 감광막 프로세스 Photoresist process 식각 프로세스 Etching process 패턴 식각 (pattern etching) 감광막 제거 (PR strip) Next process 리소그라피(Lithography) 리소그라피(Lithography) 감광막 도포 (PR coating) 연화 건조 (soft bake) 마스크 정렬 (mask alignment) 노광 (exposure) 현상 (develop) 경화 건조 (hard bake) 웨이퍼 표면의 화학처리 (HMDS)

Chapter 5. 반도체공정-(1)리소그라피fpml.yonsei.ac.kr/NFUpload/nfupload_down.php?tmp_name=20181028121438... · 고분자량고분자(MW~65,000) UV광이감응제(photoactive

  • Upload
    others

  • View
    24

  • Download
    1

Embed Size (px)

Citation preview

  • DIE3006, DSE3007 반도체프로세스

    Chapter 5. 반도체공정-(1)리소그라피

    1

    1. 리소그라피(Photo lithography)(1) 리소그라피 정의 (2) 리소그라피 공정

    (3) 분해능과 초점심도 (4) 차세대 리소그라피 기술

    2. 실리콘 산화막 (Thermal oxidation)

    3. 식각 공정 (Ethching process)

    4. 확산공정 (Diffusion process), 이온주입법 (Ion implantation)

    5. 필름 증착 공정 (Thin film evaporation process)

    2

    리소그라피(Lithography)리소그라피(Lithography)그리스어에서 파생된 말로서, 돌(Litho)과 인쇄(graphy)의 합성어로 석판 인쇄술을 의미집적회로 제조과정에서 마스크(mask) 상의 회로 패턴을 웨이퍼(wafer) 위에 옮기는 공정

    선택적인 보호막을 형성하여 부분적인 식각이 가능하게 함

    리소그라피 공정의 구성

    감광막 공정 (Photoresist process): 마스크를 통해 빛을 통과시켜 그 형태를 마스크로부터

    감광제(photoresist)로 옮기는 공정

    식각 (Etching process): 현상된 감광막 패턴을 이용하여 웨이퍼 상의 불필요한 부분을

    제거하는 공정

    리소그라피 (Lithography)감광막 프로세스Photoresist process

    식각 프로세스Etching process

    3

    리소그라피(Lithography)리소그라피(Lithography)소자의 고집적화를 위한 가장 핵심적인 기술

    동일 면적당 메모리 용량의 지속적 증가: 반도체 소자의 집적도는 18개월마다 2배씩 증가

    (Moore’s Law)

    약 20-25회 적용되는 단일 최다 수요 공정

    메모리 제조 공정시간의 60%, 총 생산 원가의 35% 차지

    (a) Ion implantation

    (b) Dry (or Wet) etch process

    4

    감광막 프로세스Photoresist process

    식각 프로세스Etching process

    패턴 식각 (pattern etching)

    감광막 제거 (PR strip)

    Next process

    리소그라피(Lithography)리소그라피(Lithography)

    감광막 도포 (PR coating)

    연화 건조 (soft bake)

    마스크 정렬 (mask alignment)

    노광 (exposure)

    현상 (develop)

    경화 건조 (hard bake)

    웨이퍼 표면의 화학처리 (HMDS)

  • 5

    Pattern Preparation

    Stepper Exposure

    Develop & Bake

    Acid Etch

    Photoresist Coating

    리소그라피(Lithography)리소그라피(Lithography)

    6

    PRSiO2

    Si

    SiO2Si

    Mask

    SiO2Si

    SiO2Si

    SiO2

    Si

    SiO2

    Si

    Positive PR

    Negative PR

    리소그라피(Lithography)리소그라피(Lithography)

    7

    리소그라피(Lithography)리소그라피(Lithography)

    * Stepper: Step and Repeater

    8

    리소그라피(Lithography)리소그라피(Lithography)

  • 9

    리소그라피(Lithography)리소그라피(Lithography)

    http://www.fujitsu.com/global/about/resources/awards/20100618-meti.html10

    레티클(reticle) 제작

    컴퓨터에 의해 설계된 레이아웃(layout) 데이터를 패턴 형성기에 입

    력하고, 전자선을 감광막이 입혀진 크롬 유리판에 주사하여 패턴을

    형성

    reticle의 크기 : 실제 크기의 1~10 배

    패턴 형성기 : E-beam, Laser

    작업 마스크(working mask) 제작

    레티클로 유리판 위에 반복적인 패턴 형성

    패턴 준비(pattern preparation)패턴 준비(pattern preparation)

    11

    Negative resist 고분자량 고분자 (MW~65,000)

    UV광이 감응제(photoactive compounds)에 흡수되면 N-N 결합이 깨어지고 고활성의 dangling bond가 생성

    감응제가 고분자와 가교 반응을 일으켜 3차원 분자 네트워크를 형성, 노광된 PR은 현상액(developer)에 잘녹지 않음 용해속도 차이

    Positive resist

    저분자량 고분자 (MW=5,000~10,000)

    용해 방해제가 첨가된 PR 자체는 현상액에 잘 녹지 않음

    UV광이 감응제에 흡수되면 구조적으로 재배열(분해)하여 산(acid)이 되고, 노광된 감광제는 현상액에 잘 녹음

    감광성 수지 (Photoresist)감광성 수지 (Photoresist)

    g선, i선용 KrF 엑시머 레이저용

    명칭 노보락형 화학 증폭형

    감광제

    수지

    용매

    PAC(photo active compound)

    노보락계

    EL(Ethylene lactate)PGMEA

    PAG(photo acid generator)

    PHS계(Poly hydroxy styrene)

    PGMEA(propylene glycol monoethyl ether acetate)

    점도 ~25cp, 일부 80cp (물 1cp) 7~8cp, 일부 20cp

    (공유 결합 결정에서 격자 결함(格子缺陷)을 에워싼 원자군이 갖는) 불포화 결합.

    12

    감광성 수지 (Photoresist)감광성 수지 (Photoresist)

    Sensitizers

    PR의 성능 인자- 해상도 (Resolution) : 얼마나 Fine Pitch(미세한 선폭)를 얻을 수 있는가?

    - 대비 (Contrast) : 노광부와 비노광부 사이를 구분할 수 있는가?

    - 민감도 (Sensitivity) : 목표 패턴을 위해 필요한 광학반응을 일으키기 위해 필요한 입사광 에너지 양

    - 식각 저항성 (Etch Resistance) : 식각공정 (화학반응 및 열)에 견딜 수 있는 능력

  • 13

    마스크 제작 (Mask)마스크 제작 (Mask)

    마스크의 종류

    Emulsion mask

    - 감광성 물질인 AgX(emulsion)를 유리기판 위에 균일하게 도포(coating)하여 제작

    - 정해진 노출 회수를 사용한 후 폐기

    - 비용이 저렴하나 2.5 ㎛ 이하 사용 불가

    Hard mask

    - Cr, 산화철, Si 박막을 유리판 위에 형상화하여 제작

    - 1㎛ 이하 선폭 구현 가능

    Hard mask 기판 재료

    소다석회 유리

    알루미나-소다석회 유리

    붕규산 유리 ; 1.5mm 이하

    수정 유리 (Quartz); 1mm 이하

    14

    웨이퍼 전처리 (Wafer priming)웨이퍼 전처리 (Wafer priming)

    미세패턴 형성을 위한 양호한 PR 코팅을 돕기 위해 Adhesion promoter 사용은 필수공정

    -수용성 알칼리 현상액에 대한 용해 속도차 증가 감광제의 소수성 증가 코팅 불량 증가

    - Silazane gas 분사 [예, HMDS (hexamethyldisilazane)]

    - 화학적 처리에 의한 웨이퍼 표면 특성 변경 :친수성 (Si-O-H) 소수성

    PR 접착의 영향 인자

    -표면의 수분량 -PR의 젖음 성질

    -Primer의 종류 -노광 및 prebake의 지연시간

    -PR의 화학적 구조 -표면의 거칠기

    -코팅 공정 중 발생하는 응력 -표면 오염 정도

    실리콘을 위한 Primer: 실리콘 표면과 결합을 형성하며, 표면에 화학적 극성기 형성

    - 주로 실록산 결합 (siloxane linkages (Si-O-Si))

    1,1,1,3,3,3-hexamethyldisilazane (HMDS), (CH3)3SiNHSi(CH3)3, trichlorophenylsilane (TCPS),

    C6H5SiCl3, bistrimethylsilylacetamide (BSA), (CH3)3SiNCH3COSi(CH3)3 갈륨비소(GaAs)를 위한 Primer: GaAs의 경우 표면에 극성 작용기 가짐

    - monazoline C, trichlorobenzene, xylene

    15

    감광성 수지 도포 (PR Coating)감광성 수지 도포 (PR Coating)

    필요한 두께의 감광막을 스핀코터(spin coater)를 이용, 웨이퍼 전체에 균일하게 도포하는 공정

    PR은 감광성이며, 온도와 습도에 민감 오렌지 계통의 조명, 온도와 습도 제어에 주의

    두께와 균일성에 영향을 주는 요소

    - 점도, 용매종류, 고형분량, 회전수 및 회전시간, 가속도 등

    PR 두께 t=kp2/√k: 스핀코터 상수 (80~100). p: resist 고형분량 (%), w: 스피너 회전속도 (rpm/1000)

    -조건: 회전 속도 3000~6000 rpm + 시간15~30seconds

    -실리콘 공정을 위한 PR 두께는 1~2μm

    PR도포시의 발생 결함

    - speed boat : 웨이퍼 표면 상의 불순물의 영향- orange peel : 감광막 두께 과다

    16

    도포기의 회전수와 PR막의 두께

    PR 도포기의 단면

    감광성 수지 도포 (PR Coating)감광성 수지 도포 (PR Coating)

  • 17

    프리베이크 (Soft Bake, Prebake)

    용제를 증발시켜 감광막을 건조시키고, 접착도를 증가시키며 열에 의한 어닐링(Anealing) 효과로

    응력을 완화시키는 공정

    Prebake 장비- 대류식 오븐(convention oven): 표면의 용제 제거내부 용제 갇힘, 용제 burst effect 주의- 열판(hot plate): 빠르고, 조절 용이, 용제의 trap 없음, 자동화에 유리

    웨이퍼와의 양호한 열 접촉 및 가열의 균일성을 위한 평탄한 표면 필요- 초고주파 오븐(microwave oven), 적외선 오븐(infrared oven)

    전형적인 공정 변수: 70~95 ℃, 4~30min

    적당하지 못한 온도의 영향- 과소 : orange peel, 마스크 접착- 과대 : scum (잔류 감광막, 균일하고 얇은 감광막 잔류)

    18

    배열/노광/현상 단계 (Align/Expose/Develop Steps)

    19

    마스크 정열/노광 (Mask Alignment & Exposure)

    스텝퍼(stepper)의 구성 - 미세 패턴 형성 및 안정한 패턴 형성은 파장과 개구수에 의해 결정

    *개구수: 빛을 집광하는 능력

    20

    마스크 정렬/노광 (Mask Alignment & Exposure)

    마스크의 상을 웨이퍼 표면에 정확히 맞추어 정렬한 다음, 마스크를 통하여 도포된 감광막을

    적절히 노광하는 공정

    노광 장치

    - 광 노광 장치 : 접촉형, 근접형, 투사형, 투사 반복형(stepper)

    - 비광학 노광 장치 : E-beam, X-ray, Laser, Ion beam

  • 21

    Oriel Alignment Fixture

    마스크 정렬/노광 (Mask Alignment & Exposure)

    22

    Illumination light

    Mask pattern

    PhotoresistWafer

    gap

    접촉 및 근접 노광(Contact & Proximity Lithography)

    투영 노광(Projection Lithography)

    Condenser lens

    Illumination light

    Diffracted light

    Projection lens

    Mask pattern

    PhotoresistWafer

    접촉노광: 감광제가 묻어나 마스크의 수명 단축근접노광:노광중 감광제에서 가스발생 분해능 감소, 재현성 저하, 해상도 한계

    반도체의 주력기술: 투영 노광 기술

    마스크 정렬/노광 (Mask Alignment & Exposure)

    23

    광원 - g-line (436nm)- i-line (365nm)

    - KrF (248nm)- ArF (193nm)

    일반 수은등

    Eximer laser

    마스크 정렬/노광 (Mask Alignment & Exposure)

    Extreme UV -10㎚ (ASML)

    24

    현상 (Develop)

    빛에 노출되어 성질이 변한 감광막을 현상액을 사용하여 제거시키는 공정

    현상액 및 세척액

    - 양성 감광막 : NaOH, KOH, TMAH(N(CH3)4OH) ; H2O

    - 음성 감광막 : Xylene, Stoddard’s solvent ; n-butylacetate ( )

    Caution) One of the most widely used is Stoddard Solvent, a petroleum mixture that smells like kerosene. Again, inhalation of this solvent may affect the nervous system with symptoms such as dizziness, headaches or a prolonged reaction time. Turpentine, another widely used thinner, is an irritant to skin, eye, mucous membrane and the respiratory tract. It may also cause nervous system effects similar to those induced by Stoddard's Solvent.

  • 25

    현상 방법- spray 법 : 500~1,000 rpm spin - immersion 법 : 현상액과 세척액에 순차적으로 담그는 방법

    불량

    - 잔류 감광막 (scum)

    현상 (Develop)

    26

    현상 (Develop)

    노광후의 잔류 PR의 두께

    - Contrast: Eth와 E0 의 비

    (b) Negative resist(a) Positive resist

    1

    0

    10 ][log

    N

    Nth Positive PR의 경우 p = 2.2 Negative PR의 경우 n = 1.5

    * Contrast가 클수록 더 큰 수직 단차를 얻을 수 있어 더 나은 해상도를 얻을 수 있다.

    27

    포스트 베이킹 (Hard Bake, Postbake)

    잔여 용제/수분를 제거하여 감광막을 건조, 기판에 대한 감광막의 접착도를 증가시키는 공정

    Postbake 공정은 PR 열 수축 등에 의해 스트레스(응력)을 발생시킴

    장시간과 높은 온도조건은 PR제거를 어렵게 함

    충분한 시간과 온도에 의해 플라스틱 흐름이 가능 가장 자리의 PR 각도조절 가능

    전형적인 공정 변수100~150℃, 10~20min

    지나친 온도와 시간 영향양성 감광막 : etching 이후 감광막의 제거가 어려움음성 감광막 : puddling (감광막이 오므라드는 현상)

    28

    분해능(Resolution)과 초점심도(DOF: Depth of focus)

    분해능(RES): mask pattern의 노광시 전사될 수 있는 최소크기의 척도

    k1: 노광설비의 공정factor, : 광원의 파장, NA: 노광 광학계가 가지는 개구수(numerical aperture)

    ☞ 높은 분해능을 위해서는 짧은 파장과 개구수(NA)가 높은 광학계 (큰 렌즈) 이용 !

    초점 심도(DOF)

    - 초점심도 증가: 공정 여유 존재 패턴형성 용이

    - NA 증가: 해상도 , 초점심도 공정 여유

    NAksolution

    1Re

    1

    )( 22

    1

    222

    k

    RESk

    NAkDOF

    DOF(초점심도): 한 사진의 초점이 맞은 것으로 인식되는 범위

    NA(개구수): 빛의 집광 능력을 나타내는 수치

  • 29

    분해능(Resolution)과 초점심도(DOF: Depth of focus)

    30

    NA 증가: 렌즈의 크기는 노광설비 내 공간적 제한과 정밀 제작의 한계, 가격 상승

    공정계수(k1, k2): PR 감도, 장비의 유연성, 마스크 제작 및 현장공정의 난이도 등 복잡한 영향

    극미세 패턴 구현을 위해 단파장 광원 사용:광원개발, PR, 장비, 파장 축소의 한계 존재

    - g-line(436 nm) i-line(365 nm) KrF laser(248 nm)ArF laser(193 nm)

    새로운 파장을 위한 새로운 광학기술 및 재료개발이 필요.

    RES

    분해능(Resolution)과 초점심도(DOF: Depth of focus)

    31

    Hg / Xe Lamp Spectra

    g-line: 436 nmh-line: 405 nmi-line: 365 nm

    i h gExcimer Laser Sources

    분해능(Resolution)과 초점심도(DOF: Depth of focus)

    32

    지속적인 design rule 축소 대응: 광학계 개선, 공정, 소재(PR)

    해상도(resolution)을 올리는 방향으로 리소그라피 기술 발전

    광학적, 공정트릭을 사용하는 방법- 광학의 광학적 (optical aperture)를 증가시킴

    - 이중 패턴기술 (double patterning technique) 사용

    패턴형성을 위한 빛의 파장을 줄이는 방법- 1985: Mercury lamp i-line (365 nm)

    – 2002: ArF laser (DUV: 193 nm)

    – 2011 (?): Tin Plasmas (EUV: 13.5 nm)

    새로운 파장을 위한 새로운 광학기술 및 재료의 개발이 필요

    RES 와 DOF의 trade-off 특성 (ArF의 한계) X-ray lithography, nano-imprint lithography(NIL), E-beam lithography, Extreme Ultra-violet

    lithography(EUVL)

    차세대 리소그라피 기술 (Next Generation of Lithography Technology)

  • 33

    차세대리소그라피 기술 (Next Generation of Lithography Technology)

    극자외선 리소그라피 (EUVL, Extreme UV)

    - 대부분의 매질에 흡수되는 성질을 가진 13.5nm 극자외선 사용.

    마스크, 감광제, 광학계 등 노광공정의 전 영역에 새로운 개발 필요.

    - 기존 축소 투영 개념을 그대로 사용하므로, 대량생산이 가능한 유일의 차세대 노광 기술.

    - 공정 여유도와 집적도 향상을 동시에 달성 가능.

    - 고효율 반사형 다층박막 거울, 결함 없는 마스크, PR 성능, 광원개발, 검사장비 개발 필요.

    (출처) 물리학과 첨단기술 January/February 201134

    과제 6

    1. 리소그라피는 무엇이며, 반도체 제조공정에서의 역할은?

    2. 리소그라피 공정순서를 쓰시오.

    3. Stepper란 무엇인가?

    4. PR의 주요 성능인자는 무엇인가?

    5. PR 도포에서 두께와 균일성에 영향을 주는 요소를 쓰시오.

    6. Prebake 방식으로 열판(hot plate)를 사용하는 장점을 대류식 오븐과 비교하여 설명하시오.

    7. 마스크의 정열 및 노광에서 접촉 및 근접 노광방식의 단점에 대하여 설명하시오.

    8. 개구수와 광원의 파장이 분해능과 초점심도에 미치는 영향에 대하여 설명하시오.

    Chapter 6. 반도체공정- (2)실리콘산화막

    35

    DIE3006, DSE3007 반도체프로세스

    1. 리소그라피(lithography)

    2. 실리콘 산화막(1) 박막(thin film)의 역할 (2) 실리콘 산화막의 역할

    (3) 실리콘 산화막의 형성방법 (4) 실리콘 산화막: 열산화법

    (5) 실리콘 산화막의 요구특성/물성 (6) 실리콘 산화막의 특징

    (7) 실리콘 산화막: 속도론적 접근 (8) 실리콘 산화막 형성 공정

    3. 식각 공정

    4. 확산 / 이온주입 공정

    5. 박막 증착36

    박막의 역할 DRAM에 사용되는 각종 박막

    박막(Thin film) 역할박막(Thin film) 역할

    LSI칩에 사용되는 각종 박막

  • 37

    박막(Thin film)의 역할박막(Thin film)의 역할박막의 역할 절연막

    -소자 분리막: 트랜지스터를 전기적으로 분리

    -트랜지스터의 게이트 절연막: 이산화규소(SiO2)

    전기저항을 낮추는 역할

    -실리사이드(Silicide) 막 (WSi2, MoSi2, TiSi2, CoSi2) : 게이트 전극, P형/N형의 확산층 표면

    Si 보다 전기적으로 양성인 금속원소와 규소로 이루어지는 이원 화합물

    게이트 전극 위의 평탄화를 위한 절연막: B, P를 함유한 산화물 유리

    소자 사이를 전기적으로 연결하는 신호선, 전원선, 접지선 등: 미량 Cu 포함한 Al

    중간 배선: 텅스텐 또는 텅스텐 실리사이드

    층간 절연막: SiO2 DRAM의 커패시터 전극은 다결정 실리콘막, 절연막은 유전율이 비교적 높은 실리콘 질화막

    LSI의 가장 윗면은 손상이나 불순물 등을 막기 위해 치밀한 실리콘 질화막으로 보호

    38

    박막의 종류 Si 산화막

    Si질화막

    박막의

    종류

    Si3N4

    Undoped 산화막 (SiO2~USG)

    절연막

    Low k 유전율막

    Doped 산화막(PSG,BSG,BPSG)

    불소 Doped 산화막(FSG)

    Si3Nx(플라즈마 CVD에 의한 막)

    SiON(옥시 나이트라이드)

    폴리머 필름, H함유 SiO2. Porous SiO2등

    Low k 유전율막

    Ta2O5

    BST(티탄산 바륨.스트론티움0

    STO(티탄산 스트론티움)

    강유전체 막 PZT,PLZT등

    알루미늄.알루미늄 합금막

    고융점 금속막금속도체막

    실리사이드 막

    도전성 질화막

    Cu박막

    기타

    Al-Si,Al-Si-Cu,Al-Cu등

    W,Mo,Ti,Co등

    WSi2,MoSi2,TiSi2,CoSi2,TaSi2등

    TiN,TaN등TiN,TaN등

    Cu

    FRAM용의 신전극 재료- Ir,Pt,Ru2O 등

    반도체막

    에피택시얼 막

    폴리실리콘막

    아모퍼스 Si막

    Doped 및 Undoped막

    39

    박막의 제조방법박막(Thin film)의 형성박막(Thin film)의 형성

    40

    약어 설명

    CVD: Chemical Vapor Deposition (화학기상증착)

    PVD: Physical Vapor Deposition (물리기상증착)

    LPCVD: Low Pressure CVD (감압기상증착)

    APCVD: Atmosphere Pressure CVD (상압기상증착)

    PCVD: Plasma CVD (플라즈마증착)

    HDPCVD: High Density Plasma CVD (고밀도 플라즈마증착)

    박막(Thin film)의 형성박막(Thin film)의 형성

  • 41

    박막 형성 방법 열산화법

    - 산소나 수증기 분위기에서 실리콘을 고온으로 가열하여, 실리콘과 산소를 화학적으로 반응시켜

    SiO2 막을 형성 시키는 것

    Si + O2 SiO2Si + 2H2O SiO2 + 2H2

    CVD법

    -원료를 가스로 공급하고, 촉매반응을 이용하여 막을 형성시키는 방법

    -촉매 반응을 위해 열이 필요하며, 에너지 종류에 따라 thermal CVD, Plasma CVD 로 구분

    -성장시의 압력에 따라 구분: 대기압보다 낮은 감압CVD, 대기압상태의 상압 CVD

    PVD법 (스퍼터링)

    -고진공에서 금속이나 실리사이드(WSi2, MoSi2, TiSi2, CoSi2) 타겟에 높은 에너지의 아르곤(Ar) 원

    자를 충돌시켜, 튀어나오는 금속원자를 기판에 부착시켜 막을 형성시키는 방법

    박막(Thin film)의 형성박막(Thin film)의 형성

    재료의 성질, 제조방법의 특징 (성능, 생산성, 가격) 을 고려 42

    박막 형성 방법 CVD법

    PVD법 (스퍼터링)

    http://www.azonano.com/article.aspx?ArticleID=3427

    박막(Thin film)의 형성박막(Thin film)의 형성

    43

    실리콘 산화막의 역할(1) 이온주입, 도펀트의 확산을 위한 마스크 역할

    (2) 디바이스에서의 전기적 절연체

    (3) MOS에서의 gate oxide

    (4) 표면 보호층(surface passivation) (부식, 불순물, 스트레스 등)

    실리콘 산화막 (SiO2)의 역할실리콘 산화막 (SiO2)의 역할

    source와 drain을 연결하는 channel을형성하는 전하를 유기

    44

    실리콘 산화막의 역할

    실리콘 산화막 (SiO2)의 역할실리콘 산화막 (SiO2)의 역할

    [절연막]

    [실리콘 표면보호]

    [소자분리- Shallow Trench Insulator][소자분리-LOCOS]

    [이온주입 장벽]

  • 45

    온도에 따른 실리콘 산화막 형성 방법 T < 200 ℃ :

    - anodization : ethylene glycol (HO(CH2)2OH)+KNO3- vacuum deposition : SiO2, Si + O2- sputtering : coverage, stoichiometric

    - plasma deposition : H containing film

    250 ℃ < T < 600 ℃ : SiH4- CVD (SiH4 + O2 → SiO2 + 2H2)

    600 ℃ < T < 900 ℃ (열분해)

    - CVD (pyrolysis of Si(OC2H5)4, SiH4, SiCl4)

    800 ℃ < T < 1200 ℃ : 열산화법 (thermal oxidation)

    - dry and wet, or Cl incorporated oxidation

    실리콘 산화막의 형성 방법실리콘 산화막의 형성 방법(양극) Si2+ + 2OH- → Si(OH)2

    Si(OH)2 → SiO2 + H2(음극) 2H+ +2e- → H2

    46

    열산화법에 의한 실리콘 산화막 형성 (실리콘을 소모)

    실리콘 산화막의 형성 방법실리콘 산화막의 형성 방법

    47

    열산화법에 의한 실리콘 산화막 형성 (실리콘을 소모)

    실리콘 산화막의 형성 방법실리콘 산화막의 형성 방법

    45% silicon oxidation → 100% SiO2

    48

    열산화법에 의한 실리콘 산화막 형성

    단결정 실리콘 표면으로부터 SiO2 박막을 형성시키는 방법

    IC, MEMS 등에 널리 사용되며, PECVD, 전기화학 공정보다 중요성이 매우 큼

    높은 공정온도에서 실시 (700~1200 oC)

    실리콘은 뛰어난 산화막 형성 능력을 가짐

    실리콘 산화막: 열산화법 (Thermal oxidation)실리콘 산화막: 열산화법 (Thermal oxidation)

  • 49

    열산화법에 의한 실리콘 산화막 형성방법 실리콘(Si)을 소모하면서 Si-SiO2 경계에서 산화막 형성되며, 열산화에서 형성된 경계는 공기중에

    노출되지 않으므로, 불순물을 최소화할 수 있음

    건식 산화법(dry oxidation) 습식 산화법 (wet oxidation)

    Si + O2 SiO2 Si + 2H2O SiO2 + 2H2

    열산화 중 소모된 Si의 두께

    실리콘 산화막: 열산화법 (Thermal oxidation)실리콘 산화막: 열산화법 (Thermal oxidation)

    50

    습식산화법 vs 건식산화법

    - 높은 품질, 느린 성장 속도, 얇음- 낮은 품질, 빠른 성장 속도, 두꺼움

    Si + 2H2O SiO2 + 2H2 Si + O2 SiO2

    실리콘 산화막: 열산화법 (Thermal oxidation)실리콘 산화막: 열산화법 (Thermal oxidation)

    51

    열산화법에 의한 실리콘 산화막의 특성 우수한 전기적 특성

    - 높은 절연파단전압, 불필요한 전하(charge) 최소화

    - 우수한 전기절연성

    우수한 기계적 특성- 핀홀(pinfole) 없을 것, 두께 및 밀도 균일

    안정성, 재현성 우수하며, 노출된 Si표면에 균일한 산화막 성장

    실리콘 산화막의 요구특성/물성실리콘 산화막의 요구특성/물성

    Prof. Nathan Cheung, U.C. Berkeley52

    열산화법에 의한 실리콘 산화막의 특성

    일반적인 dopant에 대한 우수한 확산차단

    Si vs SiO2에서 우수한 식각 선택성 (etching selectivity)

    실리콘 산화막의 요구특성/물성실리콘 산화막의 요구특성/물성

    Prof. Nathan Cheung, U.C. Berkeley

    1) Fick`s 1st Law

    D: diffusivity(㎠/sec),φ=concentration

    2) Fick`s 2nd Law

  • 53

    실리콘 산화막의 물성

    산화막 두께: Profilemeter, UV-visible spectrometer, Ellipsometer, color chart

    - 산화온도, 시간, 산소나 수증기의 유량에 의존

    굴절률 (reflection index): Ellipsometer

    - 화학적 조성에 의존 SiO2 (1.46)~ Si (3.75)

    밀도(density)

    - 산화 후 웨이퍼 무게, 면적, 두께

    - 산화막의 구조적 defect 고려 필요

    핀홀(pin hole)

    - 밀도 변화

    - 핀홀을 통한 확산

    - 파단전압 감소

    식각 속도(etch rate)

    -산화막 구조 및 조성

    실리콘 산화막의 요구특성/물성실리콘 산화막의 요구특성/물성

    (식각용액 HF:HNO3:H2O = 15:10:100) 54

    실리콘 산화막의 물성 (두께)

    실리콘 산화막의 요구특성/물성실리콘 산화막의 요구특성/물성

    Ellipsometer (가장 대표적 방법)

    Profilemeter

    Color chart

    55

    실리콘 산화막의 구조

    2.65 g/cm3

    2.21 g/cm3

    [결정구조(crystalline structure]

    [무정형 구조(amorphous structure)] Thermal SiO2 전자이동

    실리콘 산화막의 특징실리콘 산화막의 특징

    56

    열산화 공정의 속도론적 접근(kinetics) 산화는 Si-SiO2 경계에서 일어나므로, O2 또는 H2O는 형성된 산화막을 통해 확산되어야 함

    산화(성장)속도는 시간과 산화막의 두께에 의존

    실리콘 산화막: 속도론적 접근(Kinetics)실리콘 산화막: 속도론적 접근(Kinetics)

  • 57

    열산화 공정의 속도론적 접근(kinetics) 산화는 Si-SiO2 경계에서 일어나므로, O2 또는 H2O는 형성된 산화막을 통해 확산되어야 함

    산화(성장) 속도는 시간과 산화막의 두께에 의존

    C0: 공기-SiO2 경계에서의 산화물질(O2, H2O)의 농도

    (molecules/cm3)

    Cs: Si-SiO2 경계에서의 산화물질(O2, H2O)의 농도

    (molecules/cm3)

    F1: 산화막을 통한 산소 (or water vapor)의 흐름(flux)

    F2: 반응 흐름(flux)

    실리콘 산화막: 속도론적 접근(Kinetics)실리콘 산화막: 속도론적 접근(Kinetics)

    F = F1 = F258

    열산화 공정의 속도론적 접근(kinetics)

    실리콘 산화막: 속도론적 접근(Kinetics)실리콘 산화막: 속도론적 접근(Kinetics)

    CS=F2/κ 를 식 F1에 대입하여 풀면,

    59

    열산화 공정의 속도론적 접근(kinetics)

    실리콘 산화막: 속도론적 접근(Kinetics)실리콘 산화막: 속도론적 접근(Kinetics)

    60

    열산화 공정의 속도론적 접근(kinetics)

    실리콘 산화막: 속도론적 접근(Kinetics)실리콘 산화막: 속도론적 접근(Kinetics)

  • 61

    산화 속도에 영향을 주는 요소• oxidants (산화제: H2O, O2) • Furnace내 gas 흐름

    • 온도 • 압력 (압력이 낮을 경우 산소 분압 증가산화율 증가)

    • Si 웨이퍼의 결정 방향 • 도핑된 불순물 농도

    [붕소(B) 도핑된 실리콘 습식방식]

    실리콘 산화막: 속도론적 접근(Kinetics)실리콘 산화막: 속도론적 접근(Kinetics)

    [인(P) 도핑된 실리콘 습식방식]

    62

    산화 속도에 영향을 주는 요소

    [압력에 따른 산화막 성장 두께]

    실리콘 산화막: 속도론적 접근(Kinetics)실리콘 산화막: 속도론적 접근(Kinetics)

    [Si 종류별(원자밀도) 산화시간의 영향]

    Si 입자간 거리가 커 침투 공간이 크다.

    63

    열산화로 (Oxidation Furnace)

    실리콘 산화막형성 공정실리콘 산화막형성 공정

    64

    열산화로 (Oxidation Furnace)

    실리콘산화막 형성공정실리콘산화막 형성공정

  • 65

    열산화법 및 장치

    실리콘 산화막(Thermal oxidation of silicon)실리콘 산화막(Thermal oxidation of silicon)

    66

    1. 반도체소자에서 박막의 형성방법에 대하여 비교 설명하시오

    2. 실리콘 산화막의 역할은?

    3. 건식 및 습식 열산화법에 의한 실리콘 산화막의 형성에 대한 화학반응식을 쓰시오.

    4. 1μm의 Si를 열산화시켜 SiO2 막을 형성하는 경우 예상되는 산화막의 두께는 얼마인지를 구하시

    오.

    5. 열산화법에 의한 실리콘 산화막의 특성을 쓰시오.

    6. 열산화법에 의해 형성된 실리콘 산화막은 결정구조인가? 무정형 구조인가?

    7. 열산화법에 의해 형성되는 실리콘 산화막의 성장속도는 ( )과 ( )에 의존한다.

    8. 산화속도에 영향을 주는 요소를 쓰시오

    과제 7

    제출기한 : 11/8 (수) 강의시간

    Chapter 7. 반도체공정 - (3)식각 공정

    67

    DIE3006, DSE3007 반도체프로세스

    1. 리소그라피( lithography)

    2. 실리콘 산화막

    3. 식각 공정(1) 식각(에칭) 공정 (2) 습식식각

    (3) 건식식각 (4) 세정

    4. 확산/이온주입 공정

    5. 박막 증착 공정68

    식각(에칭) 공정 (Etching Process)식각(에칭) 공정 (Etching Process)

    CMOS에서의 에칭

    STI: Shallow trench isolation반도체 기판에 트렌치를 형성하고, 트렌치 내부를 절연막으로 매립함으로써 소자분리막을 형성하는 기법

  • 69

    패턴전사 방법(Pattern transfer method)

    NOTE: photoresist has opposite polarity as final film; excess deposited film never touches the substrate wafer.

    Lift-Off(Additive)

    NOTE: photoresist has same polarity as final film; photoresist never touches the substrate wafer.

    Etch-back(subtractive) Lithography

    70

    식각(에칭) 공정 (Etching Process)식각(에칭) 공정 (Etching Process)식각 공정의 정의

    - PHOTO 공정에 의해 형성된 PR 패턴 등을 마스크로 사용하여 하부의 막에서 원하는 부분을

    남겨둔 채 필요 없는 부분을 화학적, 물리적, 또는 물리화학적 방법으로 제거하는 공정

    - 식각을 위한 식각마스크는 PR 또는 Oxide/nitride 막이 역할 수행

    식각 공정의 응용- 반도체 소자 - mask 제작

    - PCB (printed circuit board) 제작 - 예술작품 (art work)

    - 명패 (name plate) - 유리제품 (glassware)

    71

    [단계 1] 식각 물질(etchant)의 식각 대상 표면까지 확산

    [단계 2] 화학적 반응

    [단계 3] 반응부산물의 용액으로의 확산

    식각(에칭) 공정 (Etching Process)식각(에칭) 공정 (Etching Process)

    식각공정의 메커니즘

    72

    식각(에칭) 공정 (Etching Process)식각(에칭) 공정 (Etching Process)

    에칭속도(etch rate)

    - 웨이퍼 표면으로부터 제거물질을 얼마나 빨리 제거하는가를 측정

     d: 두께 변화 (=d0-d1) (Å) t: 에칭 시간(min)

    에칭 균일도(etch uniformity)- 웨이퍼 간, 한 웨이퍼 내에서 공정 반복성의 측정

    - 두께 측정은 에칭 전후에 여러 위치에 대하여 실시

    - 두께 측정횟수가 증가할수록 정확성(신뢰도) 증가

    식각공정의 중요 인자

  • 73

    식각(에칭) 공정 (Etching Process)식각(에칭) 공정 (Etching Process) 선택도 (Selectivity)

    - 다른 물질 간의 에칭 속도의 비로서 패턴형성의 에칭 공정에서 매우 중요

    74

    이상적인 식각공정 (Ideal Etching Process)

    식각(에칭) 공정 (Etching Process)식각(에칭) 공정 (Etching Process)

    * 그러나, 어떤 식각공정도 이상적이지 않으며, 플라즈마 식각공정은 상대적으로 이상에 근사

    75

    식각(에칭) 공정 (Etching Process)식각(에칭) 공정 (Etching Process)식각공정의 분류(1)

    (1) 식각형태에 따른 분류

    isotropic etch (등방성 식각): 모든 방향으로 식각속도가 일정함

    anisotropic etch (이방성 식각): 모든 방향으로 식각속도가 일정하지 않음

    (a) Completely anisotropic (b) Partially anisotropic (c) Isotropic etching of silicon

    76

    식각의 방향성 (Directionality of Etching)

    식각(에칭) 공정 (Etching Process)식각(에칭) 공정 (Etching Process)

    Partially Anisotropic Etch (x < z) 0 < A

  • 77

    식각(에칭) 공정 (Etching Process)식각(에칭) 공정 (Etching Process)식각공정의 분류(2)

    (2) 식각방법에 따른 분류 wet etch (습식식각): 대상물질을 반응 용액(etchant)에 넣어 화학적 반응이나 용해에 의해서 선택적으로 제거

    하는 공정으로, 생성물은 etchant 용액에 녹아야 함

    dry etch (건식식각): 대상물질을 반응성 기체(plasma)에 넣어 화학적 반응 또는 물리적 수단에 의해 선택적으

    로 제거하는 공정으로, 생성물은 휘발성이여야 하며, 기체 흐름 하에 수행

    78

    식각 공정: (1) 습식 식각 (Wet Etching)식각 공정: (1) 습식 식각 (Wet Etching)습식 식각 방식의 분류

    딥 (dip) 식각 방식

    - 식각액을 담은 식각조(석영이나 테프론)에 웨이퍼를 담그는 것에 의해 식각

    - 웨이퍼를 캐리어(carrier)에 넣은 상태로 진행 (캐리어의 고순도, 내약품성, 내열성 중요)

    스핀 (spin) 식각법

    - 웨이퍼를 지지대에 고정, 회전시키면서 식각액 분사하여 식각

    79

    식각 공정: (1) 습식식각 (Wet Etching)식각 공정: (1) 습식식각 (Wet Etching)습식식각의 메커니즘

    식각 물질 생성(공급)

    식각대상 표면까지 확산

    흡착(adsorption and migration)

    화학적 반응

    반응 부산물 탈착

    반응부산물의 용액으로의 확산

    식각속도에 영향을 미치는 인자- 온도- 화학적 조성- 식각 대상 박막의 조성(Si, SiO2, Al, Cu, …)

    80

    습식 식각의 화학적 반응

    Silicon (Nitric Acid and Hydrofluoric Acid and water)

    Si + 2HNO3 SiO2 + 2HNO2SiO2 + 6HF H2SiF6 + 2H2O

    SiO2 (HF Water and NH4F)

    SiO2 + 6HF H2 + SiF6 + 2H2O

    Si3N4 (Dilute Hot Phosphoric acid (180 oC) H3PO4)

    Si3N4+4H3PO4 Si3(PO4)4+4NH3

    Al (H3PO4 + HNO3 + CH3COOH + H2O)2Al + 2HNO3 → Al2O3 + H2 + NO + NO2Al2O3 + 2H3PO4 → 2AlPO4+ H2O

    식각 대상에 따른 식각액 구분

    초산, 물: 완충액 역할- 초산: 질산의 분해 저지하여 산화알루미늄 생성 조절- 물: 산화알루미늄의 분해 조절

    식각 공정: (1) 습식 식각 (Wet Etching)식각 공정: (1) 습식 식각 (Wet Etching)

  • 81

    실리콘 에칭

    식각 공정: (1) 습식 식각 (Wet Etching)식각 공정: (1) 습식 식각 (Wet Etching)

    82

    습식 식각은 등방적(isotropic)으로 진행되므로 측면 식각(side etching)에 대한 고려 필요

    - 미세패턴 형성에 불리하며, 공정조건의 제어가 용이하지 않음

    식각 공정: (1) 습식 식각 (Wet Etching)식각 공정: (1) 습식 식각 (Wet Etching)

    83

    습식 식각의 특징 장점

    - 장비가 간단 (simple equipment)

    - batch process, 높은 생산성 (high throughput)

    - 높은 선택성 (high selectivity)

    단점- 등방성 식각에 의한 undercut 문제

    - 많은 양의 식각액 (etchant) 사용

    - 고온의 식각액은 PR의 접착력 저하를 발생

    - 작은 구조의 식각은 곤란 (예, 선폭 > 두께)

    - 식각 시간에 대한 의존성이 큼 (치수, bias 발생)

    - 화학약품 및 사용 후 처리 가격이 높음

    HF, H3PO4, HNO3

    식각 공정: (1) 습식 식각 (Wet Etching)식각 공정: (1) 습식 식각 (Wet Etching)

    84

    식각할 박막재료에 식각가스를 이용, 피식각 재료와의 표면 화학반응을 통하여 제거- 식각 가스의 진공 chamber 유입 플라즈마화 피식각 재료 표면 흡착 화학반응 제거

    Ar

    식각 공정: (2) 건식 식각 (Dry Etching)식각 공정: (2) 건식 식각 (Dry Etching)

  • 85

    식각 공정: (2) 건식 식각 (Dry Etching)식각 공정: (2) 건식 식각 (Dry Etching) 식각할 박막재료에 식각가스를 이용, 피식각 재료와의 표면 화학반응을 통하여 제거

    - 식각 가스의 진공 chamber 유입 플라즈마화 피식각 재료 표면 흡착 화학반응 제거

    건식 식각의 메커니즘

    반응활성물질(gas etchant species) 생성

    식각대상 표면까지 확산

    흡착(adsorption and migration)

    화학적 반응

    반응 생성물(부산물) 탈착

    반응부산물의 기상으로의 확산

    86

    식각 공정: (2) 건식 식각 (Dry Etching)식각 공정: (2) 건식 식각 (Dry Etching)플라즈마(plasma)란

    http://koreaplasma.net/koreap2_1.htm

    87

    식각 공정: (2) 건식 식각 (Dry Etching)식각 공정: (2) 건식 식각 (Dry Etching)플라즈마(plasma)의 생성

    88

    플라즈마(plasma)란

    Plasma

    DC or RF

    ElectrodeVacuum Chamber

    : 전자의 충분한 가속

    Mean free path ↑

    - 반응가스 도입 후, 용기 내 압력을 10-3~1 torr 유지한 상태에서 plasma 이용 식각- 글로우 방전(Glow discharge)에 의한 plasma 형성

    압력이 매우 낮은 용기의 양쪽 전극에 전력을 인가, 한쪽 전극에서 전자 방출- 방출된 전자는 가스 입자와 충돌하여 plasma 형성

    - plasma 중에 형성된 이온과 라디칼이 식각하고자 하는 박막과 반응하여 식각

    Atom elastic collision Excitation & Relaxation

    Ionization

    ArO2

    Ar+

    O2+

    e-

    e-

    Breakdown

    Gas state Plasma state

    식각 공정: (2) 건식 식각 (Dry Etching)식각 공정: (2) 건식 식각 (Dry Etching)

  • 89

    플라즈마 에칭 원리

    CF4 비활성 기체 (Freon14)

    전자충격(electron impact)을 부가하면 분리이온화 (Dissociative ionization) 발생

    CF4 + e- CF3 + F- + 2e-

    화학적 반응성이 높은

    플루오로 라디칼 형성

    Si+ 4F SiF4 (gas)

    웨이퍼 표면에서 다음과 같은 반응이 일어남

    CF4 + e- CF3 + F- + 2e

    식각 공정: (2) 건식 식각 (Dry Etching)식각 공정: (2) 건식 식각 (Dry Etching)

    90

    플라즈마 에칭 단계

    H. Kang, Hynix강의자료

    식각 공정: (2) 건식 식각 (Dry Etching)식각 공정: (2) 건식 식각 (Dry Etching)

    91

    플라즈마 에칭시 대상별 사용 기체

    식각 공정: (2) 건식 식각 (Dry Etching)식각 공정: (2) 건식 식각 (Dry Etching)

    92

    건식 식각의 종류

    Physical dry etching Chemical dry etching Reactive Ion Etching(Chemical+Physical)

    Wet and Dry Etching Avinash P. Nayak*, Logeeswaran VJ¥ and M. Saif IslamǂUniversity of California, Davis. California.

    식각 공정: (2) 건식 식각 (Dry Etching)식각 공정: (2) 건식 식각 (Dry Etching)

    92

  • 93

    Physical dry etching

    - 이온들이 식각 대상 물질을 향해서 전계에 의해 가속된 후 충돌할 때 운동량 이전에 의하여 표면 마멸 현

    상이 일어나는 것.

    - 고에너지를 가진 이온들을 식각 표면에 충돌시킴으로써 이들 입자들의 운동량이 전달되고 식각물질의 결

    합에너지보다 커 결합이 끊어져 여분의 에너지에 상응하는 운동량을 가지고 표면을 이탈해 나오게 하는

    식각

    - 종류: 이온빔 식각 (이온빔 밀링, 이온빔 스파터링), RF 스파터 식각

    식각 공정: (2) 건식 식각 (Dry Etching)식각 공정: (2) 건식 식각 (Dry Etching)

    94

    Chemical dry etching

    염소(Cl)나 불소(F) 원자와 같은 반응성이 강한 물질(neutral radicals)을 대상재료의 표면과 반응시켜 휘발성반응물질을 생성하여 에칭을 하는 방법.

    Si + 4F* SiF4↑SiO2 + 4F* SiF4↑ + O2↑Si3N4 + 12F* 3SiF4↑ + 2N2↑

    e + CF4 CF3* + F * + e

    식각 공정: (2) 건식 식각 (Dry Etching)식각 공정: (2) 건식 식각 (Dry Etching)

    95

    Reactive Ion Etching (Chemical+Physical) (1) 이온조사 반응 건식식각-상온의 가스분위기에서 이온빔을 조사시켜 식각하는 방법

    -XeF2 기체가 실리콘 표면에 흡착되어 Xe이 증발하고 2개의 불소원자가 남게 되고 Ar+ 이온빔을 조사시키면 불소와 실리콘

    원자와의 화학반응 확률이 증가하여 식각작용이 크게 증대

    (2) 전자조사 반응 건식식각- 이온빔 대신 전자선을 조사시켜 식각하는 방법

    - 전자빔을 조사하면 반응기체의 분해가 일어나 반응기체와 식각 대상 물질 사이에 화학반응으로 식각됨

    - 감광제 없이 전자빔이 조사되는 부분만 SiO2가 식각되게 할 수 있는 특성을 가짐.

    전자조사 반응 건식 식각이온조사 반응 건식식각 개략도

    식각 공정: (2) 건식 식각 (Dry Etching)식각 공정: (2) 건식 식각 (Dry Etching)

    96

    Protective Reactive Ion Etching

    -표면 손상 (surface damage) -표면 손상보호 (surface inhibitor)

    식각 공정: (2) 건식 식각 (Dry Etching)식각 공정: (2) 건식 식각 (Dry Etching)

  • 97

    식각 공정: (2) 건식 식각 (Dry Etching)식각 공정: (2) 건식 식각 (Dry Etching)

    98

    피식각 재료별 식각 진행

    식각 공정: (2) 건식 식각 (Dry Etching)식각 공정: (2) 건식 식각 (Dry Etching)

    99

    건식 식각의 특징

    장점

    - PR의 접착력 문제 없음

    - 비등방성 에칭 가능

    - 작은 화학약품의 소모량

    - 반응생성물의 처리비용이 적음

    - 자동화에 적당

    단점

    - 복잡한 장치 RF, 가스 유량통제, 진공, 설비

    – 식각 선택성 (Selectivity)이 낮음

    - 웨이퍼 표면에 잔류물(고분자, 중금속 등)

    – 파티클 형성

    – CFC

    식각 공정: (2) 건식 식각 (Dry Etching)식각 공정: (2) 건식 식각 (Dry Etching)

    100

    식각 공정 (Etching Process) 비교식각 공정 (Etching Process) 비교

    - 식각용액 사용, 화학적 반응으로 박막 식각

    - Selectivity 가 좋음

    - 고가 장비 필요 없음 low cost

    - 한꺼번에 많은 기판 처리 productivity ↑

    - undercut 발생, 용액의 측면 침식으로 미세

    pattern 구현이 어려움 (3μm 이하 어려움)

    - 화학약품의 과다 사용으로 환경문제 대두

    습식식각 (Wet Etching)

    - 비등방성 식각 가능

    - 측면 침식이 거의 없음

    - 식각 가공 resolution이 좋음

    (1μm 이하 가능)

    - Gas 사용, 습식식각에 비해 상대적으로

    깨끗하고 안전

    - 물리적 충돌에 의한 식각 발생, 완벽한 특정 물질

    의 선택적 식각 어려움

    건식식각 (Dry Etching)

    Vertical E/R >> Horizontal E/R Vertical E/R >> Horizontal E/R

  • 101

    세정(Cleaning)세정(Cleaning) IC공정의 오염원

    102

    세정공정 (Cleaning process)

    오염의 종류

    - 통상 Particle로 불리어지는 미립자

    - Carbon 계열의 유기물

    - 무기물에 의한 Ion성, 금속성 오염

    - Control 되지 못한 자연 산화물

    세정명 세정액 특징

    AMP세정(Ammonium peroxide mixture)

    NH4OH/H2O2/H2O 파티클, 유기물 제거

    HPM세정(Hydrochloric acid peroxide mixture)

    HCl/H2O2/H2O 금속 제거

    SPM세정(Sulfuric acid peroxide mixture)

    H2SO4/H2O2 금속, 유기물 제거

    FPM세정 (불산과수) HF/H2O2/H2O 금속, 자연산화막 제거

    DHF세정 (Diluted HF) HF/H2O 금속, 자연산화막 제거

    BHF세정 (buffered HF) HF/NH4F/H2O 자연산화막 제거

    세정(Cleaning)세정(Cleaning)

    세정공정 : Wafer의 표면상태를 control 하는step

    - Oxide 막, Nitride 막, 잔류금속, Organic 제거

    - Surface micro roughness control

    - 세정액을 이용한 습식공정이 주로 사용

    - 세정장치: 배치식(batch), 침전식(segmentation), 분사식 등

    - 건조: 원심력을 이용한 스핀 건조법, IPA (이소프로필 알콜)법

    반도체 공정에서 사용하는 주요 세정액

    103

    1. 식각공정을 간단히 정의하시오.

    2. 식각공정의 단계(메커니즘)을 설명하시오.

    3. 식각공정에서의 에칭속도, 에칭균일도, 선택도를 정의하시오.

    4. 등방성 식각 vs 이방성 식각을 비교하시오.

    5. 습식 식각의 속도에 미치는 중요 인자를 쓰시오.

    6. 습식 식각의 장점과 단점을 쓰시오.

    7. 플라즈마란 무엇인가?

    8. 건식식각의 원리는 무엇인가?

    9. 물리적, 화학적, 물리화학적 건식 식각의 원리를 간단히 도식화하여 설명하시오.

    10. 건식 식각의 장점과 단점을 쓰시오.

    과제 7

    Chapter 8. 반도체 공정-(4) 확산/이온주입공정

    104주요 자료 참조:1) Hong Xiao, Ph.D. www2.austin.cc.tx.us/HongXiao/Book.htm

    2) 신장규 교수(경북대) 2002 반도체 공정교육 자료

    DIE3006, DSE3007 반도체프로세스

    1. 리소그라피(lithography)2. 실리콘 산화막3. 식각 공정

    4. 확산/이온 주입 공정1) 불순물 주입 공정 2) 열 확산법 (Thermal diffusion)3) 이온 주입법 (Ion implantation)

    5. 박막 증착 공정

  • 105

    불순물 주입 공정불순물 주입 공정

    • 불순물(impurity) 도핑-반도체의 전기적 성질을 변화시키기 위해 인위적으로 dopant를 주입하는 것으로 웨이퍼 전면이나 특정한 영역에 불순물을 첨가시키는 과정

    - Donor : free electron을 내놓아서 n-type semiconductor 형성 (Si에서 V족 원소 : P, As, Sb)

    - Acceptor : hole을 내놓아서 p-type semiconductor형성 (Si에서 III족 원소 :B, Al, Ga)

    •불순물 확산에는 농도와 분포를 제어하는 것이 중요

    •PN접합의 제작법에는 합금법, 열확산법, 기상 성장법, 용액 성장법, 이온 주입법 등이 사용

    •실리콘(Si) 소자, 특히 IC(integrated circuit: 직접회로)에는 열확산법, 이온주입법이 주로 사용

    불순물 주입(도핑, 확산)

    106

    불순물 주입 공정불순물 주입 공정

    열확산과 이온주입의 비교

    • 확산 (Diffusion)

    - 도펀트 원자는 웨이퍼 표면에 존재; 도펀트의 기상 증착/도핑된 oxide source

    - 도핑 농도는 표면으로부터 순차적으로 감소- 깊은 접합(deep junction)을 형성

    • 이온주입 (Ion Implantation)

    - 도펀트 원자를 웨이퍼 내부로 강제 주입; Ion Beam

    - 도핑 농도는 표면으로부터 일정한 거리만큼떨어진 내부에서 최대, 그 전후에서 감소

    - 얇은 접합(shallow junction)을 형성

    107

    불순물 주입 공정불순물 주입 공정확산과 이온주입공정 비교

    PRSiO2

    Si Si

    Ion implantationDiffusion

    Doped region

    Junction depth

    확산(diffusion) 이온주입(ion implantation)높은 온도, hard mask 저온, PR 마스크

    등방성 도펀트 분포(Isotropic dopant profile) 비등방성 도펀트 분포(Anisotropic dopant profile)

    도펀트농도와 접합 깊이를 독립적 조절 힘듦 도펀트농도와 접합 깊이를 독립적 조절 가능

    Batch process Both Batch & single wafer process

    108

    기체, 액체, 고체 source로 부터의 확산

    Pre-deposition (dose control) Drive-in (profile control)

    • SiO2 막이 Si에서의 불순물 주입을 위한 마스크로 사용• 도핑을 위한 혼합물(dopant, O2, inert gas(N2))을 웨이퍼가 들어간 1000oC (900oC ~1100oC) 고온 확산로

    에 유입• 가스흐름에서의 도펀트 농도는 1000oC의 온도에서 Si의 dopant에 대한 고체 용해도 한계에 도달하기에

    충분함• 불순물은 고체, 액체, 기체 source로부터 carrier gas 속으로 도입(유입) 가능

    열 확산법 (thermal diffusion)열 확산법 (thermal diffusion)

  • 109

    열 확산법- 확산은 일반적인 물리현상으로 높은 농도에서 낮은 농도의 영역으로 물질 확산

    - 실리콘 산화막을 확산 마스크로 사용

    - 반도체 불순물 주입공정으로 널리 사용

    - 공정은 선증착, 후 확산의 2단계로 구성

    pre-deposition(선 증착) + 드라이브 인 (drive-in) 공정

    - pre-deposition 단계에서 고체, 액체, 기체 상태의 불순물 사용 가능* drive-in: 주입된 이온을 필요한 만큼 확산시키는 공정

    열처리(thermal budget)도전형 불순물 불순물원 상태(상온)

    N

    안티몬(Sb) Sb2O3 고체

    비소(As)As2O3 고체

    AsH3 기체

    인(P)POCl3 액체

    PH3 기체

    P 붕소(B) BBr3 액체

    B2H6 기체

    BCl3 기체

    BN 고체

    열 확산법 (thermal diffusion)열 확산법 (thermal diffusion)

    110

    Junction depth (접합깊이)

    열 확산법 (thermal diffusion)열 확산법 (thermal diffusion)

    111

    확산(diffusion)이란?

    Diffusion(확산)- 기체, 액체, 또는 고체의 농도(N)가 높은 곳에서 낮은 곳으로 이동하는 현상

    - 모든 온도에서 일어나지만, 확산계수는 온도에 따라 지수적으로 증가

    Diffusion의 고려 조건- Concentration gradient (농도구배, 농도차이)

    - Energy

    - Time

    열 확산법 (thermal diffusion)열 확산법 (thermal diffusion)

    112

    고체 확산 (solid diffusion)

    - 결정격자 내에서의 불순물의 운동(이동)

    - 의도적인 공정 또는 고온 열처리 공정에서의 부산물에 의해 의도치 않은 공정

    Surface A

    Surface B

    열 확산법 (thermal diffusion)열 확산법 (thermal diffusion)

  • 113

    Fick’s first law of diffusion

    Fick’s second law of diffusion

    확산 현상/메커니즘

    x

    txCDtxF

    ),(

    ),(

    2

    2

    xCD

    tC

    A

    •F : 단위시간에 단위영역을 통하여 움직이는 dopant 원자의 수•C : 단위부피당 dopant의 농도•D : 확산계수 (Diffusion coefficient / Diffusivity)

    Driving force of diffusion process is the conc. grad (∂C/∂x) F는 농도의 기울기(∂C/∂x)에 비례하고, dopant 원자는 고농도 지역에서 저농도 지역으로 확산될 것이다. Driving force of diffusion process is the conc. grad (∂C/∂x) F는 농도의 기울기(∂C/∂x)에 비례하고, dopant 원자는 고농도 지역에서 저농도 지역으로 확산될 것이다.

    열 확산법 (thermal diffusion)열 확산법 (thermal diffusion)

    (2nd differential equation )

    114

    Figure 6.4 (p. 108)Diffusion coefficient (also called diffusivity) as a function of the reciprocal of temperature for (a) silicon and (b) gallium arsenide.

    ☜ Dopant 불순물이 낮은 농도일 때에대한 확산 계수를 측정한 것.

    ☜ 절대온도의 역수 값에 대하여확산계수의 로그 값을 도시.

    kTEDD aexp0

    D0 : 외삽된 온도범위까지 에서 얻어진 cm2/s 단위의 확산계수Ea : eV 단위의 활성화 에너지

    확산 현상/메커니즘D : 확산계수 (Diffusion coefficient / Diffusivity)

    열 확산법 (thermal diffusion)열 확산법 (thermal diffusion)(Arrhenius 반응속도방정식 에서)

    A : 충돌 빈도(단위 시간당 충돌 횟수), 상수Ea : 활성화 에너지 (단위: kJ/mol)R : 기체 상수 (8.314 J/mol•K)

    115

    확산 현상/메커니즘D : 확산계수 (Diffusion coefficient / Diffusivity)

    열처리 (Thermal budget)

    열 확산법 (thermal diffusion)열 확산법 (thermal diffusion)

    116

    도펀트의 고체 용해도

    확산 현상/메커니즘

    열 확산법 (thermal diffusion)열 확산법 (thermal diffusion)

  • 117

    - 주입된 불순물 원자가 열에너지에 의해 substitutional site로 이동하여 전기적으로 활성화 됨 확산 현상/메커니즘

    열 확산법 (thermal diffusion)열 확산법 (thermal diffusion)

    Interstitial diffusion > vacancy diffusion 상호작용의 크기, interstitial site 수

    치환형 확산(Substitutional Diffusioin):불순물이 비워있는 vacancy로 이동하여 그곳을 채우는 것(PN접합 형성을 위한 불순물 P, B, As, Al, Ga, Sb, Ge)

    침입형 확산(Interstitial Diffusion): 격자 사이에 있는 불순물이 vacancy 없이 격자의 원자 사이를 계속해서 이동하는 확산 (다른 전자나 전공의 움직임 방해, 대부분의 중금속, Au, Fe, Cu, Ni, Zn, Mg) 118

    열 확산 공정- 실리콘 산화막으로 Hard mask 형성 불순물층 형성(thermal process) 활성화 영역에 산화층 형성* 드

    라이브 인 공정 (thermal process)*가스상 불순물의 역확산(back-diffusion)을 방지하기 위해 실시( cap oxidation)

    - 확산 공정 평가: 접합 깊이(junction depth), 면 저항(sheet resistance), 확산층 내 dopant분포

    Strip & Clean Drive-in

    OxidationDopant Oxide Pre-deposition

    열 확산법 (thermal diffusion)열 확산법 (thermal diffusion)

    119

    열 확산 공정

    • 석영관 로(quartz-tube furnace) 사용- Dopant가 포함된 혼합가스를 주입.

    • 공정온도- Si ; 800 ℃ ∼ 1200 ℃ / GaAs ; 600 ℃ ∼ 1000 ℃

    • 확산된 원자의 수 ∝ 혼합가스 내의 dopant의 분압

    • Si 내로의 확산- P형 불순물 ; 주로 붕소(B) 이용.

    i i - N형 불순물 ; 주로 비소(As) 또는 인(P) 이용.

    • 소스(source)- 고체(BN, As2O3, P2O5), 기체(B2H6, AsH3, 또는 PH3 ),

    액체(BBr3, AsCl3, POCl3)-액체소스를 주로 사용.

    열 확산법 (thermal diffusion)열 확산법 (thermal diffusion)

    120

    열 확산 공정의 예(1) 붕소(B) 주입

    - 실리콘 산화막(SiO2) 형성, 리소그라피, 산화막 에칭

    - Pre-deposition

    - Cap oxidation: B2O3는 glass-on-Si wafer를 형성하게 되고, Si에 의해서 B로 환원

    - 드라이브 인(drive –in): 붕소(B)의 실리콘 결정 내로 확산되며, H2 가스는 밖으로 배출

    인(P) 주입

    - 실리콘 산화막(SiO2) 형성, 리소그라피, 산화막 에칭

    - Pre-deposition

    - Cap oxidation: P2O5는 glass-on-Si wafer를 형성하게 되고, Si에 의해서 P로 환원

    - 드라이브 인(drive –in): 인(P)의 실리콘 결정 내로 확산되며, Cl2 가스는 밖으로 배출

    열 확산법 (thermal diffusion)열 확산법 (thermal diffusion)

  • 121

    열 확산 공정의 예(2) 인(P) 주입 시스템

    열 확산법 (thermal diffusion)열 확산법 (thermal diffusion)

    122

    열 확산 공정의 예(3) 인(P) 주입 시스템

    열 확산법 (thermal diffusion)열 확산법 (thermal diffusion)

    123

    열 확산 공정 한계- 등방성 불순물 분포: 수직(vertical)과 측면(lateral) 방향의 등방적 확산, 마스크 산화막 아래까지 물순물 주입됨

    (측면 확산은 수직확산의 75~85% 정도)

    - 접합 깊이와 불순물 농도를 독립적으로 조절하는 것이 불가능(불순물의 농도 및 junction depth는 온도와 관련)

    - well implantation과 드라이브-인 기술은 일부 사용되고 있음

    - ultra shallow junction(USJ)에 대한 R&D 진행

    반도체 초기에는 널리 사용되었으나, 1970년대 중반부터 이온주입공정으로 대체되고 있음

    열 확산법 (thermal diffusion)열 확산법 (thermal diffusion)

    124

    이온 주입법 (Ion Implantation)이온 주입법 (Ion Implantation)

    이온주입을 위한 장치-불순물 원자 또는 분자를 이온화 시킨 후 electric field에 의해서 가속시켜 웨이퍼에 주입

    구성

    - Gas system

    - Electric system

    - Vacuum system

    - Ion beam line

    작동

    - 가열된 텡스텐 필라멘트에 의한 thermal electron 생성 electron은 gas 분자와 충돌하여 분해 및 이온화

    이온은 source chamber에 선택 추출되어 beam line에 의해 가속화 이온주입

    - 텅스텐 필라멘트 대신 RF와 microwave power 사용 가능

  • 125

    이온주입을 위한 장치

    Ion source

    Arc Power ~ 120 V

    Filament Power, 0-5V, up to 200A

    +

    -

    Anti-cathodeTungsten Filament

    Source Magnet

    Source Gas or Vapor

    (P, B, BF3, PH3, and AsH3)

    Plasma

    Magnetic Field Line

    이온 주입법 (Ion Implantation)이온 주입법 (Ion Implantation)

    126

    이온주입의 실제

    well implantation VT adjust implantation (Threshold voltage)

    Lightly doped drain (LDD) implantation S/D implantation (Source/Drain)

    이온 주입법 (Ion Implantation)이온 주입법 (Ion Implantation)

    127

    이온 확산법의 특징 불순물의 농도 및 확산 깊이(junction depth)의 조절이 용이

    - 빔 전류(Beam current)와 이온주입시간 불순물 농도 조절

    - 이온 에너지 주입 깊이 (junction depth) 조절

    불순물의 농도 분포(Dopant profile)는 비등방적 (anisotropic)

    이온주입 공정의 적용

    - SOI (silicon-on-insulator) 소자의 산소 주입

    - Pre-amorphous Si implantation on titanium film for better annealing

    - Pre-amorphous germanium implantation on silicon substrate for profile control

    - Polysilicon doping –poly barrier formation.

    이온 주입법 (Ion Implantation)이온 주입법 (Ion Implantation)

    128

    가속 이온의 정지 메커니즘 (Stopping Mechanism) 정지 메커니즘

    가속 이온의 substrate로 침투 격자 원자 (핵, 전자)와 충돌 가속화된 에너지 감소 정지

    충돌에 의한 영향

    격자 원자의 핵과 충돌시 가속 이온은 산란되며, 결정 격자구조 변형

    격자 원자의 전자와 충돌시 이온의 경로 및 에너지 전달이 작으며, 결정격자 구조의 변형은 미비

    이온 주입법 (Ion Implantation)이온 주입법 (Ion Implantation)

  • 129

    채널링(channeling) 현상

    - 결정격자에 대한 특정 입사각의 경우 결정격자의 원자와 충돌 없이 장거리 이동 가능

    - 불순물의 농도분포를 조절하기 곤란

    - 웨이퍼의 tilt(Θ) = 주로 7o tilt시켜 주입- Screen oxide 사용- Pre-amorphous implantation

    이온 주입법 (Ion Implantation)이온 주입법 (Ion Implantation)

    130

    Shadowing 영향

    이온 주입법 (Ion Implantation)이온 주입법 (Ion Implantation)

    131

    웨이퍼의 결함 (Damage process)-주입 이온의 가속 에너지는 결정격자 원자에 전달 격자내 원자의 이탈 및 이동

    -자유 원자는 다른 격자원자와 충돌하며, 격자 손상은 자유 원자가 정지할 때까지 지속

    -하나의 가속 이온이 수천개의 결정격자의 구조 변경 가능

    단결정 구조 상실(amorphous)

    전기적 특성 변화

    주입된 불순물 : 전기적 불활성, 실리콘 결정에 물리적 손상

    이온 주입 후, 불순물의 활성화 , 손상회복을 위한 열처리(annealing) 필요

    이온 주입법 (Ion Implantation)이온 주입법 (Ion Implantation)

    132

    어닐링 공정 (Annealing process)-주입된 불순물은 단결정 구조여야 하며, donor 또는 acceptor로 활성화 되기 위해서는 실리콘 원자와 공유

    결합 하는것이 필요

    -높은 온도의 열에너지를 통해서 비정형 구조를 단결정 구조로 회복

    확산로RTA

    (rapid thermal annealing)

    이온 주입법 (Ion Implantation)이온 주입법 (Ion Implantation)

  • 133

    어닐링 공정 (Annealing process)

    이온 주입법 (Ion Implantation)이온 주입법 (Ion Implantation)

    134

    어닐링 공정 (Annealing process)

    RTA (rapid thermal annealing): 적외선 램프 가열

    -매우 짧은 시간에 웨이퍼의 온도를 높이거나 낮추는 것이 가능, 단시간 열처리 적합

    -이온 주입된 불순물의 단시간 활성화 가능

    이온 주입법 (Ion Implantation)이온 주입법 (Ion Implantation)

    135

    이온주입의 공정 이슈 Wafer charging Particle contamination

    Elemental contamination

    Process evaluation

    Safety

    -반도체 공정에서 가장 위험한 공정중 하나

    - chemical: dopant는 유독성(P, B, As, Sb), 가연성, 폭발성(AsH3, PH3, B2H6), 부식성(BF3)

    - electro-magnetic: 고전압 (208V ~ 가속 전극 50kV), x-ray radiation

    - mechanical: moving parts, doors, valves and robots, spin wheel, hot surface, etc

    기술 트렌드- ultra shallow junction, silicon on insulator (SOI), plasma immersion ion implantation (PIII)

    이온 주입법 (Ion Implantation)이온 주입법 (Ion Implantation)

    136

    1. 반도체의 ( )을 변화시키기 위해 인위적으로 dopant를 주입하는 것을 불순물 주입(확산) 공정이라고 하며, 불순물 확산에는 ( )와 ( )를 제어하는 것이 중요하다.

    2. 열확산에 의한 불순물 주입은 ( )와 ( )의 2단계로 이루어 지며, Si에서의 불순물 주입을 위한 마스크는 주로 ( ) 막을 사용한다. 그 이유는?

    3. 열 확산법 공정에대한 공정의 한계를 설명하시오.

    4. 열확산 공정의 순서를 쓰고, 설명하시오.

    5. 다음은 인(P)을 불순물로 하는 열확산에 대한 다음 단계의 화학식을 쓰시오.Pre-deposition 단계: Cap oxidation 단계:

    6. 이온주입법에 의한 불순물 주입단계와 열확산법과 비교하여 이온주입법의 특성을 쓰시오.

    7. 이온 주입공정에서 가속된 이온이 핵과의 충돌과 전자와의 충돌시 결정격자구조 변화에 대해 설명하시오.

    8. 이온 주입에서 채널링(channeling) 현상은 무엇이며, 이에 대한 해결책은 무엇인가?

    9. 이온주입 공정에서 발생하는 웨이퍼의 결함을 해결하기 위한 방법은 무엇인가?

    10. 이온주입의 어닐링(annealing) 공정에서 사용하는 RTA (rapid thermal annealing)는 무엇인가?

    과제 9

  • Chapter 9. 반도체공정- (5) 박막 증착 공정

    137

    DIE3006, DSE3007 반도체프로세스

    1. 리소그라피(lithography)

    2. 실리콘 산화막

    3. 식각 공정

    4. 확산/이온 주입 공정

    5. 박막 증착 공정(1) 박막(thin film)의 제조방법 (2) 화학적 기상증착법 (CVD)

    (3) 물리적 기상증착법 (PVD)

    138

    박막(thin film)의 제조방법박막(thin film)의 제조방법박막형성 방법

    CVD법

    상압CVD

    감압CVD

    프라즈마 여기 CVD

    광 여기CVD

    PVD법

    Sputtering

    Evaporation

    Ion Plating

    박막형성법의

    종류

    Al, Al합금, Silcide,고융점 금속 등

    Al, Al 합금

    APCVD

    LPCVD

    PECVD

    Photo CVD

    도포코팅법표면중합법

    졸-겔법

    전기 도금법

    ~폴리머 막 형성

    ~SOG, 강유전체 등의 형성

    Cu막

    열산화법 이산화실리콘 (SiO2)

    (참고) A thin film is a layer of material ranging from fractions of a nanometer (monolayer) to several micrometers in thickness. (https://en.wikipedia.org/wiki/Thin_film)

    139

    박막(thin film)의 제조방법박막(thin film)의 제조방법

    박막 형성 방법 열산화법(Thermal Oxidation):

    - 산소나 수증기 분위기에서 실리콘을 고온으로 가열하여, 실리콘과 산소를 화학적으로 반응시켜 SiO2 막을 형

    성 시키는 것

    Si + O2 SiO2 (건식법)Si + 2H2O SiO2 + 2H2 (습식법)

    CVD법 (Chemical Vapor Deposition)

    -원료를 기상상태(가스)로 공급하고, 촉매반응을 이용하여 박막을 형성시키는 방법

    -촉매 반응을 위해 열이 필요하며, 에너지 종류에 따라 thermal CVD, Plasma CVD 로 구분

    -성장시의 압력에 따라 구분: 대기압보다 낮은 감압CVD, 대기압상태의 상압 CVD

    PVD법 (스퍼터링) (Physical Vapor Deposition)

    -고진공에서 금속이나 실리사이드(WSi2, MoSi2, TiSi2, CoSi2) 타겟에 높은 에너지의 아르곤(Ar) 원자를 충돌시

    켜, 튀어나오는 금속원자를 기판에 부착시켜 막을 형성시키는 방법.

    140

    박막(thin film)의 제조방법박막(thin film)의 제조방법

    박막 형성 방법

    열산화법

    Bare silicon

    CVD/PVD/ Metal

    Grown oxide Deposited thin film

    Thermal oxidation

    - O2 기체 공급- 실리콘 기판- 실리콘 위에 산화막 성장- 높은 품질

    CVD

    - O2와 실리콘 기상(전구체)으로 공급- 기판 표면 위에 화학반응을 통해 증착- 낮은 온도- 높은 성장속도

    CVD PVD

    Solid materials Gases or vapors

  • 141

    CVD (chemical vapor deposition)CVD (chemical vapor deposition)

    CVD의 정의 및 원리

    (Gas) + (Gas) + … A (Solid) + B (Gas) + …

    반응 Energy (열 , 플라즈마 , 빛 (UV or LASER), 또는 임의의 에너지)

    부산물(By-Product)

    화학기상 증착이란?- 반응기 안에 화학증착 반응 기체들을 주입하여 화학반응에 의해 생성된 고체 생성물을 기판(wafer)에

    증착시키는 방법

    142

    CVD의 정의 및 원리

    CVD법의 장점1) 적용대상의 다양성

    - 적용할 수 있는 물질이 다양: 세라믹, 금속, 반도체, 유기 고분자 등

    - 다양한 재료형태의 제품 적용 가능: 박막, 섬유, 분체, Bulk 등

    - 다양한 Capa의 제품 생산 적용 가능: 반도체 다층박막의 소량생산 ~ 세라믹 분체와 같은 대량 생산

    2) 고순도 재료 합성에 적합

    - 고순도 기체의 사용이 가능하며, 이를 통해 고품질박막을 경제적으로 대량생산 가능.

    3) 정밀한 공정제어 가능

    - 다양한 형태의 박막을 고도의 공정제어를 통하여 형성가능.

    4) 복잡한 형태 위에 균일한 박막형성가능

    CVD법의 단점

    - Coating 반응에 대한 substrate 안정도를 고려해야 함.

    - 기판과 증착 재료간의 열팽창계수 차이를 고려해야 함.

    - 부산물 처리: toxic, corrosive → 중성화 → 비용이 비쌈.

    CVD (chemical vapor deposition)CVD (chemical vapor deposition)

    143

    CVD 장비의 기본 구성

    CVD장비

    시료 운송부

    반응실

    Gas 공급부

    Energy공급부(균일가열,정밀제어)

    저항가열

    매엽식연속 방식

    주요방식

    Hot Wall

    시료 운송부고주파 가열 Lamp 가열

    Cold Wall◎ △ ○○ ◎ ◎

    ○ △ ○○ △ ○

    BatchType

    * 원료 공급부* MFC (Mass Flow

    Controller)Cylinder / Tank /유량계

    * 가열 전원부* Energy공급부* 온도검출 제어부

    * 가열 전원부 : 저항가열,고주파 가열,램프가열고주파 전원(13.56MHz등),자외광

    배기부* 배기관* 진공펌프* 스크러버

    * (Rotary Pump,Dry Pump)*(유해성분 제거)

    CVD (chemical vapor deposition)CVD (chemical vapor deposition)

    144

    CVD system의 분류

    CVD (chemical vapor deposition)CVD (chemical vapor deposition)

  • 145

    반도체 공정에서의 CVD (1)

    CVD (chemical vapor deposition)CVD (chemical vapor deposition)

    ILD: Inter layer dielectric IMD: Inter-metal dielectric PD: Passivation dielectricPMD: Pre-metal dielectric STI: Shallow trench isolation BPSG: Borophosphosilicate glassPSG: Phosphosilicate glass USG: Undoped silicate glass WCVD: Tungsten Chemical DepositionARC: Anti-reflection coating 146

    반도체 공정에서의 CVD (2)

    CVD (chemical vapor deposition)CVD (chemical vapor deposition)

    147

    반도체 공정에서의 CVD (3)

    Wafer

    CVD (chemical vapor deposition)CVD (chemical vapor deposition)

    148

    중요 박막 평가 항목

    – Sidewall step coverage – Bottom step coverage

    – Conformity – Overhang

    Step coverage (단차 피복성)

    - 평판이 아닌 topology 상에서 위치에 따른 필름 두께 차이 발생

    - 기체 원자의 방향성 및 기판 면적 증가 때문에 발생

    - 기체 전구체의 도착 각도 및 흡착된 전구체의 표면 흐름성에 의존

    CVD (chemical vapor deposition)CVD (chemical vapor deposition)

  • 149

    Step coverage (단차피복성)

    *Aspect ratio = w/h (가로세로비)

    CVD (chemical vapor deposition)CVD (chemical vapor deposition)

    150

    Step coverage -void 형성

    Corner A (270o)에 증착량 큼 Overhang

    voids or keyholes

    CVD (chemical vapor deposition)CVD (chemical vapor deposition)

    151

    Step coverage -mobility, pressure 의 영향

    CVD (chemical vapor deposition)CVD (chemical vapor deposition)

    152

    CVD 박막 형성 과정

    CVD (chemical vapor deposition)CVD (chemical vapor deposition)

  • 153

    반도체 공정에서의 CVD: 증착 공정

    CVD (chemical vapor deposition)CVD (chemical vapor deposition)

    154

    상압 CVD (Atmospheric pressure CVD, APCVD)

    - 상압에서의 CVD 공정

    - 주로 silicon oxide 및 silicon nitride (Si3N4) 박막 증착에 사용

    - 반도체 공정에서 STI, PMD 적용시 O3-TEOS oxide process가 널리 사용

    - in-situ belt clean 기능을 가진 컨베이어 벨트 시스템

    CVD (chemical vapor deposition)CVD (chemical vapor deposition)

    155

    저압 CVD (Low pressure CVD, LPCVD)

    - 0.25 ~ 2 Torr의 저압 공정으로 batch당 200 개의 웨이퍼 처리 가능

    - 주로 polysilicon, silicon dioxide, silicon nitride 박막 형성에 적용

    - 긴 MFP (mean free path), Good step coverage & uniformity

    - 적은 파티클 및 증가된 생산성 - 기체 흐름에 대한 의존성 감소

    CVD (chemical vapor deposition)CVD (chemical vapor deposition)

    156

    플라즈마 여기(勵起) CVD (Plasma Enhanced CVD, PE CVD)

    - passivation layer 층으로 silicon nitride silicon dioxide 막으로 대체를 위하여 개발

    - 상대적으로 낮은 온도에서 높은 증착 속도

    - RF는 증착기체에 플라즈마장 (plasma field) 유도

    - RF에 의한 응력 조절 - Chamber 청결

    CVD (chemical vapor deposition)CVD (chemical vapor deposition)

  • 157

    절연체를 위한 CVD 전구체

    Silane (SiH4)

    - 자연발화성 (ignite itself), 폭발성, 유독

    - 완전 대칭 구조

    - 실란 자체는 물리, 화학적 흡착되지 않으나, 실란의 변형체 (SiH3, SiH2, or SiH)는 표면에 화학적 결합 형성

    - 낮은 표면 흐름성 overhang, 불량한 step coverage

    TEOS (tetraethyl oxysilane, Si(OC2H5)4)

    - 큰 분자로서 완전히 대칭 구조는 아님

    - 화학적으로 안정하며 취급하기 쉬움

    - 수소결합을 할 수 있으며, 물리적 흡착을 함

    - 높은 표면 흐름도 양호한 step coverage, 일정한 두께, gap fill 우수

    - 대부분의 절연체 증착 공정은 TEOS를 이용한 산화막 공정으로 진행

    - 응용: STI, sidewall spacer, PMD, and IMD

    CVD (chemical vapor deposition)CVD (chemical vapor deposition)

    158

    CVD 공정 예(1)

    Thermal silane CVD 공정

    -Silane (SiH4)는 APCVD와 LPCVD법에 의한 실리콘 산화막 증착에 주로 사용

    SiH4 + 2 O2 → SiO2 + 2 H2O

    -APCVD: 희석 실란 (diluted silane) (3% in nitrogen), LPCVD: 순수 실란 (pure silane) 사용

    -미세소자에는 사용하지 않음

    Thermal TEOS CVD 공정

    - TEOS:물리적 흡착 및 높은 표면 유동성 → 우수한 step coverage

    - LPCVD TEOS 는 높은 온도에서 분해

    Si(OC2H5)4 → SiO2 + volatile organics

    700oC

    CVD (chemical vapor deposition)CVD (chemical vapor deposition)

    TEOS(Tetraethyl orthosilicate)deposition

    159 160

    PECVD Silane CVD 공정

    - 실란과 N2O를 사용

    - 플라즈마에 의해 SiH2와 O 형성

    - 형성된 라디칼은 실리콘 산화막 형성하기 위해 빠른 반응 일어남

    SiH4 + N2O → SiOxHy + H2O + N2 + NH3 + …

    - 증착 속도를 조절하기 위하여 Overflow N2O와 SiH4 의 유량 조절

    plasma

    heat

    Passivation: Silicon Nitride

    - 수분과 이온에 대한 배리어 층의 역할

    - PECVD에 의한 nitride 막 형성

    낮은 증착 온도 (

  • 161

    PVD (Physical vapor deposition)PVD (Physical vapor deposition)

    PVD란? - 냉각 기판(cooler substrate) 위에 증발 또는 sputtering을 이용하여 발생시킨 증기를 축적하여 필름을 성장 시

    키는 방법

    -가열 또는 스퍼터링을 통하여 고체표면 위에 기상 물질을 응축(condensing)

    -금속배선 및 층 형성을 위한 중요 공정

    PVD 방법(1) 증발(evaporation) 증착법: 온도에 의해 형성된 금속의 증기를 사용하는 방법

    (2) 스퍼터링(sputtering) 증착법: 물질에 물리적인 충격을 주는 방법

    Evaporation-상대적으로 불순물

    -batch process

    -저렴한 장치비용

    Sputtering

    - 높은 박막의 순도

    -두께 균일성

    -single wafer, 공정조절 용이

    -큰 웨이퍼 크기 가능

    162

    증발 증착법 (Evaporation)- 증발 과정 시, 증발체는 보통 높은 진공 상태에서 열이 가해짐. (P 거의 사용하지 않음

    - 증기 발생을 위한 열의 생성 수단에 따라 다음과 같이 구분 열 증발 증착법 (thermal evaporation deposition): Filaments

    유도열 증발 증착법 (inductive thermal evaporation deposition):Flash hot plate

    전자빔 증발 증착법 (electron-beam evaporation deposition):Electron beam

    전자빔을 사용, 증발체를 국부 가열방사선 damage 주의 필요

    PVD (Physical vapor deposition)PVD (Physical vapor deposition)

    163

    스퍼터링법 (Sputtering)- 고체의 표면에 고 에너지의 입자를 표면원자와 충돌시켜 고체 표면의 원자나 분자를 표면으로부터 탈착시

    켜 활용하는 기술

    - 전압 인가 가스원자의 이온화(플라즈마) 및 가속화 타겟과 충돌, 모멘텀 전달 타겟 내 원자 방출

    - 충돌한 아르곤 이온은 중성상태로 변화 다시 이온화 충돌 과정 반복

    - 종류: DC Diode, RF Diode, 마그네트론 (Magnetron)

    * Ar (Argon): 비활성, 무거우며, 대기중에 풍부하게 존재(1%)Low cost

    PVD (Physical vapor deposition)PVD (Physical vapor deposition)

    164

    스퍼터링법 (Sputtering) DC sputtering 증착, RF sputtering 증착

    PVD (Physical vapor deposition)PVD (Physical vapor deposition)

  • 165

    스퍼터링법 (Sputtering)

    마그네트론 스퍼터링(magnetron sputtering)

    - 가장 널리 사용되는 PVD 시스템

    - 음극쪽 자석에 의한 자장은 스퍼터링 효율을 증가시키는 역할

    - 웨이퍼 전체에 걸치는 균일성 우수 (better uniformity cross wafer)

    PVD (Physical vapor deposition)PVD (Physical vapor deposition)

    166

    스퍼터링법 (Sputtering)

    PVD (Physical vapor deposition)PVD (Physical vapor deposition)

    http://www.semiconservice.com/platform-specialties/platform/amat-endura-pvd

    167

    스퍼터링법 (Sputtering)

    스퍼터링의 장단점

    (1) 장점

    - 막 두께의 균일성

    - 다양한 재료의 증착 가능: 내화 재료, 절연막의 증착

    - 큰 면적의 target 이용 가능

    - 박막의 밀착력이 대단히 양호

    - 여러 가지 다른 재료에서도 성막속도가 안정되고 비슷함

    (2) 단점

    - 낮은 성막속도

    - High energy deposition이므로 박막의 불균일과 damage 발생 요인이 됨.

    - 박막이 전자, UV, 이온 등에 노출되어 가열된다.

    - 성막조건이 민감하고 서로 영향을 끼친다.

    PVD (Physical vapor deposition)PVD (Physical vapor deposition)

    168

    반도체 공정에서의 CVD (1)

    CVD (chemical vapor deposition)CVD (chemical vapor deposition)

    ILD: Inter layer dielectric IMD: Inter-metal dielectric PD: Passivation dielectricPMD: Pre-metal dielectric STI: Shallow trench isolation BPSG: Borophosphosilicate glassPSG: Phosphosilicate glass USG: Undoped silicate glass WCVD: Tungsten Chemical DepositionARC: Anti-reflection coating

  • 169

    PVD 공 정 예(1): Aluminum Interconnection Process

    (1) Degas

    -웨에퍼 표면에 존재하는 기체 및 수분을 제거하기 위해 웨이퍼 가열

    -outgassing 과정에서 증착된 금속박막의 오염 및 저항증가의 원인이 될 수 있음

    (2) Pre-clean

    -native oxide 막 제거 -접촉 저항 감소

    -아르곤 이온을 활용하여 스퍼터링

    -RF plasma

    (3) Ti PVD

    - 접촉 저항 감소를 위해 낮은 저항성을 가진 큰 grain size 물질 증착

    - 증착 공정 동안 웨이퍼를 350 oC로 가열:

    표면 유동성 및 step coverage 개선

    PVD (Physical vapor deposition)PVD (Physical vapor deposition)

    (조준기)

    170

    PVD 공 정 예(1): Aluminum Interconnection Process

    (4) TiN PVD

    -반응성 스퍼터링 공정으로 Ar과 N2 사용하며, N2 분자는 플라즈마에 의해 분해 (활성)

    -자유 질소 라디칼은 Ti와 반응하여 target 표면에 TiN 박막층 형성

    -아르곤 입자는 target 표면으로 부터 충돌하여 TiN 을 탈착시켜, 웨이퍼 표면에 증착하게 함.

    (5) Al-Cu PVD

    -수분 제거 및 낮은 박막 저항성을 위해 ultra high vacuum 하에서 실시

    -200 oC에서 증착을 실시하며, 큰 grain size 형성을 위해 metal annealing 실시

    -Ti 증착 Al-Cu seed 층 증착 (

  • 173

    PVD 공 정 예(2): Copper Process

    Copper Electrochemical Plating

    Copper seed layer

    - PVD copper layer (500 to 2000 Å)

    - Bulk copper grain과 박막 형성을 위한 핵 성장 역할

    - seed layer가 없을 경우 No deposition 또는 품질과 균일성 확보가 곤란

    Barrier layer

    - 실리콘으로의 구리 확산은 소자에 치명적 결함 부여 barrier layer 필요

    - Ti, TiN, Ta, TaN, W, WN 등이 사용되며, 수 Å Ta이 주로 사용

    PVD (Physical vapor deposition)PVD (Physical vapor deposition)

    174

    1. 박막 형성방법인 열산화법, CVD, PVD법에 대하여 비교하시오.

    2. CVD공정에서 박막 형성과정(순서)를 쓰시오.

    3. CVD공정의 단점과 장점을 쓰시오.

    4. CVD의 분류는 ( )과 ( )에 따라 구분할 수 있다.

    5. 절연체를 위한 CVD 전구체로서 Silane와 TEOS (tetra-ethyl-oxy-silane)를 주로 사용한다. 특히,

    TEOS (tetra-ethyl-oxy-silane)가 주로 사용되는데, 이 물질의 특징에 대하여 설명하시오.

    6. Step coverage와 overhang에 대하여 설명하시오.

    7. 물질의 mobility와 step coverage와의 관계에 대하여 설명하시오.

    8. PVD법은 크게 ( a )와 ( b )으로 구분할 수 있으며, 현재는 b방법이 주로 사용되는데,

    그 이유는 무엇인가?

    9. PVD법중 스퍼터링 공정의 장단점에 대하여 설명하시오.

    10. Aluminium 또는 Copper공정에서 Ti PVD를 실시하는 이유는?

    11. Copper PVD 공정에서 Barrier layer 의 증착이 왜 필요한가?

    과제10