8
Crystallization of amorphous silicon thin-lm on glass substrate preheated at 650 °C using Xe arc ash of 400 μs Dong-Hyun Kim a , Byung-Kuk Kim b , Hyoung June Kim c , Seungho Park a, a Department of Mechanical and System Design Engineering, Hongik University, 721 Sangsoo-dong, Mapo-koo, Seoul 121791, Republic of Korea b Viatron Technologies, Suwon Industrial Complex, 972 Gosaek-dong, Kwonsun-koo, Suwon 441813, Republic of Korea c Department of Materials Science and Engineering, Hongik University, 721 Sangsoo-dong, Mapo-koo, Seoul 121791, Republic of Korea abstract article info Article history: Received 8 November 2011 Received in revised form 2 July 2012 Accepted 5 July 2012 Available online 11 July 2012 Keywords: Flash lamp crystallization Amorphous silicon Glass Preheating, large-window display Experimental and theoretical investigations on ash lamp annealing (FLA) of amorphous silicon (a-Si) lm on glass were carried out with a view to practical applications in large-window display industries. A Xe arc ash lamp of 950 mm in length and 22 mm in bore diameter was applied with nominal input voltage of 7 kV and ash duration of 400 μs. Prior to the annealing process, the specimen for FLA was preheated at 650 °C, which was very close to the service temperature of the glass specimen used in this study. By employing a focusing el- liptic reector, maximum light energy density of up to 8.4 J/cm 2 could be attained with an active exposure width of 2 cm. Crystallization of a-Si could be achieved in solid-phase by applying a ash beam with light density of at least 5 J/cm 2 , and its phase-transition characteristics that varied with energy densities could be explained by theoretically estimated temperature elds. Electron microscopy observations conrmed that solid-phase crystal- lization preceded melting of a-Si due to relatively long ashing (heating) duration of 400 μs, which was compa- rable to solid-phase crystal-growth times at elevated temperatures. © 2012 Elsevier B.V. All rights reserved. 1. Introduction The present study investigates the ash lamp annealing (FLA) pro- cess for fabrication of low-temperature poly-crystalline silicon (LTPS) as one of the most promising and economical candidate processes for large-scale windows of up to size of 2.2 × 2.5 m 2 . Fabrication technol- ogies based on amorphous silicon (a-Si) have limitations such as low electron mobilities and low carrier densities and thus could not be ap- plied readily for high-performance displays or high-efciency solar cells. Although applications of single crystalline or poly-crystalline sil- icon (poly-Si) wafers can deliver such high performances, they are too expensive and too limited spatially to be applied for large-scale displays and solar panels. As a technology that gives higher perfor- mances at relatively low cost, the LTPS has attracted considerable in- terest with concomitant increase in promising results [15]. One of the conventional crystallization methods for displays is the de- position of a-Si using the chemical vapor deposition method, followed by the solid-phase crystallization (SPC) method [46]. Although process temperatures for the SPC method are generally below glass transition points, annealing times greater than 20 h are required and the resulting crystalline grains have sizes of approximately 10100 nm with high den- sity of microtwins. Alternatively, metallic seeds are added to a-Si, lower- ing the process temperature considerably, which is known as the metal- induced crystallization (MIC) method [7,8]. The MIC process can be faster and tend to produce larger grains than the SPC method, but effec- tive means of reducing metal contamination must be found. Eximer laser annealing method is a well-known method for the fabrication of LTPS [911]. The demerits inherent in the method such as small grain sizes and low scanning speeds, however, need to be improved before it can be applied for fabrication of large-window displays. Recently, sev- eral research works based on Joule-heating of metal lms have been conducted for rapid crystallizations of a-Si lm, which is known as the Joule-heating induced crystallization method [1217]. Although crystal grains much greater than 1000 nm were obtained, uniformity of the lm quality and multi-shot reliability of the process remain to be im- proved for applications in large-window displays. FLA method's potential has been investigated as a tool for producing LTPS for large-window fabrication processes [1822]. With an array of ash lamps with simple at reectors, a whole wafer can be crystallized with good uniformity [19]. However, to cover large-scale substrates by a lamp array, a large number of lamps are required with the total ash en- ergy exceeding 1 MJ per single shot. Using a single albeit large-scale lamp, Terai et al. [21] investigated the FLA method with a lamp of 40 μs in nominal pulse duration and achieved a signicant reduction in the ra- diation energy. However, the process required input voltages of up to 20 kV per unit lamp length. Smith et al. [19] and Ohdaira et al. [22] uti- lized lamps of 2×10 4 μs and 5×10 3 μs in nominal pulse durations, re- spectively. Although the input voltages could be reduced with ashes of longer durations, input ash energy must be increased considerably Thin Solid Films 520 (2012) 65816588 Corresponding author. Tel.: +82 2 320 1632; fax: +82 2 322 7003. E-mail address: [email protected] (S. Park). 0040-6090/$ see front matter © 2012 Elsevier B.V. All rights reserved. doi:10.1016/j.tsf.2012.07.006 Contents lists available at SciVerse ScienceDirect Thin Solid Films journal homepage: www.elsevier.com/locate/tsf

Crystallization of amorphous silicon thin-film on glass substrate preheated at 650 °C using Xe arc flash of 400 μs

Embed Size (px)

Citation preview

Page 1: Crystallization of amorphous silicon thin-film on glass substrate preheated at 650 °C using Xe arc flash of 400 μs

Thin Solid Films 520 (2012) 6581–6588

Contents lists available at SciVerse ScienceDirect

Thin Solid Films

j ourna l homepage: www.e lsev ie r .com/ locate / ts f

Crystallization of amorphous silicon thin-film on glass substrate preheated at 650 °Cusing Xe arc flash of 400 μs

Dong-Hyun Kim a, Byung-Kuk Kim b, Hyoung June Kim c, Seungho Park a,⁎a Department of Mechanical and System Design Engineering, Hongik University, 72‐1 Sangsoo-dong, Mapo-koo, Seoul 121‐791, Republic of Koreab Viatron Technologies, Suwon Industrial Complex, 972 Gosaek-dong, Kwonsun-koo, Suwon 441‐813, Republic of Koreac Department of Materials Science and Engineering, Hongik University, 72‐1 Sangsoo-dong, Mapo-koo, Seoul 121‐791, Republic of Korea

⁎ Corresponding author. Tel.: +82 2 320 1632; fax: +E-mail address: [email protected] (S. Park).

0040-6090/$ – see front matter © 2012 Elsevier B.V. Alldoi:10.1016/j.tsf.2012.07.006

a b s t r a c t

a r t i c l e i n f o

Article history:Received 8 November 2011Received in revised form 2 July 2012Accepted 5 July 2012Available online 11 July 2012

Keywords:Flash lamp crystallizationAmorphous siliconGlassPreheating, large-window display

Experimental and theoretical investigations on flash lamp annealing (FLA) of amorphous silicon (a-Si) film onglass were carried out with a view to practical applications in large-window display industries. A Xe arc flashlamp of 950 mm in length and 22 mm in bore diameter was applied with nominal input voltage of 7 kV andflash duration of 400 μs. Prior to the annealing process, the specimen for FLA was preheated at 650 °C, whichwas very close to the service temperature of the glass specimen used in this study. By employing a focusing el-liptic reflector, maximum light energy density of up to 8.4 J/cm2 could be attained with an active exposurewidth of 2 cm. Crystallization of a-Si could be achieved in solid-phase by applying a flash beamwith light densityof at least 5 J/cm2, and its phase-transition characteristics that variedwith energy densities could be explained bytheoretically estimated temperaturefields. Electronmicroscopy observations confirmed that solid-phase crystal-lization precededmelting of a-Si due to relatively long flashing (heating) duration of 400 μs, which was compa-rable to solid-phase crystal-growth times at elevated temperatures.

© 2012 Elsevier B.V. All rights reserved.

1. Introduction

The present study investigates the flash lamp annealing (FLA) pro-cess for fabrication of low-temperature poly-crystalline silicon (LTPS)as one of the most promising and economical candidate processes forlarge-scale windows of up to size of 2.2×2.5 m2. Fabrication technol-ogies based on amorphous silicon (a-Si) have limitations such as lowelectron mobilities and low carrier densities and thus could not be ap-plied readily for high-performance displays or high-efficiency solarcells. Although applications of single crystalline or poly-crystalline sil-icon (poly-Si) wafers can deliver such high performances, they aretoo expensive and too limited spatially to be applied for large-scaledisplays and solar panels. As a technology that gives higher perfor-mances at relatively low cost, the LTPS has attracted considerable in-terest with concomitant increase in promising results [1–5].

One of the conventional crystallizationmethods for displays is the de-position of a-Si using the chemical vapor depositionmethod, followed bythe solid-phase crystallization (SPC) method [4–6]. Although processtemperatures for the SPC method are generally below glass transitionpoints, annealing times greater than 20 h are required and the resultingcrystalline grains have sizes of approximately 10–100 nmwith high den-sity of microtwins. Alternatively, metallic seeds are added to a-Si, lower-ing the process temperature considerably, which is known as the metal-

82 2 322 7003.

rights reserved.

induced crystallization (MIC) method [7,8]. The MIC process can befaster and tend to produce larger grains than the SPCmethod, but effec-tive means of reducing metal contamination must be found. Eximerlaser annealing method is a well-known method for the fabrication ofLTPS [9–11]. The demerits inherent in the method such as small grainsizes and low scanning speeds, however, need to be improved beforeit can be applied for fabrication of large-windowdisplays. Recently, sev-eral research works based on Joule-heating of metal films have beenconducted for rapid crystallizations of a-Si film, which is known as theJoule-heating induced crystallization method [12–17]. Although crystalgrains much greater than 1000 nm were obtained, uniformity of thefilm quality and multi-shot reliability of the process remain to be im-proved for applications in large-window displays.

FLA method's potential has been investigated as a tool for producingLTPS for large-window fabrication processes [18–22]. With an array offlash lamps with simple flat reflectors, a whole wafer can be crystallizedwith good uniformity [19]. However, to cover large-scale substrates by alamp array, a large number of lamps are required with the total flash en-ergy exceeding 1 MJ per single shot. Using a single albeit large-scalelamp, Terai et al. [21] investigated the FLA method with a lamp of 40 μsin nominal pulse duration and achieved a significant reduction in the ra-diation energy. However, the process required input voltages of up to20 kV per unit lamp length. Smith et al. [19] and Ohdaira et al. [22] uti-lized lamps of 2×104 μs and 5×103 μs in nominal pulse durations, re-spectively. Although the input voltages could be reduced with flashesof longer durations, input flash energy must be increased considerably

Page 2: Crystallization of amorphous silicon thin-film on glass substrate preheated at 650 °C using Xe arc flash of 400 μs

WR

6582 D.-H. Kim et al. / Thin Solid Films 520 (2012) 6581–6588

and the glass backplane must be supposed to suffer from a serious ther-mal deformation.

For practical applications, nominal pulse duration is one of thecritical design factors for the fabrication of the LTPS on glass substratesince it is strongly related to lamp life spans and the deformation ofthe glass backplane. Although shorter pulse means smaller deforma-tion, it also requires higher input voltage that results in shorter lifespan of the lamp due to extremely high current inside the lamp. Onthe contrary, longer pulse causes larger deformation of the glassbackplane due to deeper penetration of the heat into the glass sub-strate [16].

In the current work, we applied a lamp with the pulse duration of400 μs for the LTPS process. As a practical means of fabricating large-scale windows, Xe arc flash lamp of 950 mm in length and 22 mm inbore diameter was applied with nominal input voltage of 7 kV. Prior tothe annealing process, the specimen for FLA was preheated at 650 °C,which is very close to the service temperature of the glass backplaneused in this study. It implies the highest temperature at which a materialcan function for an extended period of timewithout failing. Alongside theexperimental observation of the process, the temperature field of the FLAspecimen was predicted using one-dimensional conduction and opticsmodel to gain a detailed understanding of the phase-transformation.Fig. 1 shows the configuration of the FLA experimental setup, consistingof a lamp, a reflector, and a substrate. The radiation distribution incidenton the substrate was simulated by the ray tracing method and comparedwith experimental measurements. To gain a better understanding of thedetailed routes of phase-transformation, images of poly-Si microstruc-tures after the process were observed by scanning electron microscopy(SEM), atomic force microscopy (AFM) and Raman spectroscopy.

2. Experimental equipment

2.1. Reflector design

The schematic diagram for the FLA process is shown in Fig. 1, where asheet of glass backplane on a setter was exposed to the flash beam emit-ted from the lamp directly and simultaneously reflected via the reflectorindirectly. Since the electric power consumed during the FLA process isextremely high in general, it is necessary to minimize the radiative lossthat does not contribute to instantaneous heat-up of a-Si thin-film onthe backplane. Furthermore, it is well-known that another critical factorcontrolling the quality of the thin-films is uniformity of the process con-ditions. The importance of the energy economics and the thin-film qual-ity demands the use of reflectors that can reflect the flash efficiently anduniformly.

Although each flash is required to heat-up the whole film uniformlyup to temperatures close to crystallization threshold, it is impossible to

Fig. 1. Schematic diagram of the flash lamp annealing system and the specimenstructure.

manufacture and to operate the FLA system that can emit a highly ener-getic and uniform flash on large-window backplanes. Owing to thetechnological limitations involved in power supplies and flash lamps,practical FLA systems utilize a scanning system or the array of smalllamps to cover the large-window backplanes. As described in Fig. 1,the present study investigated an FLA system that could be applied forscanning FLA process, whichmay not still solve the problems associatedwith the overlap issues of the exposed zones during the process.

For a scanning-lamp application, three types of reflectors are com-monly used: hyperbolic, parabolic, and elliptic. Here we designed andmanufactured an elliptical reflector for long bar-type lamp to coverthe substrate through the scanning process, and important geometri-cal dimensions of the FLA system are described in Fig. 2 with the sizesas given in Table 1. The key design objectives of the reflector were toobtain a top-hat shape distribution of flash beam as well as to mini-mize the radiation loss during the process.

Ideal shape of an elliptic reflector that results in top-hat intensityprofiles on the substrate is not easy to estimate and it is very difficultto manufacture the reflector to the exact shape specifications. If theflash lamp and the substrate are located at each focal point of the el-lipse, the beam intensity can be maximized, but active flash-zonewidth can be quite reduced. On the contrary, wider flash-zone canbe obtained by placing the substrate out of focus in spite of the reduc-tion in the beam intensity. Flash beam profiles on the substrate, in-cluding the reflection from both the reflector and the substrate aswell as the direct incidence from the flash lamp was estimated bythe ray-tracing method. The lamp was assumed as a circle with 40point light sources and surface condition of the reflector and the sub-strate was assumed perfectly specular with reflectances of ρm and ρs,respectively. The bore diameter of the lamp, d, was 22 mm and eachpoint source on the lamp surface was assumed to emit 35,000 raysin radial direction.

2.2. Experimentation

A glass backplane for the FLA process, as shown in Fig. 1 was pre-pared through the semiconductor fabrication process as follows. ASiO2 layer with a thickness of 500 nm was formed on a 0.5 mm-thickglass substrate, using the plasma enhanced chemical vapor deposition(PECVD) method. An a-Si thin-film with a thickness of 50 nmwas de-posited on the dielectric layer using the PECVD method again.

substrate

Lg

FRHR Lfs

Fig. 2. Important dimensions for flash lamp and reflector modules.

Page 3: Crystallization of amorphous silicon thin-film on glass substrate preheated at 650 °C using Xe arc flash of 400 μs

Table 1Geometric sizes of a FLA system used in this study.

Symbol Size [cm] Description

WR 26 Reflector widthHR 34 Reflector heightFR 26 Distance between foci of ellipsoidal reflectorLfs 24–26 Distance between flash lamp and substrateLg 4–6 Distance between reflector hemline and substrateL 95 Distance between anode and cathode of flash lampd 2.2 Outer diameter of flash lamp

6583D.-H. Kim et al. / Thin Solid Films 520 (2012) 6581–6588

The FLA system in this study consists of three major parts: a lamp, areflector and a sheet of glass backplane as previously discussed. A glasspanel with a size of 920×730×0.7 mm3 (Corning Eagle 2000) was usedas a backplane. A flash lamp with 950 mm in arc length (l) and 22 mmin bore diameter (d) was utilized with an elliptical focusing reflector ofwhich the important sizes are given in Table 1.

Input voltage and current supplied to the flash lamp were mea-sured in real-time using a high voltage probe (P6015A, Tektronix)and a current probe (P210, Tektronix) with a current transformer(CT-4, Tektronix), respectively, which were stored simultaneously ina digital oscilloscope (TDS 2012B). The distribution of the radiationenergy from the flash lamp incident on the substrate was measuredby an energy meter (SOLO-PE, Gentec-EO) with a pinhole of 1 mmin diameter.

For measurements of normal reflectances of the FLA specimen, acharge coupled device (CCD) spectrometer (BTC112E) was usedwith a tungsten lamp as a light source, which spanned the impor-tant spectral range (wavelength: 300–900 nm) sufficient for thedistinction between the different structures of silicon. The imagesof poly-Si structures fabricated through the FLA process were ob-served by SEM, AFM and Raman spectroscopy. For SEM applications,XL30FEG (Philips Electron) with ZrO2 coated tungsten gun at opera-tion voltage of 5 kV and Sirion 400 of FEI Co. with a Shottky Emitterat operation voltage of 20 kV and electron backscattering detectors(Edax) were utilized to observe the microstructures of the FLAspecimen. For AFM applications, XE-150 (PSIA) was used in thenon-contact mode. Raman spectra were obtained at room temper-ature with a spectral resolution of 0.6 cm−1 using 514.532 nmAr–Ion laser of operating power 0.5 mW, which was focused witha 100× objective on the surface (LabRam HR, Jobin Yvon).

3. Analytical models

Since the crystallization of a-Si during the FLA process can be ac-complished via various phase-transformation paths by instantaneousradiant heating, it is necessary to analytically estimate both the opti-cal and thermal responses during the FLA process to understand theexperimental observation clearly and to obtain important design pa-rameters for practical FLA systems.

3.1. Radiation absorption

In order to estimate the transient temperature variations of thethin-film during the FLA process, detailed information of the heatingrate inside the specimenwas required. Since the FLA process is governedmainly by radiation, optical characteristics of the thin film structure haveto be analyzed.

To simplify the problem, it was assumed that planar electromagnetic(EM) waves were normally-incident on the specimen and thus radiantheatingwas one-dimensional. EMwave propagation and absorption in-side multilayer thin films can be estimated using the electromagnetictheory [23]. Since both electric and magnetic waves are continuous,their values have to be conserved at interfaces between layers. For the

jth layer out of N layers deposited on substrate, the forward and back-ward electric waves and magnetic waves are described as follows:

Eþj xð Þ ¼ Eþj exp −i2πλ

n̂λ;jx� �

ð1Þ

E−j xð Þ ¼ E−j exp i2πλ

n̂λ;jx� �

ð2Þ

Bþj xð Þ ¼ n̂λ;jE

þj exp −i

2πλ

n̂λ;jx� �

ð3Þ

B−j xð Þ ¼ n̂λ;jE

−j exp i

2πλ

n̂λ;jx� �

ð4Þ

where E and B represent the electric and magnetic fields, respectively.Parameters, n̂, λ and x are complex refractive index, wavelength andlocal coordinate, respectively. The local coordinate x spans from 0 tohj, the thickness of the jth film. From the continuity of the wave fields,following equalities have to be satisfied at each interface.

Eþj−1 hj−1

� �þ E−j−1 hj−1

� �¼ Eþj 0ð Þ þ E−j 0ð Þ ð5Þ

Bþj−1 hj−1

� �−B−

j−1 hj−1

� �¼ Bþ

j 0ð Þ−B−j 0ð Þ ð6Þ

with boundary conditions at the front and back surfaces, given by

Eþ0 0ð Þ þ E−0 0ð Þ ¼ Eþ1 0ð Þ þ E−1 0ð Þ ð7Þ

Bþ0 0ð Þ−B−

0 0ð Þ ¼ Bþ1 0ð Þ−B−

1 0ð Þ ð8Þ

EþN hNð Þ þ E−N hNð Þ ¼ EþS 0ð Þ ð9Þ

BþN hNð Þ−B−

N hNð Þ ¼ BþS 0ð Þ; ð10Þ

where the indices N and S indicate the Nth layer and the substrate, re-spectively. Substitution of Eqs. (1)–(4) into Eqs. (5) and (6) results inelectric and magnetic fields at any point as follows:

Ej xð Þ ¼ Eþj exp −i2πλ

n̂λ;jx� �

þ E−j exp i2πλ

n̂λ;jx� �

ð11Þ

Bj xð Þ ¼ n̂λ;jEþj exp −i

2πλ

n̂λ;jx� �

−n̂λ;jE−j exp i

2πλ

n̂λ;jx� �

: ð12Þ

The radiation power per unit area, known as the Poynting vector,is a cross product of electric and magnetic fields. Heat absorbed local-ly inside the thin-film structure can be calculated by the followingequations, given by

S ¼ ε0c2E � B ð13Þ

Q ¼ dSdx

; ð14Þ

where εo, c, and Q are the permittivity, wave speed, and volumetriclocal heat absorption rate, respectively. Using this formalism with rel-evant optical properties [24] (see Fig. 3), the distributions of electro-magnetic field and heat absorption inside the multi-layered thin-filmstructure can be calculated.

3.2. Temperature fields

Since the major phase-transformation in the FLA process occursduring the lamp-flashing period of about tens or hundreds of micro-seconds with the heating rate in the order of 1–10 kW/cm2 in general,

Page 4: Crystallization of amorphous silicon thin-film on glass substrate preheated at 650 °C using Xe arc flash of 400 μs

300 400 500 600 700 800 9000

2

4

6

8R

EF

RA

CT

IVE

IND

EX

(n

)

WAVELENGTH [nm]

c-Si a-Si l-Si SiO2

glass

a

300 400 500 600 700 800 90010-3

10-2

10-1

100

101

c-Si a-Si l-SiR

EF

RA

CT

IVE

IND

EX

(k)

WAVELENGTH [nm]

b

Fig. 3. Refractive indices of thin-film materials for the FLA specimen [24]: (a) n, realpart and (b) k, imaginary one.

Table 2Temperature dependent properties of materials used in this study.

Materials Density[kg/m3]

Temperature[K]

Thermal conductivity[W/mK]

Specificheat[J/kgK]

Amorphoussilicon [19]

2230 300 0.7 7701270 1.1 10701418 1.3 1120

Crystallinesilicon [19]

2300 300 156 7101270 25 9601687 22 1030

Silicon dioxide[13]

2300 300 10.8 1034400 13.8 1149500 16.8 1233

Glass⁎ 2370 296.15 0.89 740323.15 0.95 780373.15 1.03 850473.15 1.14 950573.15 1.2 1030673.15 1.29 1090873.15 1.45 1210

⁎ Corning Eagle 2000, Samsung Corning Precision Materials Co., Ltd.

-0.2 0.0 0.2 0.4 0.6 0.8 1.0

0

2

4

6

8

VO

LT

AG

E[k

V]

, CU

RR

EN

T[k

A]

TIME [msec]

VOLTAGE CURRENT

Fig. 4. Input voltage and current profiles under the nominal condition of 7 kV duringthe FLA process.

6584 D.-H. Kim et al. / Thin Solid Films 520 (2012) 6581–6588

convective and/or radiative heat losses in the order of 1–10 W/cm2 canbe considered negligible, compared with the conduction heat transfer.Based on this approximation, temperature fields inside the thin-filmstructure can be estimated by the one-dimensional heat conductionmodel, given by

∂∂t ρCpT

� �¼ ∂

∂x K∂T∂x

� �þ Q ; ð15Þ

where ρ, CP, and K are the density, heat capacity, and thermal conduc-tance for each layer, respectively, andQ denotes the volumetric heat ab-sorption described by Eq. (14). Since the temperature variation duringthe FLA process was extremely large and plays a critical role duringthe crystallization process, temperature dependencies of the physicalproperties for thin-film material were included in the present theoreti-cal estimation, as given in Table 2.

In this study, phase transformation was predicted using the cellular-automata algorithm [19,25,26] which justified the cell state according tostates of itself and its neighbors. It was assumed that the transformationwas mainly governed by heterogeneous crystallization induced by crys-talline seeds preexisting at interface between a-Si and SiO2 thin-films,not by homogeneous one, since homogeneous nucleation rates andgrowth velocities of the crystalline-phase were negligibly small in thea-Si film of thickness 50 nm [19,27]. When temperatures at interface be-tween a-Si and SiO2 thin-films rose up to 1200 K, we assumed that thephase-transformation of a-Si was initiated and subsequently propagatedinto the matrix. The temperature field, however, was not even slightlyinfluenced by the phase-transformation, since total amount of the heatreleased from the phase-transformation was about 0.013 J/cm2 whileinput flash energy density was about 5–8 J/cm2.

4. Results and discussion

Phase-transformation of a-Si during the FLA process is stronglydependent on duration and intensity of each flash and the proper es-timation of the thermal budget is extremely important in designingeffective FLA facilities. Electrical energy supplied from the power sup-ply is transformed into the light energy through the flash lamp andsome portion of the light absorbed inside the thin-film structureheats up the structure, inducing the crystallization of a-Si. Therefore,conversion rates from the electrical to light energies and from thelight to internal thermal energies are the two critical factors with re-spect to the energy budget during the FLA process.

Fig. 4 shows an instance of operational voltages and currents mea-sured during the FLA process, for which the initial voltage was ap-proximately 7 kV. During the discharge span of the electrical energystored in the capacitor banks, the voltage and current profiles variedconsiderably and thus the instantaneous power input should be esti-mated by taking the product of the instantaneous voltage and current.The instantaneous power input in turn controlled the instantaneouslight intensity of the flash beam. For the flash lamp the spectra pro-vided by the manufacturer [28] was used and the peak wavelengthof the spectra was about 500 nm. The flash lamp used Xe gas with afilling pressure of 26.7 kPa and its overall sizes were 950 mm inlength and 22 mm in diameter, as given in Table 1.

In order to estimate the conversion rate of the electrical to thelight energy, we set up the system as described in Figs. 1 and 2 and

Page 5: Crystallization of amorphous silicon thin-film on glass substrate preheated at 650 °C using Xe arc flash of 400 μs

300 400 500 600 700 800 9000

20

40

60

80

100

RE

FL

EC

TA

NC

E [

%]

WAVELENGTH [nm]

REFLECTOR (Al) SUBSTRATE (a-Si)

Fig. 6. Normal reflectances of the Al reflector and the FLA specimen of a-Si thin-film forwavelengths ranging from 300 nm to 900 nm.

6585D.-H. Kim et al. / Thin Solid Films 520 (2012) 6581–6588

measured the local intensity distribution on the substrate as shown inFig. 5. When nominal input voltage was 7 kV, the total input electricalenergy was estimated to be about 13.0 kJ from the integration of theinstantaneous power distribution, and the light energy transferred tothe substrate was estimated to be about 5.17 kJ from the light inten-sity distribution given in Fig. 5. Here, energy conversion rate of Xeflash lamp was about 39.8% and this value was the lower bound ofthe typical range for flash lamps: 40–50% [29]. The operational con-version rate of the FLA system depends on the characteristics of theflash lamp and the peripheral optical system. Here, we designed andmanufactured the aluminum reflector, for which the reflectanceswere measured and compared to those for a-Si substrate as shownin Fig. 6. Since the reflector was not highly polished, the measured re-flectances were considerably lower than those for a polished one,which resulted in relatively lower values of light intensity on thesubstrate.

Fig. 7 compares normal reflectances of the specimen with poly-Siand a-Si thin films for wavelengths ranging from 300 to 900 nm. Theo-retical estimations from the discussion given in Section 3.1 with refrac-tive indices shown in Fig. 3 agreed well with experimentally obtainedreflectances. Reflectances of a-Si substrate varied smoothly from 30%to 70%, which implied that the light energy was absorbed almost uni-formly across the primary spectra of the Xe flash lamp. The specimenwith poly-Si, on the contrary, could not absorb the light energy aroundthe 550 nm due to low values of refractive index (k), resulting inlow reflectances/absorptances and high transmittances. Furthermore,this low absorption could immediately reduce the overall heating rateof the specimen, as the phase-transformation from a-Si to poly-Si initi-ated. For the spectrum range of 300 nm to 900 nm the overall reflec-tance of poly-Si was about 44.8%, while those of a-Si and reflectorwere about 47.9% and 50.7%, respectively. All the overall reflectanceswere weighted by the spectral intensities of the Xe flash.

Using overall reflectances of the aluminum reflector and the a-Si sub-strate, relative intensity distributions of the flash beam on the substratewere calculated by the ray tracing method, and were found to be ingood agreements with the experimental values as shown in Fig. 5. Thevalueswere normalized by themaximumvalue at the center of the spec-imen, with the specimen lying at the focal point of the reflector. Whilepeak values of the intensities decreased as the distances between thefocal point and the specimen increased, uniformity of the flash beamwas improved as the distance increased. In this study, we set up theFLA system with the substrate located at the focal point.

Using Eq. (15) with properties given in Table 2, we calculated thethermal field of the FLA specimen during the FLA process. Fig. 8 showsthe instantaneous maximum temperatures of a-Si at the center zoneof the specimen during the FLA process under the nominal input con-dition of 7 kV and preheating at 650°C. The instantaneous power var-ied in accordance with the voltages and currents depicted in Fig. 4. Total

0 10 20 30 40 500.0

0.2

0.4

0.6

0.8

1.0

1.2

RE

LA

TIV

E IN

TE

NS

ITY

DISTANCE FROM CENTER [mm]

FOCUS +1 cm +2 cmEXPERIMENT SIMULATION

Fig. 5. Radiation intensity distributions on the substrate described in Fig. 2 for threedistances between the focal point and the substrate.

electrical energy inputwas about 13.0 kJ as previously discussed and thelight density at the center zone was about 8.4 J/cm2. While the powerattained maximum value at around 200 μs, the temperature reachedmaximum at 400 μs.

Fig. 9 compares the peak temperatures of a-Si predicted for flashenergy densities with and without preheatings prior to the mainFLA process. The preheating temperature of 650 °C was very close tothe service point of the glass backplane used in the present study.As expected, peak temperatures increased almost linearly with thelight energy densities and the preheating was found to reduce theinput energy densities considerably for a target temperature. Basedon the relationships between the peak temperature and light energydensity as shown in Fig. 9, we could estimate the energy densities re-quired for heating a-Si film up to key regions of phase-transformationand provide the practical design of the FLA system as well.

Hereweused a SEM, AFMand aRaman spectroscope aswell as a con-ventional camera to gain understanding of the crystal structure of the sil-icon film after the FLA process. For the nominal input condition of 7 kVFig. 10 shows the distribution of the light energy incident on the speci-men via SEM and AFM images after the FLA process. Although somefringes on the specimen could be generated due to multiple reflectionsand optical superposition of flash beams, they are not clearly observedboth in the picture and in the distribution of flash energy densities, asshown in Fig. 10 (a).

The bright yellow region of the specimen shown in the picture insetin Fig. 10 (a) was exposed to intense flashes with the densities of over8 J/cm2 and peak temperatures estimated from the relationship shownin Fig. 9 were well above 1500 K. This high temperature is sufficient fora-Si to undergo major phase-transformations such as solid-phase crys-tallization or explosive crystallization followed by melting of a-Si [30]

300 400 500 600 700 800 9000

20

40

60

80

100 EXPERIMENT THEORYpoly-Sia-Si

RE

FL

EC

TA

NC

E [

%]

WAVELENGTH [nm]

Fig. 7. Normal reflectances of the FLA specimen of poly-Si or a-Si thin film for wave-lengths ranging from 300 nm to 900 nm.

Page 6: Crystallization of amorphous silicon thin-film on glass substrate preheated at 650 °C using Xe arc flash of 400 μs

0.0 0.2 0.4 0.6 0.8 1.00

5

10

15

20

25

30

35

40

TIME [msec]

INU

T P

OW

ER

[M

W]

900

1000

1100

1200

1300

1400

1500

1600

TE

MP

ER

AT

UR

E [

K]

Fig. 8. Temporal distributions of input powers and predicted maximum temperaturesunder the nominal input condition of 7 kV.

6586 D.-H. Kim et al. / Thin Solid Films 520 (2012) 6581–6588

and thus we could expect high degrees of crystallinity of the specimen.On the contrary, the dark brown region in the picture represents a-Sithat was exposed to weak flashes of densities below 4 J/cm2 and esti-mated peak temperatures were smaller than 1200 K, which were toolow to induce significant phase-transformation.

Microstructures of four points marked in the specimen were inves-tigated by taking SEM and AFM and Raman spectra, as shown inFigs. 10 (b)–(c) and 11, respectively. At point No. 1, the energy densitywas about 4.0 J/cm2 and its temperature estimated from Fig. 10 wasabout 1200 K. Its SEM image indicates that some portion of the a-Sithin-film has peeled off, implying that a-Si in that region whichremained after the FLA process was etched away during the Secco etch-ing [31] due to fast etching rates of a-Si. Furthermore, a-Si in the otherregion might not undergo a considerable phase-transformation, sinceSEM and AFM images did not show clear grain boundaries and theRaman spectra given in Fig. 11 did not show a distinct peak associatedwith the crystallization.

The light density at point No. 2 was 5.33 J/cm2 and its estimatedpeak temperature was slightly above 1300 K. Its SEM and AFM im-ages showed poly-crystalline grains with the size of about 50 nmand its Raman spectrum indicated low degree of crystallinity. In thistemperature region, growth velocity of crystalline structure could be es-timated from classical nucleation theory and is in order of 105 nm/s [19].Since the heating duration of the current process is about 400 μs, crystal-line structure could grow in size up to a few tens of nm.

2 4 6 8 10 12600

800

1000

1200

1400

1600

1800

PE

AK

TE

MP

ER

AT

UR

E [

K]

LIGHT ENERGY DENSITY [J/cm2]

INITIAL TEMPERATURE 650 oC (w/ PREHEATING)

25 oC (w/o PREHEATING)

Fig. 9. Peak temperatures of a-Si film with respect to light energy densities with andwithout preheatings.

The root mean square (RMS) surface roughness was estimatedfrom the AFM images, as shown in Fig. 10 (c). The RMS surface rough-ness at point No. 1 is about 2.07 nm which is slightly larger than thatof at point No. 1 whose one is 1.91 nm. Surface roughness is oftenused as an important factor to distinguish the phase-transformationroutes between the solid–solid and solid–liquid–solid transitions,since the surface morphology changes drastically when melting is in-volved in the process [19,22]. Since the RMS surface roughness ofpoint No. 2 is very close to that of a-Si, phase transition of this pointis speculated to be on SPC route.

Since the light energy densities and the peak temperatures at the re-gion corresponding to points Nos. 3 and 4 were higher, the specific fea-tures related to the phase-transformation of a-Si became prominent.Their SEM images showed that the phase consisted of fine random-shape grains and circular-patterned grains, and AFM images indicatedthat the surfaces were roughened considerably, with the RMS surfaceroughnesses of 5.92 and 7.19 nm, respectively. Their Raman spectrashown in Fig. 11 had higher and sharper peaks at 520 cm−1, which indi-cated higher degrees of crystallinity of these regions.

Circular patterns of grains shown in SEM images for points 3 and 4were formed through a rapid solidification route followed by sponta-neous nucleation in under-cooled liquid silicon described as explosiveliquid-phase nucleation (ELPN) [30]. Here, the melting of a-Si wasconfirmed by simulation of the thermal field based on Eq. (15),which predicted that a-Si film would melt for flash energy densitiesgreater than 6.2 J/cm2.

In spite of higher flash energy densities for regions at points 3 and4, fine grain sizes found in their SEM images were almost the same asthose for the region at point 2 that took the SPC route. This impliedthat the SPC process preceded the ELPN even at higher energy densi-ties and fine grains formed through the SPC route did not grow fur-ther. The physical reasons for this phenomenon can be understoodin two ways. First, the present FLA process used a flash beam withthe nominal duration of 400 μs, for which the heating rate was toolow to increase the thin-film temperature needed for melting of a-Siwithout involving a significant SPC process. Second, once fine grainswere formed through the SPC during the slow FLA process, theheating rate dropped considerably due to the change in absorptancesas discussed previously. Then the growth of the SPC grains came to astop and only the remaining a-Si started to melt, which resulted incircular patterns of grains.

5. Conclusions

To find a practical solution applicable to large-window displays,we investigated a FLA process of a-Si glass backplane experimentallyand theoretically using Xe arc flash lamp with nominal duration400 μs and preheating procedure applied at 650 °C.

The distribution of the radiant energy emitted from Xe flash lampon substrate was simulated using ray tracing method and was foundto be in good agreements with experimental results. Based on electro-magnetic theory, the reflection, transmission and absorption of flashlight in multilayer thin films were calculated and verified by experi-mentally measured reflectances.

Temperature field of multilayer thin films during the FLA processwas numerically calculated to obtain the relationship between thelight energy density on the substrate and the peak temperature ofa-Si thin-film.

Micrographs of SEM, AFM and Raman spectra were used to ana-lyze the crystal structure of poly-Si film after the FLA process hadbeen applied. SEM and AFM images indicated that crystallization oc-curred mainly through SPC route due to relatively slow heating inthe present FLA system with 400 μs flash duration, although tracesof local melting were observed for the input light energy densitylevel higher than 6.2 J/cm2. From Raman spectra, it was found thatcrystallization was initiated at about 5.33 J/cm2 with preheating applied

Page 7: Crystallization of amorphous silicon thin-film on glass substrate preheated at 650 °C using Xe arc flash of 400 μs

200 nm

b 1 2 3 4

12

3

4

-30 -20 -10 0 10 20 300

2

4

6

8

10

12

14

EN

ER

GY

DE

NS

ITY

[J/

cm2 ]

POSITION [mm]

SIMULATION EXPERIMENT

FLA SPECIMENa

nm40

30

20

10

0

c 1 2 3 4

200 nm

Fig. 10. (a) Distribution of flash energy density with a picture of the specimen after the FLA process under the nominal input condition of 7 kV, (b) its SEM, and (c) AFM images.

6587D.-H. Kim et al. / Thin Solid Films 520 (2012) 6581–6588

at 650 °C and that crystallinities increased in proportion to increase inthe light energy density.

Acknowledgment

Thisworkwas supported by the National Research Foundation grantfund (No. 2009–0083510) through Multi-phenomena CFD Engineering

100 200 300 400 500 600 700

4

3

2

1

INT

EN

SIT

Y [

A.U

]

RAMAN SHIFT [cm-1]

LOCATION

Fig. 11. Raman spectra of the FLA specimen under the nominal input condition of 7 kVat locations marked on Fig. 10 (a).

Research Center and Basic Science Research Program funded by theMinistry of Education, Science and Technology (NRF-2012004364).

References

[1] A. Mimura, G. Kawachi, T. Aoyama, T. Suzuki, Y. Nagae, N. Konishi, Y. Mochizuki,IEEE Trans. Electron Devices 40 (1993) 513.

[2] W.G. Hawkins, IEEE Trans. Electron Devices 33 (1986) 477.[3] K. Kis-Sion, T. Mohammed-Brahim, D. Briand, M. Sarret, F. Lebihan, B. Fortin, O.

Bonnaud, P. Boher, M. Stehle, J.L. Stehle, Thin Solid Films 296 (1997) 53.[4] C.D. Park, H.Y. Kim, M.H. Cho, K.J. Jan, J.Y. Lee, Thin Solid Films 359 (2000) 268.[5] B.D. Kim, H. Jung, G.B. Kim, S.K. Joo, Microelectron. J. 34 (2003) 767.[6] M.Z. Lai, P.S. Lee, A. Agarwal, Thin Solid Films 504 (2006) 145.[7] S.Y. Yoon, S.J. Park, K.H. Kim, J. Jang, Thin Solid Films 383 (2001) 34.[8] S.W. Lee, S.K. Joo, IEEE Electron Devices Lett. 17 (1996) 160.[9] J.S. Im, H.J. Kim, M.O. Thompson, Appl. Phys. Lett. 63 (1993) 1969.

[10] J.S. Im, H.J. Kim, Appl. Phys. Lett. 64 (1994) 2303.[11] Y. Helen, K. Mourgues, F. Raoult, T. Mohammed-Brahim, O. Bonnaud, R. Rogel, S.

Prochasson, P. Boher, D. Zahorski, Thin Solid Films 337 (1999) 133.[12] T. Sameshima, K. Ozaki, N. Andoh, Appl. Phys. A 71 (2000) 1.[13] T. Sameshima, Y. Kaneko, N. Andoh, Appl. Phys. A 74 (2002) 719.[14] W.E. Hong, J.S. Ro, Thin Solid Films 515 (2007) 5357.[15] W.E. Hong, J.K. Chung, D.H. Kim, S.H. Park, J.S. Ro, Appl. Phys. Lett. 96 (2010) 052105.[16] D.H. Kim, W.E. Hong, J.S. Ro, S.H. Lee, S.H. Park, Vacuum 85 (2011) 847.[17] D.H. Kim,W.E. Hong, J.S. Ro, S.H. Lee, C.H. Lee, S. Park, Thin Solid Films 519 (2011) 5516.[18] R.A. McMahon, M.P. Smith, K.A. Seffen, M. Voelskow, W. Anwand, W. Skorupa,

Vacuum 81 (2007) 1301.[19] M.P. Smith, R.A. McMahon, M. Voelskow, D. Panknin, W. Skorupa, J. Cryst. Growth

285 (2005) 249.[20] B. Pecz, L. Dobos, D. Panknin, W. Skorupa, C. Lioutas, N. Vouroutzis, Appl. Surf. Sci.

242 (2005) 185.[21] F. Terai, S. Matunaka, A. Akihiko, C. Ichimura, T. Nagatomo, T. Homma, J. Electrochem.

Soc. 153 (2006) H147.[22] K. Ohdaira, S. Nishizaki, H. Matsumura, J. Cryst. Growth 312 (2010) 2834.

Page 8: Crystallization of amorphous silicon thin-film on glass substrate preheated at 650 °C using Xe arc flash of 400 μs

6588 D.-H. Kim et al. / Thin Solid Films 520 (2012) 6581–6588

[23] F.L. Pedrotti, L.S. Pedrotti, Introduction To Optics, 2nd ed. Prentice Hall, New Jer-sey, USA, 1993.

[24] E.D. Palik, Handbook of Optical Constants of Solids, Academic Press, San Diego, 1998.[25] H. Kisdarjono, A.T. Voutsas, R. Solanki, J. Appl. Phys. 94 (2004) 4374.[26] J.S. Blázquez, V. Franco, C.F. Conde, M. Millán, A. Conde, J. Non-Cryst. 354 (2008) 3597.[27] R.B. Iverson, R. Reif, J. Appl. Phys. 62 (1987) 1675.

[28] HERAEUS Inc., http://heraeus-noblelight.com.[29] W. Koechner, Solid-State Laser Engineering 6th, Springer, New York, USA, 2006.[30] H.D. Geiler, J. Appl. Phys. 59 (1986) 3091.[31] F. Secco d'Aragona, J. Electrochem. Soc. 119 (1972) 948.