29
2-24 DENEY 2-5 Karşılaştırıcı Devre DENEYİN AMACI 1. Dijital karşılaştırıcıların çalışma prensiplerini ve yapısını anlamak. GENEL BİLGİLER Bir karşılaştırma yapabilmek için en az iki sayı gereklidir. En basit karşılaştırıcı iki girişe sahiptir. Girişler A ve B olarak adlandırılırsa, üç olası çıkış söz konusudur: A>B; A=B; A<B. Şekil 2-14‘te, basit bir karşılaştırıcının lojik diyagramı ve sembolü gösterilmiştir. (a) (b) Şekil 2-14 Karşılaştırıcılar Şekil 2-14’te, 1-bitlik bir karşılaştırıcı gösterilmiştir. Gerçek uygulamalarda çoğunlukla 4-bitlik karşılaştırıcılar kullanılır. Daha büyük ya da küçük olan girişleri belirleyen 4-bitlik karşılaştırıcı tümdevrelerden ikisi TTL7485 ve CMOS4063’tür. TTL 74689, sadece girişlerin eşit olup olmadığına bakan bir tümdevredir.

DENEY 2-5 Karşılaştırıcı Devreeem.mf.duzce.edu.tr/Dokumanlar/eem_mf/3.pdf · 2017. 4. 3. · FA2 tarafndan A2 ve B2’ye eklenerek yeni bir S2 toplamı ı ve C2 eldesi üretilir

  • Upload
    others

  • View
    0

  • Download
    0

Embed Size (px)

Citation preview

  • 2-24

    DENEY 2-5 Karşılaştırıcı Devre DENEYİN AMACI

    1. Dijital karşılaştırıcıların çalışma prensiplerini ve yapısını anlamak. GENEL BİLGİLER

    Bir karşılaştırma yapabilmek için en az iki sayı gereklidir. En basit karşılaştırıcı iki girişe sahiptir. Girişler A ve B olarak adlandırılırsa, üç olası çıkış söz konusudur: A>B; A=B; A

  • 2-25

    4-bitlik bir karşılaştırıcıda, her bit 20, 21, 22, 23 basamaklarını temsil eder. Karşılaştırma en anlamlı bitten (23) başlar, eğer A girişinin en anlamlı biti B girişininkinden büyükse, “A>B” çıkışı yüksek durumunda olur. Eğer A ve B girişlerinin en anlamlı bitleri eşitse, karşılaştırmaya bir sonraki anlamlı bitle (22) devam edilir. Eğer yine sonuç alınamazsa, aynı işlem bir sonraki bitte tekrarlanır. En anlamsız bitte (20) girişler hala eşitse, “A=B” çıkışı yüksek durumunda olur.

    (a) Dört adet 1-bitlik karşılaştırıcı ile gerçekleştirilmiş

    (b) 4-bitlik karşılaştırıcı sembolü

    Şekil 2-15

  • 2-26

    KULLANILACAK ELEMANLAR

    1. KL-31001 Dijital Lojik Lab 2. KL-33002 Modülü

    DENEYİN YAPILIŞI A. Temel Lojik Kapılar ile Karşılaştırıcı Gerçekleştirilmesi

    1. Bağlantı klipslerini Şekil 2-16 (a)'ya göre yerleştirin. Şekil 2-16 (b)’de gösterilen 1-bitlik karşılaştırıcıyı gerçeklemek için U3a, U3b, U3c, U4a, U4b, U4c ve U5 kapıları kullanılacaktır.

    (a)

    (b) Şekil 2-16 1-bitlik karşılaştırıcı

    2. Girişler yüksek seviye gerilimiyle tetiklenir. A, B girişlerini SW1, SW2 veri

    anahtarlarına bağlayın. Çıkışlar düşük seviye gerilimiyle tetiklenir. F1, F2, F5, çıkışlarını sırasıyla L1, L2, L3 lojik göstergelerine bağlayın.

  • 2-27

    3. Tablo 2-10’daki giriş sırasını takip ederek çıkışları ölçüp kaydedin.

    INPUT OUTPUT SW2(B) SW1(A) F1 F2 F5

    0 0 A=B 0 1 A>B 1 0 A<B 1 1 A=B

    Tablo 2-10

    B. TTL Tümdevre ile Karşılaştırıcı Gerçekleştirilmesi

    1. Bu bölümde KL-33002 Modülünün d bloğu kullanılacaktır. U6 tümdevresi bir 7485 4-bitlik karşılaştırıcıdır. 7485 tümdevresinin bacak bağlantıları ve doğruluk tablosu aşağıda verilmiştir.

    Şekil 2-17

    7485 doğruluk tablosu

  • 2-28

    2. A>B girişini SW1 anahtarına, A=B girişini SW2 anahtarına, AB A=B AB4B3B2B1 (2) A4A3A2A1=B4B3B2B1 (3) A4A3A2A1

  • 2-29

    ALIŞTIRMALAR

    1. iki adet 7485s tümdevresiyle 8-bitlik karşılaştırıcı devre kurun. Karşılaştırıcıyıkumanda etmek için DIP anahtarlarını kullanın.

    2. 7485 tümdevresinin aynı anda birden fazla girişi Lojik “1” yapılırsa çıkışlarnasıl etkilenir?

    3. Çevirmeli anahtardaki en büyük sayının 2 tabanındaki eşdeğeri nedir?

    4. 2 bitlik sayıları karşılaştırmak için en basit çözümü hangi kapılarlagerçekleştirebiliriz?

    ÇOKTAN SEÇMELİ SORULAR

    ( ) 1. 1-bitlik karşılaştırıcıların kaç girişi vardır?

    1. 22. 33. 1

    ( ) 2. 1-bitlik karşılaştırıcıların sahip olabileceği maksimum çıkış durumu sayısı kaçtır?

    1. 12. 23. 3

    ( ) 3. 4-bitlik karşılaştırıcıların sahip olabileceği maksimum çıkış durumu sayısı kaçtır?

    1. 12. 23. 3

  • 2-30

    ( ) 4. 7485 tümdevresi :

    1. 2-bitlik karşılaştırıcıdır.2. 4-bitlik karşılaştırıcıdır.3. 8-bitlik karşılaştırıcıdır.

    ( ) 5. 4-bitlik bir karşılaştırıcının en yüksek anlamlı bitindeki karşılaştırmanın sonucunda girişlerden biri diğer bütün girişlerden büyükse hangi çıkış yüksek seviye durumuna geçer?

    1. “>”2. ”

  • 2-50

    DENEY 2-9 Yarım Toplayıcı ve Tam Toplayıcı Devresi

    DENEYİN AMACI

    1. Aritmetik birimdeki yarım ve tam toplayıcıların karakteristiklerini anlamak.

    GENEL BİLGİLER

    Toplama devreleri, “Yarım Toplayıcı” (YT) ve “Tam Toplayıcı” (TT) olarak ikiye ayrılır. Yarım toplayıcılar, 2’li toplama kurallarını takip eder ve sadece 1 bitin toplanmasını dikkate alır. Toplama sonucunda bir “elde” ve bir “toplam” elde edilir. 2’li toplamada, iki sayının toplamı 1’den büyükse “elde” meydana gelir. Aşağıdaki yarım toplayıcı ile yapılan 2’li toplama işlemlerini inceleyin.

    “1” ile “1” toplandığında, toplam “0” ve elde “1” olur. Yarım-toplayıcının toplama işlemi, 1-bitlik sayılarla sınırlıdır.

    Tam toplayıcı, 2-bitten daha uzun sayılar için toplama işlemi gerçekleştirebilir. Aşağıda gösterilen tam toplayıcı işlemlerini inceleyin. Tam toplayıcı, iki adet yarım toplayıcı kullanılarak gerçekleştirilebilir. Şekil 2-37 (a) ve (b)’de, yarım ve tam toplayıcıların devreleri ve sembolleri gösterilmiştir.

    (a) Yarım Toplayıcı

    (b) Tam Toplayıcı

    Şekil 2-37 Yarım toplayıcı/Tam toplayıcı

  • 2-51

    2 bitten daha uzun sayıları toplarken, toplamları eşzamanlı olarak üretmek için, Şekil 2-38'de gösterilen bağlantı yada “Paralel Giriş” kullanılmalıdır.

    Bununla birlikte, bir sonraki toplayıcının çıkışı ancak, bir önceki toplayıcının eldesi belli olduktan sonra kesin olarak belirlenebilir. Örneğin Şekil 2-38’de, FA1’in eldesi belli olmadıkça, FA2’nin toplam sonucu kesin olarak belirlenemez.

    Şekil 2-38

    FA1, A1 ile B1’i topladığı zaman, S1 toplamı ve C1 eldesi elde edilir. Bu elde FA2 tarafından A2 ve B2’ye eklenerek yeni bir S2 toplamı ve C2 eldesi üretilir. Şekil 3-1-2’deki durumda, dört toplayıcının toplam sonucu aynı anda belirlenemez ve toplama işlemi gecikmeye uğrar. Bu gecikme, “Look-Ahead (Öngörülü)” toplayıcı kullanılarak ortadan kaldırılabilir. “Look-Ahead” toplayıcılar, bir sonraki toplama işlemini gerçekleştirmek için bir önceki toplayıcıyı beklemek zorunda değildir ve böylece zamandan kazanılır. Boolean ifadesi aşağıdaki gibidir:

    Pi = Ai Bi Gi = Ai x Bi

    Çıkış ve elde aşağıdaki gibi ifade edilebilir:

    Si = Pi �Ci Ci+1 = Gi + PiCi

    Gi, "Elde Üreteci" olarak adlandırılır. Ai ve Bi “1” iken, Gi “1” dir ve elde girişinden bağımsızdır.

    Pi, “Elde Taşıyıcı” olarak adlandırılır ve Ci ile Ci+1 arasındaki elde iletir.

    Eğer her adımın elde fonksiyonunda, bir önceki elde C1 yerine konulursa:

    C2 = G1 + P1 C1 C3 = G2 + P2 C2 = G2 + P2 G1 + P2 P1 C1 C4 = G3 + P3 C3 = G3 + P3 P2 G1 + P3 P2 P1 C1

  • 2-52

    Şekil 2-39’da, öngörülü elde üretecinin elde devresi gösterilmiştir. 74182 tümdevresi, bir TTL öngörülü elde üretecidir.

    Şekil 2-39

    2’li toplayıcılar, BCD toplayıcılara dönüştürülebilir. 4 bitlik en büyük BCD sayı 9 ve en büyük 4-bitlik ikili sayı, 15 sayısına eşdeğer olduğu için, ikili toplayıcılar ile BCD toplayıcılar arasında 6 sayılık bir fark vardır. İkili toplayıcılar, BCD sayıları toplamak için kullanıldıklarında, aşağıdaki koşullar altında sonuca 6 sayısı eklenmelidir:

    1. Elde varken2. Toplam 9’dan büyükken

    Eğer ağırlık sırası S8, S4, S2, S1 ise ve toplam 9’dan büyükse, sonuç S8xS4 + S8xS2’dir. Eğer elde (CY) oluşmuşsa, sonuca 6 sayısı eklenmelidir:

    Cn=CY + S8xS4 + S8xS2

  • 2-53

    Şekil 2-40’da, BCD toplayıcı devresi gösterilmiştir.

    Şekil 2-40

    KULLANILACAK ELEMANLAR

    1. KL-31001 Dijital Lojik Lab2. KL-33003/KL-33004 Modülü

    DENEYİN YAPILIŞI

    A. Temel Lojik Kapılar ile Yarım Toplayıcı Gerçekleştirilmesi

    1. Bağlantı klipslerini Şekil 2-41’e göre yerleştirin. Şekil 2-42’deki yarım toplayıcıdevresini kurmak için U2a ve U3a kapıları kullanılacaktır. Vcc’yi +5V’abağlayın.

    Şekil 2-41

  • 2-54

    2. A ve B girişlerini SW0, SW1 veri anahtarlarına, F1, F2 çıkışlarını L1, L2 lojikgöstergelerine bağlayın. A ve B girişleri için Tablo 2-16’da verilen giriş sırasınıtakip ederek çıkış durumlarını kaydedin. Hangi çıkışın toplam, hangi çıkışınelde çıkışı olduğunu belirleyin.

    Şekil 2-42 Tablo 2-16

    3. Şekil 2-43 (b)’deki tam toplayıcıyı kurmak için devreyi Şekil 2-43 (a)’ya göretekrar düzenleyin.A, B, C girişlerini SW0, SW1, SW2 veri anahtarlarına bağlayın. A ve Btoplananlar, C ise önceki elde girişleridir. F3, F5 çıkışlarını L1, L2 lojikgöstergelerine bağlayın. Tablo 2-17’de verilen giriş sırasını takip ederek çıkışdurumlarını kaydedin. Hangi çıkışın toplam, hangi çıkışın elde çıkışı olduğunubelirleyin.

    OUTPUT OUTSW3(C) SW2(B) SW1(A) F3 F5

    0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1

    Tablo 2-17

  • 2-55

    (a)

    (b)

    Şekil 2-43 Tam Toplayıcı Devresi

    (b) Tümdevre ile Tam Toplayıcı Gerçekleştirmesi

    1. KL-330004 modülünün b bloğundaki U5 tümdevresi 4-bitlik toplayıcı olarakkullanılacaktır. Y5 girişini “0” değerine getirerek Y0~Y3 girişlerine bağlanmışolan U6a~U6d ÖZEL VEYA kapılarının tampon gibi davranmalarını sağlayın.

    X0~X3 ve Y0~Y3 girişlerini sırasıyla DIP2.0~2.3 ve DIP1.0~1.3 lojik anahtarlara bağlayın. F1, Σ0, Σ1, Σ2, Σ3 çıkışlarını ise L1~L5 lojik göstergelerine bağlayın. Tablo 2-18’de verilen giriş s ırasını takip ederek F1 ve Σ için çıkış durumlarını 16 tabanına göre kaydedin.

    X = X3 X2 X1 X0 Y = Y3 Y2 Y1 Y0 ∑ = ∑3 ∑2 ∑1 ∑0

  • 2-56

    Şekil 2-44

    INPUT OUTPUTY X Σ F1(CARRY)0 0 0 1 0 6 0 9 0 F 1 3 1 6 1 8 3 6 4 8 4 F 8 7 9 9 A B C E F F

    Tablo 2-18

  • 2-57

    (c) Yüksek Hızlı Toplayıcı ve Elde Üretici Devre

    1. KL-330004 modülünün a bloğundaki U3 tümdevresi elde üretici devreüretmek için kullanılacaktır. Şekil 2-45 (b)’de 74182 tümdevresi için doğruluktablosu ve devre şeması verilmiştir.

    Şekil 2-45 (a)

    Şekil 2-45 (b)

  • 2-58

    DOĞRULUK TABOSU

    GİRİŞ ÇIKIŞLAR Cn G 0 P 0 G 1 P 1 G 2 P 2 G 3 P 3 Cn+x Cn+y Cn+z G P X H H L L H X L X L X H H X L H X X X H H L X H H H X LL H X H X L X X X L X H X L X X L H H X L X L H X X X X X H H L X X X H H H X L X H H H X H X L L H X H X H X L X X X X X L X H X X X L X X L H X L X X L X L H H X L X L X L H

    X X X X X H H H X X X H H H X H X H H H X H X H

    H H X H X H X H X X X X X L X L X X X L X X L L X L X X L X L L L X L X L X L L H X X X H X H X X H X X H X H X X X H H L L L L L

    H=YÜKSEK Gerilim Seviyesi L=ALÇAK Gerilim Seviyesi X=Önemsiz

  • 2-59

    2. A0~A3 ve B0~B3 girişlerini sırasıyla DIP1.0~1.3 ve DIP2.0~2.3 lojikanahtarlara bağlayın. G ve P lojik “0” ile tetiklenir.

    Cn + x = G0 + P0×Cn Cn + y = G1 + P1×G0 + P1×P0×Cn Cn + z = G2 + P2×G1 + P2×P1×G0 + P2×P1×P0×Cn

    G0P0P1P2 G1P2P3 G2P3 G3G P0P1P2P3P Cn = 0 ise, Cn + x = A0×B0 Cn + y = A1×B1 + (A0�B0)×(A0×B0) Cn + z = A2×B2 + (A2�B2)×(A1×B1) + (A2�B2)×(A1�B1)×(A0×B0) B1)(A12)B(A23)B(A3 B2)(A23)B(A3 B3A3G

    B0)(A01)B(A12)B(A23)B(A3 P0P1P2P3P

    Tablo 2-19’de verilen giriş sırasını takip ederek çıkış durumlarını kaydedin.

    INPUT OUTPUTB3 B2 B1 B0 A3 A2 A1 A0 Cn+x Cn+y Cn+z G P0 0 0 1 0 0 0 10 0 1 0 0 0 1 00 0 0 0 0 0 1 00 0 1 1 0 0 1 11 0 1 0 1 0 0 01 1 1 0 1 1 1 11 1 1 1 1 1 0 10 1 1 1 0 1 1 01 0 0 1 0 1 0 1

    Tablo 2-19

    Sonuçları doğruluk tablosuyla karşılaştırın. Elde ettiğiniz sonuçlar doğruluk tablosu ile aynı mı? Cn = 0 olduğu için son elde CY = G olacaktır.

  • 2-60

    (d) BCD Kod Toplayıcı Devresi

    1. Şekil 2-46’da gösterilen devre BCD kodunda toplayıcı olarak görev yapar.

    Şekil 2-46

    2. X0~X3 ve Y0~Y3 girişlerini sırasıyla DIP1.0~1.3 ve DIP2.0~2.3 lojikanahtarlara bağlayın. Şekil 2-47’de eşdeğer devre gösterilmiştir.

  • 2-61

    Şekil 2-47

    U5 ve U9 tümdevreleri 7483 4-bitlik look-ahead (Öngörülü) toplayıcılardır. U5 tümdevresinin F8~F11 çıkışlarını 7 kollu göstergelerden birinin girişlerine bağlayın. F8~F11 çıkışlarını aynı zamanda L1~L4 lojik göstergelerine, F1, F2 çıkışlarını ise L5, L6 lojik göstergelerine bağlayın.

    F4~F7 çıkışlarını diğer 7 kollu göstergeye bağlayın. F8~F11 çıkışlarını aynı zamanda L1~L4 lojik göstergelerine de bağlayın.

    3. F8~F11 çıkışları, X0~X3 ve Y0~Y3 girişlerinin toplamı, F1 ise eldedir. X0~X3ve Y0~Y3 girişleri için Tablo 2-20’de verilen giriş sırasını takip ederek çıkışdurumlarını kaydedin.

  • 2-62

    GİRİŞ ÇIKIŞ(U5) SON(U9)X3 X2 X1 X0 Y3 Y2 Y1 Y0 F1 F11 F10 F9 F8 F2 F3 F7 F6 F5 F4 0 0 0 0 0 0 0 0 0 0 0 1 0 0 1 1 0 0 1 1 0 1 0 0 0 0 1 0 0 0 1 0 0 0 1 0 1 0 0 0 0 0 1 1 0 1 1 0 0 1 0 0 0 0 1 0 0 1 0 0 0 1 0 1 0 1 0 0 0 1 1 0 0 1 0 1 0 1 1 0 0 1 1 0 0 1 1 1 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 1 1 0 0 0 1 0 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 1 1 0 1 0 1 1 0 0 1 0 1 1 1 1 1 0 1 1 1 1 1 1 1 1

    Tablo 2-20

    4. X0~X3 ve Y0~Y3 girişlerini çevirmeli anahtarlara, F7~F4 çıkışlarını 7 kollugöstergeye bağlayın. Girişleri gelişigüzel seçerek çıkışları gözlemleyin.

    SONUÇLAR

    1. Toplayıcılar tam-toplayıcı ve yarım-toplayıcı olarak sınıflandırılırlar.2. 2 tabanında toplayıcılar BCD kodunda toplayıcılara dönüştürülebilirler3. “look-ahead” (Öngörülü) toplama devresinin devre yapısı oldukça karmaşıktır.

    Çok yüksek hızlara gereksinim duyulmadığı sürece pek kullanılmazlar.

    HATA BENZETİMİ

    1. Bir tam-toplayıcı için F1 çıkışı sürekli lojik “1” seviyesinde kalıyorsa hata neolabilir?

    2. BCD kodunda toplama işleminde F1=1 iken F2≠1 olmaktadır. Hata neolabilir?

  • 2-63

    ÇOKTAN SEÇMELİ SORULAR

    ( ) 1. Bir adet ÖZEL VEYA ve bir adet VE kapısıyla aşağıdakilerden hangisi gerçeklenebilir?

    1. Tam çıkarma devresi2. Yarım toplayıcı3. Tam toplayıcı

    ( ) 2. T.T. neyin kısaltmasıdır?

    1. Yarım çıkarma devresi2. Tam toplayıcı3. Tam çıkarma devresi

    ( ) 3. 2 tabanında toplama işlemini BCD kodunda toplamaya dönüştürmek için yapılan düzenleme nedir?

    1. 6 eklemek2. 6 çıkarmak3. 9 çıkarmak

    ( ) 4. Bir yarım-toplayıcı için S= AC=A×B ise S toplamı aşağıdakilerden hangisi olarak ifade edilir?

    1. BABAS 2. ABABS 3. BAABS

    ( ) 5. Bir tam-toplayıcı için A, B ve önceki elde değerleri “1” ise toplam (S) ve elde (C) değerleri ne olur?

    1. C = 1, S =12. C = 0, S =13. C = 1, S =0

    ( ) 6. Aşağıdaki denklemlerden hangisi A, B girişleri, S toplamı ve C eldesi için doğrudur?

    1. BAC,BAS 2. BAC,BAS 3. BAC,BAS

  • 2-64

    ( ) 7. Aşağıdakilerden hangisi BCD kodunda toplama için doğrudur?

    1. 2 tabanında toplama işlemi kullanılarak gerçekleştirilemez.2. 2 tabanında toplama işlemi kullanılarak gerçekleştirilebilir ancak

    birtakım düzenlemeler yapılmalıdır.3. Yeni bir 10 tabanında toplama devresi tasarlanmalıdır

  • 2-65

    DENEY 2-10 Yarım Çıkarıcı ve Tam Çıkarıcı Devresi

    DENEYİN AMACI

    1. Tümleyen teorisini ve çıkarıcı devre yapılarını anlamak.

    GENEL BİLGİLER

    Yarım çıkarıcı ve tam çıkarıcı devreleri, lojik kapıların doğruluk tabloları ve Boolean ifadeleri ya da Karnaugh diyagramlarına bakılarak gerçekleştirilebilir. Bu deneyde, tam ve yarım-çıkarıcı devrelerini düzenlemek için, tümleyen teorisi kullanılacaktır.

    2’li çıkarma işlemi genellikle 2’ye tümleme kullanılarak gerçekleştirilir. Bir sayının 2’ye tümleyenini elde etmek için iki adım uygulanır. Birinci adımda, çıkan sayının 1’e tümleyeni alınır (“0”lar “1”, “1”ler “0” yapılır). İkinci adımda ise çıkan sayının 1’e tümleyenine “1” eklenir.

    Normal çıkarma işleminde, çıkan sayı, doğrudan çıkartılan sayıdan çıkarılırken, 2’ye tümleme yönteminde, iki sayı toplanır. Böylece, bir toplayıcı, çıkarıcı olarak da kullanılabilir.

    Örnek:

    10 tabanında 11–10 işlemini, 2’ye tümleme yöntemiyle gerçekleştirin.

    Çıkartılan : 11 (Desimal) = 1011 (ikili)

    Çıkan : 10 (Desimal) = 1010 (ikili) = 0101 (1’e tümleyeni) = 0110 (2’ye tümleyeni)

    Desimal İkili 1’e tümleyen 2’ye tümleyen

    11 - 10

    1

    1011 - 1010

    1

    1011 - 1011

    0

    1011 + 0110

    100012’ye tümleme yöntemiyle yapılan çıkarma işleminde, elde olarak “1” üretilir.

  • 2-66

    Yarım çıkarıcı, çıkarılanın çıkandan büyük ya da küçük olmasına bakmaksızın, bir anda 1-bitlik çıkarma işlemi gerçekleştirir. Yarım çıkarıcının doğruluk tablosu ve lojik diyagramı, Şekil 2-48’de gösterilmiştir. Bir önceki çıkarma işleminde alınan borç, yarım çıkarıcı devresinde dikkate alınmaz.

    (a) Doğruluk Tablosu (b) Lojik diyagramı

    Şekil 2-48 Yarım çıkarıcı

    Yarım çıkarıcının lojik diyagramı yarım toplayıcı ile karşılaştırılırsa, tek farkın yarım çıkarıcının girişindeki DEĞİL kapısı olduğu görülür.

    Tam çıkarıcı devresi, önceki adımlarda alınmış borçları dikkate almak zorundadır. Tam çıkarıcı devresinin doğruluk tablosu ve lojik diyagramı, Şekil 2-49’da gösterilmiştir. C=”0” iken, tam çıkarıcı devresi yarım çıkarıcı devresine eşdeğerdir.

    (a) Doğruluk Tablosu (b) Lojik diyagramı

    Şekil 2-49 Tam çıkarıcı

  • 2-67

    4-bitlik bir toplayıcı devresi ile 4 veya daha fazla bitlik çıkarma devreleri gerçekleştirilebilir. Şekil 2-50’de, çift-amaçlı bir toplayıcı/çıkarıcı devresi gösterilmiştir. Bn-1 = “0” iken, toplama işlemi gerçekleştirilir ve tüm ÖZEL VEYA kapıları tampon gibi davranır. Bn-1 = “1” iken, çıkarma işlemi gerçekleştirilir ve tüm ÖZEL VEYA kapıları DEĞİL kapısı gibi davranır. Y girişleri 1’e tümleyeni kullanır ve Cin girişindeki “1” ile toplar. Cn (elde) ve Bn (borç) çıkışları, Bn-1’e bağlıdır.

    Şekil 2-50

    KULLANILACAK ELEMANLAR

    1. KL-31001 Dijital Lojik Lab2. KL-33004 Modülü

    DENEYİN YAPILIŞI

    A. Temel Lojik Kapılar ile Çıkarıcı Devresi Gerçekleştirilmesi

    1. Bağlantı klipslerini Şekil 2-51’e göre yerleştirin.

    2. A~C girişlerini SW0~SW2 veri anahtarlarına, F1, F2, F3, F5 çıkışlarını L1, L2,L3, L4 lojik göstergelerine bağlayın. C=0 iken devre yarım-çıkarma devresidir.F1 borç çıkışıdır, F2 farktır ve F5=F2; F4=0; F3=F1’dir. C=1 iken devre tam-çıkarma devresidir. F1 borç çıkışı ve F3 fark çıkışıdır.

  • 2-68

    Şekil 2-51 Yarım/Tam Toplama Devresi

    3. Tablo 2-21’deki giriş sırasını takip ederek çıkış durumlarını kaydedin.

    (b) Tam Toplayıcı ve Evirici Devresi

    1. KL-33004 modülünün b bloğundaki devre (Şekil 2-52), Şekil 2-53’tekitoplama/çıkarma devresinin eşdeğeridir.

  • 2-69

    Şekil 2-52

    Şekil 2-53 Toplama/Çıkarma devresi

    2. X0~X3 ve Y0~Y3 girişlerini sırasıyla DIP1.0~1.3 ve DIP2.0~2.3 lojikanahtarlarına, Y5 girişini ise SW0 anahtarına bağlayın. F1 çıkışını L1,F11~F8 çıkışlarını ise L5~L2 lojik göstergelerine bağlayın. Çıkarma işlemigerçekleştirmek için Y5 girişine “1” uygulayın (veya U5 tümdevresinin Cingirişine “1” uygulayın) Tablo 2-22’de verilen giriş sırasını takip ederek çıkışdurumlarını kaydedin.

  • 2-70

    Tablo 2-22

    SONUÇLAR

    1. Yarım çıkarma devresi “çıkartılan” girişi tümlenmiş bir yarım toplayıcıdır.

    2. Tam çıkarma devresi “çıkartılan” girişi tümlenmiş bir tam toplayıcıdır.

    3. Toplayıcı tümdevreler 2’ye tümleme yöntemini kullanırlar.

    HATA BENZETİMİ

    Y5=”1” iken Şekil 2-52’deki devrenin çıkarma işlemi yapması beklenir. Y5=”0” iken toplama işleminin yapılması beklenir. Y5=”0” ise ve fazladan bir “1” üretilmişse hata ne olabilir?

    ÇOKTAN SEÇMELİ SORULAR

    ( ) 1. Bir yarım çıkarma devresinin A ve B girişlerinin D farkı aşağıdakilerden hangisidir?

    1. AB2. BA3. AB

    ( ) 2. Bir yarım çıkarma devresi yarım-toplayıcıya aşağıdakilerden hangisinin eklenmesiyle elde edilir?

    1. EVİRİCİ kapısı2. VE kapısı3. ÖZEL VEYA kapısı

  • 2-71

    ( ) 3. 2 tabanında 1101 sayısının 1’e tümleyeni kaçtır?

    1. 11002. 00103. 0001

    ( ) 4. “A-B = A+B” sonucunu elde etmek için hangi tümleme yöntemi kullanılmalıdır?

    1. 1’e tümleme2. 2’ye tümleme3. 3’e tümleme

    ( ) 5. 2 tabanında 1110 sayısının 2’e tümleyeni kaçtır?

    1. 00102. 00013. 1110

    ( ) 6. Bir yarım-toplayıcı A çıkartılan ve B çıkan olacak şekilde yarım çıkarma devresi olarak kullanılacaktır, buna göre aşağıdaki ifadelerden hangisi doğrudur?

    1. A girişi tümlenmelidir2. B girişi tümlenmelidir3. değişiklik yapmaya gerek yoktur

    ( ) 7. Tam çıkarma devresinin girişleri aşağıdakilerden hangisini kapsar?

    1. borç, çıkartılan2. çıkan, borç3. çıkartılan, çıkan, borç

    ( ) 8. Tam toplayıcıyı tam çıkarma devresine dönüştürmek için aşağıdaki kapılardan hangisi kullanılır?

    1. VE kapısı2. VEYA kapısı3. ÖZEL VEYA kapısı

    3.haftadeneyleri3.haftadeneyleri1