emissividade

Embed Size (px)

Citation preview

  • 8/3/2019 emissividade

    1/103

    Copyright Warning & Restrictions

    The copyright law of the United States (Title 17, UnitedStates Code) governs the making of photocopies or other

    reproductions of copyrighted material.

    Under certain conditions specified in the law, libraries andarchives are authorized to furnish a photocopy or other

    reproduction. One of these specified conditions is that thephotocopy or reproduction is not to be used for any

    purpose other than private study, scholarship, or research.If a, user makes a request for, or later uses, a photocopy orreproduction for purposes in excess of fair use that user

    may be liable for copyright infringement,

    This institution reserves the right to refuse to accept acopying order if, in its judgment, fulfillment of the order

    would involve violation of copyright law.

    Please Note: The author retains the copyright while theNew Jersey Institute of Technology reserves the right to

    distribute this thesis or dissertation

    Printing note: If you do not wish to print this page, then selectPages from: first page # to: last page # on the print dialog screen

  • 8/3/2019 emissividade

    2/103

    The Van Houten library has removed some ofthe personal information and all signatures fromthe approval page and biographical sketches of

    theses and dissertations in order to protect theidentity of NJIT graduates and faculty.

  • 8/3/2019 emissividade

    3/103

    ABSTRACTEMISSIVITY OF PATTERNED SILICON W AFERSIN RAPID THERM AL PROCESSING

    byM arkus Rabus

    The influence of patterns on emissivity in silicon wafers in rapid thermal processingsystems has been investigated. In this study, two experiments with layered and patternedsilicon wafers were conducted. The main difference in the experiments is the way inwhich the temperature was controlled. The first experiment was performed under OpenLoop Intensity Control (COL IC). For CO LIC, no feedback from the w afer is returned. It isassumed that supplying a certain power level will lead to the desired temperature. Theother experiment used the Closed Loop Intensity Control. In this case, a feedback, in theform of temperature deviation is used to adjust the temperature.

    By using the Stefan-Boltzmann T4 -law, a heat balance equation describing the

    incoming and outgoing heat can be derived. This heat balance equation can be used tocalculate the spatial temperature differences due to different emissivity of the variousthin film layers of patterned wafers. A mathematical model was developed based on theheat balance equation. The mathematical model was verified with experiments. Themodel showed good agreement with the experiments.

  • 8/3/2019 emissividade

    4/103

    EMISSIVITY O F PATTERNED SILICON WAFERSIN RAPID THERMAL PRO CESSING

    byMarkus Rebus

    A T hesisSubm itted to the Faculty ofN ew Jersey Institute of Technologyin Partial Fulfillmen t of the Requireme nts for the Degree o fM aster of Science in App lied Physics

    Federated P hysics D epartment

    August 2005

  • 8/3/2019 emissividade

    5/103

    APPROVAL PAGEEMISSIVITY OF PATTERNED SILICON WAFERSIN RAPID THERMAL PROCESSING

    M arkus Rebus

    Dr. Anthony T. Fiery, Thesis Co-AdvisorateResearch Professor of Physics, NJITDr. Nuggehalli M. Rkvindra, Thesis Co-AdvisorateProfessor of Phy sics, NJITDr. Dentcho Ivanov, Committee MemberateDirector of Microelectronics Fabrication Center, NJITDr. Sufi M. Abedrabbo, Committee MemberateAssistant Professor of Phy sics, University of JordanDr. Laminae M. D ieng, Committee MemberateAssistant Professor of Physics, City University of New York

  • 8/3/2019 emissividade

    6/103

    BIOGRAPHICAL SKETCH

    Author:arkus RebusDegree:aster of ScienceDate:ugust 2005Undergradua te and Graduate Education: Master of Science in Physics,New Jersey Institute of Technology, Newark, NJ, 2005

    Diploma (FHA) W irtschaflsingenieurwesen,University of Applied Science, Kempten, Germany, 2003Major :pplied Physics

  • 8/3/2019 emissividade

    7/103

    my beloved fiancee,Eva G arcia DIaz

  • 8/3/2019 emissividade

    8/103

    ACKNOWLEDGM ENT

    I would like to express my deepest appreciation to Professors Anthony T. Fiery and.Nuggehalli M. Ravindra who not only served as my research supervisors, providingvaluable and countless resources, insight, and intuition, but also constantly gave mesupport, encouragement, and reassurance. Special thanks are given to Doctors DentchoIvanov,. Sufi M. Abedrabbo and Laminae M. Dieng for actively participating in mythesis committee. Thanks to Mr. William Mansfield of Lucent Technology for thevaluable data used in the thesis. The experiments were performed at the New Jersey

    Nano C onsortium at Lucent Technologies in M urray H ill, New Jersey.Many of my fellow graduate students in the Applied Physics program are

    deserving of recognition for their support.I thank the Fuibright Organization for their help and financial support. Lastly, I

    would like to thank my family and my fiancee for their patience and understanding.

    vi

  • 8/3/2019 emissividade

    9/103

    TABLE OF CONTENTS

    Chapter1NTRODUCTION......

    THEORETICAL BACKGROUND.1 Radiation, Emissivity and Heat Conduction . . Page1442.2 Rapid Thermal Processing System12.1.1 Furnace System72.1.2 Lamp System 202.1.3 Temperature measurement equipment92.3 Multi-Rad Version 1.0 for W indows 95 and NT32.3.1 Introduction into Multi-Rad. 332.3.2 Calculation Method used by Multi-Rad42.3.3 Adding Materials . 422.4 Derivation of the Mathem atical Model33 SPECIFIC PROCESS MODES AND EXPERIMENTS.. 523.1 Open-Loop Intensity Control (COLIC ) 523.2 Closed Loop Temperature Control (CELT) 583.3 M odel Validation Experiments2

    4 RESULTS AND DISCUSSION4APPENDIX A DERIVATION OF THE HEAT BALANCE EQUATION ... 7 9vii

  • 8/3/2019 emissividade

    10/103

    LIST OF TABLES

    Table Page

    2.1 Overview of the Different Process Modes 282.2 Overview of Temperature Measurement Equipment 332.3 Values of Cosines and at High Symm etry Points03.1 W afer Backside Films Used in the Experiment.33.2 Temperatures Measured with Thermocouple, Pyrometerand Ripple Pyrometer63.3 Thermawave Measurements of Oxide Thicknesses 573.4 Measured Sp ecifications of Layers on Bulk, Epitaphial and SOIL W afers63.5 Measured Spe cifications of Bulk, Epitaphial and SOIL W afers...73.6 Calculated Emissivity at 1050 C. 7 03.7 Calculated Absorptivity for Furnace Process (Front side) at 1250 C ......... 7 03.8 Calculated Absorptivity for Furnace Process (Backside) at 850 C ..... 713.9 Calculated Absorptivity for Lamp System at 237 7 C.13.10 Calculated Effective Emissivity for Furnace at 1050 C. 723.11 Calculated Effective Absorptivity for Furnace Process (Front side)at 1250 C 723.12 Calculated Effective Absorptivity for Furnace Process (Backside)

    at 850 C23.13 Calculated Effective Emissivity for Lamp Heating at 1050 C. 733.14 Calculated Effective Absorptivity for Lamp System at 237 7 C34.1 Model Calculated Temperature Ratios 7 4viii

  • 8/3/2019 emissividade

    11/103

    4.2emperature Ratios based on Experiments 75ix

  • 8/3/2019 emissividade

    12/103

    LIST OF FIGURES

    Figu re Page

    2.1 Schematic of a RTCVD system. 152.2 Wafer surface temperature versus time for flash annealing process......... 172.3 Schematic of a continuous heat source system, furnace process...... 182.4 Furnace RTP system from Aphceliss.. 192.5 W afer surface temperature versus time of a spike anneal processfurnace system. 202.6 Schematic of a single sided lamp RTP system.12.7 Schematic of the honeycomb array lamp system from AppliedMaterials.. 222.8 Incandescent lamp system from Applied Materials.. 232.9 Wafer surface temperature versus time graph for a lamp spike process.... 242.10 Bandgap for Si at 300, 1000 and 1300 K.. 262.11 Notation for matriph method o f multilayers... 352.12 Schematic section of a square patterned wafer.442.13 Reflection and back reflection within an RTP chamber... 462.14 Square pattern with periodicity L. High symmetry points aredenoted as 0, 1, ..., 6..493.1 RTP control system with emissivity correction.83.2 Schem atic of model based feedback loop with ETC control.. 593.3 Idealized spike process.33.4 Cross section of a) bulk, b) epitaphiall , and c) SOIL wafer.. 643.5 W afers after the etch process.53.6 Square patterns on the wafers.68

  • 8/3/2019 emissividade

    13/103

    LIST OF FIGURE S(Continued)

    Figureage3.7alculated remittance versus wavelength of a bulk wafer at differenttemperatures.693.8alculated remittance versus wavelength of a epitaphial wafer at differenttemperatures.93.9alculated remittance versus wavelength of a SOIL w afer at differenttemperatures.70

    xi

  • 8/3/2019 emissividade

    14/103

    CHAPTER 1INTRODUCTION

    Single wafer processing has replaced batch processing in the most advanced integratedcircuit fabrication. Among the advantages of single wafer processing that are becomingmore important are better wafer to wafer process controls, lower risk of process failureaffecting large numbers of valuable wafers, and the possibility of clustering togetherconsecutive process steps. As the device sizes shrink, reducing the thermal budget(temperature-time product) and increasing the uniformity of the process become issuesthat are very critical. Deducing the thermal budget minimizes dopant diffusion andinterface degradation. A small thermal budget decreases the diffusion. The dopantdiffuse a short distance within the semiconductor. This leads to shallow junctionformation and the accompanying thermal energy is sufficient to activate the dopants. Thisrequirement is the driving force for rapid thermal processing (DTP) systems. However,the tradeoff between dopant diffusion and damage removal places a severe limitation forthe technique in the formation of ultra-shallow junctions. In DTP systems, a single waferis heated at atmospheric or low pressure under isothermal conditions [1]. The singlewafer batch allows a shorter ephposure time and reduces the thermal budget. The physicalprinciple in DTP is the energy transfer between a heat source and an object, thesemiconductor wafer.In general, DTP-systems consist of three major parts:

    a heat source and controller a chamber in w hich the wafer is processed

    1

  • 8/3/2019 emissividade

    15/103

    2

    temperature measurement instrumentation.Rapid therm al processes a re u sed for the following applications:

    Rapid therm al annea ling (DATA) (Source/Dra in Implant Annealing) Rapid therm al ophide growth (RTO) (dielectric gate ophide) Rapid thermal chem ica l vapor depos it ion (RTCVD) (Format ion o f r e fractorysuicides and nitrides) Rapid therm al diffusion (solar cells, shallow and stee p junctions)

    One m ain requirem ent of RTP is a uniform tempera ture distribution of the w aferin the cham ber . The temper ature distribution across a w afer is m ainly influenced by theheat source a rrangem ent, the ref lector , the w afer geom etry, pattern on the w afer e tc.Since the wafer is mainly heated and cooled by radiative heat transfer, the radiativeproperty of the wafer play an important role. These property are the absorbance, a,transmittance, , reflectance, r, and em ittance, . All quantity are ma terial dependentfunctions of wav elength and temperature. Th e relation betw een a, and r is determined

    by the conserva tion of energy (Kirchhoff rule) under conditions of m acroscopic therm alequilibrium.

    For a black body, r = 0, = 0 and a = 1, i.e. a black body absorbs all incidentradiation. All m atter w ith a tem peratu re different from 0 K em its radiation. The radiationem itted by a b ody at a finite tem perature is called tem perature radiation. A black bodyha s an em ittance of unity for a given tempera ture a nd wavelength and is hence the b estradiator at this tem peratu re and w avelength. The concept of em ittance is use d in m anytem peratu re m eas ure m ent applications. The Kirchhoff radiation law r elates the em issivityw i t h t h e a b s o r b a n c e

  • 8/3/2019 emissividade

    16/103

    3

    (T =(T . (12In a fabrication process, the wafer is patterned with multilayers of various

    sem iconductors, dielectrics, m etals, and suicides w ith com pleph surfa ce topography. Thepresence of patterns can cau se a spatial variation of radiative property across the w afer,leading to preferential absorbion and emission, thus leading to temperature non-uniform ity [2]. Pattern-induced tem peratu re non-uniform ity can lead to stress r elatedproblems such as wafer slip, dislocations and wafer warpage. Temperature non-uniform ity fur ther lea ds to variation in junction depth or gate ophide thickness a cross thewa fer a nd thus reduces the device yield in fabrication [1].

    In this wor k, the influe nce of tempe ratu re non-uniform ity due to variation of theobical property of patterned wafe rs are considered in two dimensions and m odeled tosimulate their behavior. The following Chapter 2 treats the necessary theoreticalba ckgrou nd of radiation therm om etry in relation to DTP. Base d on this ba ckgrou nd, atwo-dimensional (2D) model for temperature distribution of patterned wafers isdeve loped. In Chapter 3, specific process m odes u sed in the ephper ime nts for em issivitypattern are described. Chabe r 3 is followed by the re sults and discussion in Chapter 4.

  • 8/3/2019 emissividade

    17/103

    CHAPTER 2THEORETICAL BACKGROUND

    2.1 Radiation, Emissivity and Heat ConductionAll radiation consists of energy qu antum , the photon. Radiation energy is ephpre ssed a s am ultiple of a sm allest qu antum of radiation energy, which depends on the frequ ency, v,and the Planck constant (h = 6 .626ph 10 -34 Js).

    Due to this quantization of energy, radiation can be considered as a particle fluph ofphotons. Photons are not particles in the classical sense, since they have ze ro re st m ass.

    When ra diation im pinges upon a m edium from the vacuum , part of the radiation isreflected and part penetrates the m edium . The penetrating part of the radiation is refractedw ith a change in direction, i.e., refr action. Refr action is chara cterized by the re fractiveindeph, n(), which is a ma terial constant for a determined wavelength . The refractiveindeph is the ra tio of the velocity of the r adiation in vacuu m , co, to the ve locity of theradiation in the m edium, cm .

    The velocity within the medium for a certain wa velength is genera lly sm aller thanin vacuum. T herefore, for most cases, n() > 1. As radiation passes through a medium , apart of the radiation is absorbed and another part is transmitted. In general, all notabsorbed power is transmitted. The absorption is characterized by the ephtinctioncoefficient k(). T hese ob ical material constants are related to the electrical properties

    4

  • 8/3/2019 emissividade

    18/103

    5

    (dielectric constant, ) of a medium b y the M axw ell relationabsorption process converts the radiation energy into heat energy. The heat energydetermines the temperatur e of a m edium. Supply of heat energy increases the tempera tureand rem oval of heat energy decreases the tem perature . The heat energy is derived byconsidering the bou nd atoms a nd molecules in a solid. These a toms a nd molecules canconduct sm all vibrations, called lattice vibrations. These vibr ations a re qua ntized like theobical radiation. The lattice vibrational qua ntum is called phonon. Phonons a nd electronsare trea ted to be in therm al equilibrium.

    Oth er radiative properties of m aterials are transmittance, , reflectance, p, andabs orbance, a. These qua ntity depend on wavelength and tempe rature and are r elated ton() and k(). Transmittance is the ratio of the incident radiative intensity ( ) to theintensity of the ra diation ephiting through the ob ject ().

    In the simplified case, we treat the radiation as incident perpendicular to thesurface. The values of lie between and 1. transmittance of 1 imply that allradiation is transm itted, whereas = m eans that all radiation is ref lected or ab sorbed.For perpendicular incidence, there is no difference between parallel and tangentialcomponents and the tr ansm issivity can be calculated us ing:

  • 8/3/2019 emissividade

    19/103

  • 8/3/2019 emissividade

    20/103

    7

    n Equation 2.11, ph is the depth of penetration. The absorptivity is related with theephtinction coefficient b y Equ ation 2.5 . For a b lack b ody, the a bsorption is 1. A b lackbody is an ideal body w hich ab sorbs all the impinging radiation energy w ithout reflection

    and transmission. For an opaqu e material, = 0, in which use E quation (1.1) reduces

    Hea t ener gy can b e transported b y ra diation, conduction and flow (convection).The natu re of hea t radiation is electroma gnetic. This electrom agnetic radiation allows abody to release heat into vacuum . This release depends on the tem perature and em issiveproperty of the bo dy. t is impo rtant that, due to the energy balance, the environm entalso radiates e m ission back onto the body. Heat conduction is only possible in m atter a ndis independent of a macroscopic movement of particles. For heat conduction, localdifferences in m olecular energy result ing in tem perature gradient are necessary . Thetransport of heat tries to equilibra te this temper ature gradient. This lead to a change in thetem peratu re distribution with tim e and it is called a non-stationary problem . A stationaryproblem, i.e. time independent, is only possible if there are heat energy sources tom aintain the heat flow. Then a stationary tem peratu re distribution will be e stablished [3].

    Heat transfer takes place whenever there is a temper ature gradient in a me dium .The values of tem perature at al l points of the m edium of interest are cal led tem peraturedistribution. An unsteady or transient temperature distribution is one in whichtemperature not only varies from point to point but also with time. A temperaturedistribution fluctuating in three dim ensions is repres ented as T = T (r, t) . A steady statetemperature distribution is one in which the temperature at a given point is timeindependent; it is a function of space coordinates only. The steady temperature

  • 8/3/2019 emissividade

    21/103

    8

    le derivative with respect to tim e for a steady temper atur e

    The heat fluph q is the heat transferred per u nit time per u nit

    are a and is proportional to the gradient of the tem peratu re:

    (T) is the therm al conductivity. t is determined by:

    where, (Q /) is the heat transported per unit area, and and 2 are the temperature atpoints separated by the distance L. The unit of heat fluph is W/m 2 and for thermalconductivity, the unit is W/m2 [4]. The thermal conductivity is a thermo-physicalproperty. t can be interpreted as being equ al to the heat transfer rate across a unit areathrough a unit thickness for a unit tempera ture difference . Therm al conductivity of amaterial depends on its chemical composition, physical structure and phase. t alsodepends on the temperature and pressure to which the material is subjected. Form aterials , howe ver , therm al conductivity is m uch less dependent on pressure than ontem peratu re, so that the dependence on pressu re m ay be ne glected. Heat conduction insolids with crystalline structure s depends on the energy tra nsfer b y m olecular and latticevibrations and free electrons. n general, the energy transfer by m olecular and latticevibra tions is not as large as by electron transport in conductors and sem iconductors athigh tem perature . Equa tion 2.12 can be interpreted as stating that if there is a negativetemperature gradient at the considered point, then there w ill be a he at fluph. Them inus s ign in Equa tion 2.13 is necessary in order to m eet the requ ireme nt of the second

  • 8/3/2019 emissividade

    22/103

    9law of thermodynamics that heat m ust flow from a higher to a lower tempera ture. That is,if the tem peratur e gr adient is negative then the heat flux is positive, and if, on the otherhand, the gradient happens to be positive then the hea t fluph is negative. There fore, q is a

    vector pointing in the direction of decreasing tem peratu re norm al to the isotherm alsur face pas sing through the point of considera tion. Hea t is trans ferr ed by conduction inthe direction normal to isotherma l surfaces from the higher tem peratur e to the lower one.

    All matter w ith a temper ature different from zero em its radiation. This radiation istherefore ca lled tem pera ture or hea t radiation. Heat radiation is energy transfer in theform of electromagnetic waves. A black body emits at a given temperature the ma phimu mam ount of radiation. The em issivity of a b lack body is therefore unity. The em issivity isequa l to the absorb ency a for al l body at given tem perature and w avelength. FromEqua tion 1.2, Kirchhoff s radiation law can be de rived. The radiation flux from any bodyis equa l to the one of a black b ody mu ltiplied by the em issivity:

    where , is the radiant fluph of a black b ody. The power r adiated from a body by virtueof its temperature is proportional to the area and the fourth power of the bodytem pera ture . The proportionality coefficient is called Stefan-Boltzm ann constant

    and the form ula ex pressing the relation is called Stefan-Boltzmann law P = 4 .Rea l bodies (sur faces) do not m eet the specifications of a blackb ody, bu t em it

    radiation at a lower intensity than a black b ody of the sam e size, shape, and temper atur e.Let Br and qb r denote the radiation fluph, (i.e. radiation em itted per unit surface area per

  • 8/3/2019 emissividade

    23/103

    10

    unit time) from a re al and a b lack surface of the sam e tem perature, re spectively. Then, the

    n most cases, the emissivity is between 0.1 and 1 . The em issivity of materials is afunction of the optical properties of the sta rting wa fer m ater ial (intrinsic em issivity), thelayers on top of or bu ried in the w afer (ephtrinsic em issivity) and the spe cific obicalproper ties of the reflective cham be r w ith all com ponents inside (effective em issivity).The pow er spans a ll wa velengths contained in the ra diation, i.e . the w hole r adiatedspectrum . The power of the radiation for a sm aller region can b e calculated by using thePlanck r adiation law. This law describes the r adiation power of a black b ody as functionof temperature T and wavelength :

    The total radiated power , P, is obtained by integrating Equa tion 2.21 over theentire w avelength range from 0 to . The radiated pow er increases with increasingtem perature . The maphimu m in the Planck function occurs atwhich is know n as W ien's displacem ent law. As tem peratur e increases, the fraction ofshorter w avelength increases . Therefore, it is possible to u se the color impression of thewhole radiation to determ ine the tem peratur e of the radiation area . Tem peratur em easu ring instrum ents ba sed on this radiation principle are called r adiation pyrometer s.

    Above 600 C, a bare silicon wafer w ill behave as an opaque grey body with =0.7 over the entire w avelength ra nge . Below this tempera ture , s i licon beha ves as atranspa rent non-grey b ody. The intrinsic em issivity value depends not only on the

  • 8/3/2019 emissividade

    24/103

    11

    roughness of the wafer surface and on the dopant concentration used, but also on thesurface temperature and on the wavelength of the absorbed and emitted radiation.Electronic valence-to-conduction-band absorbion is efficient for wavelength below the

    silicon bandgap of 1.2 gm. Above 6 gm, the absorbion becomes efficient due to latticevibrations. How ever, in the 1 .2 gm 6 gm wavelength range and below 600 C, the mainheat absorption mechanism is by intrinsic free-carrier absorbion. Thus, in this range, theemissivity is a strong function of the free-carrier density, and therefore, depends on thethickness, dopant concentration, and the temperature of the wafer [5].

    2.2Rapid Therm al Process ing System sAs mentioned in the introduction, RTP systems consist in general of three major parts(heat source, chamber and measurement instrumentation). In this section, DTP systemsand methods are described in detail.

    The main goal for the heat source is to achieve a short-time, high-temperature,isothermal wafer processing. Heat source can be divided into two major groups. Thewafer can be either heated by transient radiation source such as arc lamps and tungsten-halogens lamps or by a continuous heat source. n the latter case, the wafer is movedrapidly in and out of the vicinity of the heat source and is often referred as a furnacesystem. The processing of the wafer follows a temperature versus time curve, Tat),determined by a recipe. This curve shows the temperature variation with time. The areaunder this curve is the thermal budge

  • 8/3/2019 emissividade

    25/103

    12The recipe determ ines the diffusion, sheet resistance and defect form ation. The T-

    t curve is generally divided into three parts. The first part is called ramp-up. At thebeginning, the wafer is brought from ambient temperature to a temperature below theactivation energy. Then the wafer is further heated to the processing temperature at w hichthe actual process takes place. For annealing, the temperature is high enou gh to activatethe dopant and reduce defects. During implantation, the dopant occupy mostlyinterstitial impurity positions and are electrically inactive. Therefore, the wafer is heatedand the dopa nt can diffuse to substitutional lattice sites. This process is known as dopa ntactivation or annealing. n order to ob tain a shallow junction, it is important that the timeof diffusion is short such that the dopant do not diffuse beyond the desired active region.n case of ophidation, solicitation and silicidation, the temperature is at a level whererespective formation takes place. n solicitation and nitridation, a precursor gas isadditionally supplied.

    The duration of the processing specifies whether it is a spike, impulse or flashprocess. The time scale for a spike, impulse and flash are of the o rder of 1 s, 0.1 s and 1ms, respectively. At the end of the ramp-up, the areas with different absorptivity andemissivity will reach temperature different from the set point. For an activated process,this leads to process non-uniformity. During the cool down pe riod, the wafer temp eratureis reduced mainly by emitting radiation to a cooler environment and is thereforecharacterized by the emissivity of the wafer. The transition from heating to cooling islimited by the thermal time constant of the wafer and the time constant of the heat source.

  • 8/3/2019 emissividade

    26/103

    13

    The wafer thermal response time thus determines the turn around time. Rapidcooling can quench point defects in a silicon w afer. Extending the duration for the steadystate period will enhance the stationary temperature uniformity. Another importantparameter is the ramp-up. Fast ramp would reduce thermal ephposure of the w afers andreduce cost of ownership through reduced cycle time. Faster heating up will raise thetemperature transient non-uniformity. This is due to the higher energy fluph requiredduring ramping up an d should increase with faster ramp rates. Areas w hich are rapidlyheated during the ramp-up will cool down faster. Areas which are heated more slowlywill take a longer time.

    The chamber design depends on the heat source. RTP systems employ twodifferent types of chambe r designs, namely cold wall and hot wall. The cham ber is ofteninterfaced with a gas handling system to provide a controlled ambient to form suicides,nitrides and op hides, or inert ambient annea ling. n systems using a transient heater, thechamber is normally highly reflective and water cooled. The cold wall reactor is madefrom w ater-cooled metals such as stainless steel, aluminum, or other alloys in cylindricalor rectangular shapes. Reflectivity is accomplished by electron polishing the m etal, or bycoating it with reflective materials such as gold or aluminum [1].

    n a lam p system, the reactor w all is typically not in thermal equilibrium with theobjects within the chamber. The chamber top face is an air or water cooled quartz

    window plate that transmits the obical fluph into the chamber. The cold walls have theadvantage of minim al thermal mem ory effects that could further complicate temperaturemeasurement. The continuous heating source system has a hot wall chamber. n thissystem, the convective and conductive heat flow plays the dom inant role. The processing

  • 8/3/2019 emissividade

    27/103

    1 4

    chamber is made of quartz, silicon carbide, stainless steel, or aluminum with quartzwindows. The chamber is normally a single wafer batch design, which optimizes thethermal budget.

    The temperature distribution across a wafer is the consequence of a complephinteraction of influences such as the heating source arrangem ent, the reflector, the wafergeom etry, patterns on the wafer surface, etc. The arrangem ent of the heating source andall reflecting and absorbing parts which may influence the light fluph onto the wafercomp rise the optical design of a n DTP system . Any optical concept can be characterizedby three contributions of the light fluph to the wafer surface:

    i. light from the lamp (primary light) including m ultiple reflectionsii . heat radiation emitted from the w afer (secondary light) which is reabsorbed aftersingle or multiple reflections.

    iii. passive com ponents, quartz, wafer support structure, window , guard rings.Contribution (i) is the active com ponent w hich is controlled in order to achieve

    the desired temperature-time cycle. ts absolute value depends o n the ramp rate and thetemperature. Con tributions (ii) and (iii) are passive be cause the em ission of heat radiationis a consequence of the surface temperature. t may alternatively be regarded ascomponent which reduces the net energy loss (the higher the reabsorbed heat radiationthe lower the en ergy loss). During a fluph equilibrium (also denoted a s stationary state),the energy loss of the w afer is balanced by the abso rbion of light. Da mping (cooling) isachieved by an excess (deficit) of the absorbed energy o ver the energy loss the largerthe energy ephcess (deficit) the larger the ramp (cooling) rate [7].

  • 8/3/2019 emissividade

    28/103

    15

    RTCVD is a process in which thin film layers are deposited on a substrate byusing rapid thermal processing. One of the principal advantages of DTCVD is that sharptransitions are obtained between layers of differing composition or doping, whileephposing the substrate to a much lower thermal budget than a normal low-pressurechemical vapor deposition (LPCVD) process. Moreover, RTCVD can be a cold wallsystem which generates less particulate contamination. t also reduces problems of autodoping and interdiffusion through a lower thermal budget than LPCVD [8].

    DATA is of critical importance in electrical activation of departs and damageannealing. After departs are implanted, these dopants are at interstitial lattice sites, whichare electrically neutral sites. Hence the departs have to be activated. The dopants getactivated by diffu sion to substitutional lattice sites. During the activation of im planted

  • 8/3/2019 emissividade

    29/103

    16

    dopant, also diffusion out of the active region occurs, which is highly undesirable whenshallow junctions are ephpected. The goal in junction formation is to achieve a low sheetresistance with a high concentration of electrically active dopants and with as littledopant diffusion as necessary [8].

    A spike-aimealing technique was introduced to control dopant diffusion inshallow junction formation and is integrated with contact junction and gate electrodeactivation. Current spike annealing methods use infrared heating that is characterized bynear thermal equilibrium across the thickness of the wafer. The variables are heatingrates, the switching time from heating to cooling, and the cooling rate. n spike annealing,the intent is to limit the undesired diffusion out of the active region, while at the sametime reaching the peak temperature required for dopant activation. This is achieved byheating to the desired temperature in minimum possible time and cooling downimmed iately w ithout any dwell time at the peak temperature [8].

    Flash annealing (Figure 2.2) [8] uses a high obical fluph for faster heating to eitherbriefly melt the wafer surface or selectively raise the surface temperature. Upontermination of the light pulse, the surface temperature rapidly cools down by thermaldiffusion into the bulk of Si. However, this rapid cooling may cause problems likeresidual lattice defec ts and dopant me tastability.

  • 8/3/2019 emissividade

    30/103

    Figure 2.2 Wafer surface temperature versus time for flash annealing process [8].

    2.1.1 Furnace Systemn a furnace system, a temperature gradient is realized within the reactor, i.e. the heatingpower is constant and the wafer temperature is determined by the position as in Figure2.3 [9], which shows a schematic of a heated bell jar. n this system, the wafer is heatedfrom both sides.

  • 8/3/2019 emissividade

    31/103

    1Q

    The spectral temperature at the top of the bell jar is approphimately 200 C to 400C above the DTP process temperature. Figure 2.4 [ 10] shows an example of a verticalfurnace system from Aphceliss. Another possible furnace method is to feed the waferhorizontally.

  • 8/3/2019 emissividade

    32/103

    The furnace system is an inertial system and has limited operation speed. Figure2.5 [9] shows that the processing time is in the range of seconds. At the beginning, thewafer is brought into the furnace to a pre-heat stabilization temperature near 750 C.After nearly one minute, the heating increases rapidly to the processing temperature,1075 C, and is then cooled to 750 C, after which the wafer is withdrawn from thefurnace. The crucial part of the temperature cycle is when the temperature exceeds thedotted line in Figure 2.5 [9], which represents the activation of the dopants, formation ofoxides, silicides or nitrides. The process depicted in Figure 2.5 is for an implant anneal.The dotted line corresponds to the part of the cycle that is dominant in activation of thedeparts. An example of low temperature spike process is Ni silicidation. The spike isaround 350 C to 400 C.

  • 8/3/2019 emissividade

    33/103

    2 0

    2.1.2 Lamp SystemsHeating lamp arrays are used to irradiate the wafer either from one side or from bothsides. n the lamp system, the power input of the lamps determines the wafer temperature.Power control operates under the assumption that if the same power is delivered to thelamps, the same intensity will be emitted. Thus, if the wafer and process chamberconditions do not vary, then the absorbed intensity will be reproducible. The issues withpower control are chamber window and wall hazing altering the absorption and reflectionproperties of the wafer environment, lamp aging, wafer variations, and line voltageregulation [11].

  • 8/3/2019 emissividade

    34/103

    21

    As can be seen in Figure 2.6 [8], in a lamp system, the lamps for single sidedheating are on top and opposite of the temperature measuring equipment, which looksfrom the bottom unto the wafer. n this system, there is also the possibility to cool thewalls. Lamps and temperature measurement subsystems are separated from the chamberby a window, i.e., mainly quartz. Problems resulting from this arrangement, waferbetween lamps and temperature sensors, and from the windows will be discussed later.

    Lamp systems allow further advantages like pulsing the lamps and flash RTP.There are different types of lamps. These are the incandescent tungsten-halogen lampsand the arc-lamps. The difference between the two is in the wavelength of radiation. Arclamps operate in the wavelength range of 0.2 to 1.4 gm. Most RTP utilize tungstenhalogen lamps as the heat source. The tungsten lamp spectrum is in the wavelength rangeof 0.3 4 gm , making it a source of interference for pyrome ters operating in this regime.Filters and chamber design have been developed to minimize this effect.

  • 8/3/2019 emissividade

    35/103

    22

    Figures 2.7 [ 12] and 2.8 [ 12] illustrate the incandescent lamps systemmanufactured by Applied Materials. ncandescent lamps have a thermal time constant of> 0.1 s and therefore allow only spike or longer duration processes. The lamps areordered like a honeycomb and separated from the wafer with a quartz window, as can beseen in Figure 2.7. The honeycomb array allows actuating each lamp or a cluster of lampsin independent zones, which gives more freedom in controlling the wafer temperaturedistribution.

  • 8/3/2019 emissividade

    36/103

    23

    As can be seen in Figure 2.9 [9], the spike process for a lamp system looks verysimilar to that of a constant power heat source. The wafer is preheated to a temperatureof 650 C and is held there for a short period. Afterwards, the w afer is brough t veryrapidly to the processing temperature of approximately 1000 C by increasing the powerof the lamp system. A fter heating the wafer to 1050 C, the lamp s are turned off and thewafer cools down to a wafer handling temperature of about 650 C.

  • 8/3/2019 emissividade

    37/103

    24

    figure 2.9 Water surface temperature versus time graph tor a Camp se process 1yi.

    The higher tem perature is due to hea ting and cooling ra tes characteris tic of the lam psystem. The incandescent lamps have an afterglow. This heats the w afer a bove 1000 C.

    The soak at 650 C (lam p) or 750 C (furna ce) allows the tem peratu re of s iliconwafer to equilibrate, owing to the strong temperature dependence of the R absorption ofsi licon at low tem peratur e. The rea son to hold the wa fer at a certain tem perature is thatmo st lamp systems operate in the R w avelength range. The temperature dependence ofthe silicon bandgap is given by:

  • 8/3/2019 emissividade

    38/103

    25

    wh ere, a and are fitting parameters and T is the temperature in K elvin. For silicon, thevalues of these param eters are:

    From Equa tion 2.2 2, it can be seen that, with increa sing tem peratu re, the bandgapof silicon decrease s. With the knowledge of the b andgap, the wa velength of photons atw hich the sem iconductor starts to ab sorb ra diation can be calculated us ing the followingequation:

    f E g g is in eV, then is obtained in nm [14]. For silicon, at room temp erature, theabs orption process s tarts at 1.106 Om which lies nea r to the short w avelength end of theinfrared re gion. At wa velength shorter than 1.106 Om , the ener gy is dissipated in them aterial in the form of heat . Compar ing this with the spectral range of tungsten halogenand arc lamps, it can be seen that the arc lamps are more effective than the tungstenhalogen lam ps. M ost lam p systems a re m ainly infrared sour ces. This ab sorbe d radiationis only a sm all fraction of the infrared range and there fore the w afer he ats very slowly.This is the reason for the w afer to b e held for a longer tim e at a certain tem perature . As

    tem peratur e increases, the fraction of absorb ed infrared radiation gets bigger. Figure 2.10,ba sed on Equation (2.22 ), shows the tem pera ture depe ndence of the bandgap for intrinsicSi. t clearly illustrates the decreasing bandgap of silicon with increasing temperature.

  • 8/3/2019 emissividade

    39/103

    26

    Abov e the cutoff wave length for band-to-band tran sitions, silicon can still absorbphotons, but, through a different mechanism called free carrier absorption. n this regime,by absorbing the en ergy of photons, free carriers can m ake transitions to higher energylevels in the same valley. Clearly, the transition requires momentum change w hich can beprovided by pho nons or ionized impu rity scattering. Naturally, the amoun t of free carrierabsorption in the wafer is proportional to the num ber of free carriers which is determ inedby the doping level of the substrate and the process temperature. n silicon, free carrierabsorbion occurs at wavelengths above 2 m. Therefore considerable amount of freecarrier absorption takes place when tungsten halogen lamps are used for heating thewafer [11 ].

  • 8/3/2019 emissividade

    40/103

    27

    further enhancement in process efficiency is the impulse RTP system. nimpulse RT P systems, the thermal bu dget is further increased. producer of impulseDTP systems is DaiNippon Screen. Their RTP system use s Xe-lam ps as hea t source. The

    processing time is only 10 m s and there fore the time in which the diffusion take s place isvery short. This m ak es sha llow ju nctions possible. The doping profile stays m ainly thesam e a nd the deviation from the profile is only sm all. The depar ts do not diffuse ve ry far.

    The f lash anneal recipe is created from the s tandard implant anneal recipe byshortening the steady state to 1 second w hile keeping the ramp rates the same. n order tom aintain the tem perature sensitivity of the im plant anneal, the temper ature set point ofthe flash re cipe has to be increas ed. The process tim e is further shortene d. Table 2.1 givesan overview of the different processes.

  • 8/3/2019 emissividade

    41/103

    Tae21OvewohDeePoMo

  • 8/3/2019 emissividade

    42/103

    2 9

    2.1.3 Temperature Measurement EquipmentCurrent DTP systems employ two classes of temperature sensors: thermoelectricdetectors and photon (or quantum) detectors [5]. The mainly used thermoelectric

    detectors are the thermocouple and thermopile. A thermocouple is based on the Seebeckprinciple. A therm opile is a group of thermoc ouples connected in series.

    The Seebeck effect con verts a temperature difference into electricity by using thefact that the Fermi level of a conductor depends on temperature. n a pure electronconductor, the Fermi level is proportional to temperature; however, the Fermi level ismaterial dependent. f two materials are connected, a voltage between the opp osite endsof the m aterials can be measured. At the junction, the Fermi level of both materials areequal and hence no voltage can be measured. The Fermi level is proportional to thetemperature and therefore the voltage scales w ith temperature.

    A thermocouple consists of a junction of two bimetal. The mainly used bimetaljunctions are Ni-Cr/Ni-Mn-Al and Pt-Rh alloy combinations. Thermocouples work incontact mode, i.e. heat conduction, as well as by non-contact absorption of infraredphotons em itted by the hot object. A ceramic heat sink is an active detector. The ceramicsupports a 1 3 Am thin membrane of polyethylene terephtalate. On the hot junction areaof this mem brane, thin film structures are deposited. One side of the m embrane area isblackened to increase the absorption efficiency. A m aterial with high positive See beckCoefficient, B s , for ephample, Sb, and as opp osite pole, a material with negative A s , forephample, Bib, is used [5].

  • 8/3/2019 emissividade

    43/103

    30

    The disadvantages of therm ocouples a re the irreproducible therm al contact, thenon-inert contact w ith the w afer , incompa tibility with rea ctive ga ses and the de grada tionupon intense thermal cycling. The point of contact may act as a heat source or sink

    causing tem peratur e variations across the wa fer and ma y ephhibit a mem ory effect fromwa fer to wafer . On the other hand, therm opile detectors are cheaper, more compact andm ore sensitive in a broad ra nge of tempera tures than photon detectors [5].

    The radiation laws determine the basis for temperature measurement inphotodetectors. They measure the radiation of a body in a mostly small range ofwa velengths for w hich the tem perature should be determ ined. Photodetectors u til izequantum phenomenon in which an infrared photon is abs orbe d by a sem iconductor. Uponab sorption, the photon crea tes an electron hole pa ir. The free carriers are then detected,wh ich can be converted into R intensity [5].

    The radiance is comprised of three components; emission from the wafer,transm itted lamp irra diance, and reflected fluph from the cham be r, wa lls or shield. Onceem iss ivity is known, wafer tem perature can be calculated from P lanck's equation. ngeneral, the glow ing bod y is not a black radiator, i.e., its absorptivity is smaller than 1.Therefore, the specific radiation is smaller than that of a black body at the sametem perature . The real tem peratur e is higher than that meas ured w ith the pyrom eter, whichis called the black tem perature T' at the wa velength . Th e R intensity is related to thePlanck function. According to Kirchhoff's law, the specific radiation of the body attem perature T is given by:

  • 8/3/2019 emissividade

    44/103

    31Wien's approphim ation can be u sed, because the m easure d frequency are far right

    from the m aphim um . This specific radiation equa ls the radiation of the black body attemperature T'.

    For = 1 , T equals T' and for < 1 ,1n () is smaller than 0 and therefore T > T' [4].There a re two grou ps of pyrom eters , the s ingle wavelength pyrometer s and the

    multiple wavelength pyrometers. A pyrometer allows a contact less temperatureme asurem ent . M ost RTP system s use pyrome ters in the w avelength range of 0 .95 Om 10Om.Thereare0.95Om,2.6Om-3.5Om,2.7Om,4.5Om-5.OOm,and5.OOm-10Om pyrome ters a vailable. They are capa ble of rea ding up to a resolution of 0.1 C witha frequency greater than 20 Hz and a lifetime of 1 year. Photon detectors are moresensitive in narrow er spectral ranges and have m uch shorter response tim e [5].

    The b andgap of silicon is in the near infrar ed w avelength region. All radiationwith a longer wave length than that of the ba ndgap is transm itted. M ost of the infrare dradiation is therefore tra nsm itted. This radiation influences the mea sure m ents perform edby the pyrom eter. Hence, often filters outside of the spectra em itted by the hea t sourcesare u sed. These filters narr ow the obical bands to circumve nt the problem s related to thetransparency of silicon in the infrared w avelength range at low tem perature s.

  • 8/3/2019 emissividade

    45/103

    32Another possibility to reduce the problem associated with the transparency of

    silicon is to use long wavelength detectors. Furthermore, long wavelength detectorsreduce the oscillation frequency of the emissivity as a function of the thickness of thegrowing overlays. A disadvantage of long wavelength detectors is the low signal tonoise ratio of detection. Consequently, all reactor components should be keb cold,because these warm system components interfere with the detection of the waferradiation. A rougher backside can scatter more reflected light into the pyrometer, andthus increase the effective emissivity. This problem m ay be suppressed by using a sm allerpyrometer view angle or by ma king the chamber bottom less reflective [1 4].

    Optical pyrometers need to be calibrated. The calibration of pyrometers in DTPsystems are done at two distinct levels. First is a system level calibration, obtained bymatching the pyrometer reading to that of a thermocouple in contact with a sacrificialreference wafer. Second is a wafer level calibration. f the emissivity is likely to showsmall variations for the individual wafer within a batch, a wafer level calibration isneeded. Here, one measures the ephtrinsic emissivity directly by an ex situ reflectivitymeasu remen t prior to processing, or one me asures the effective emissivity in situ beforeor during processing [5].

  • 8/3/2019 emissividade

    46/103

    Table 2.2 Overview of Temperature Measurement Equipment

    33

    2.3 Multi-Rad Version 1.0 for Windows 95 and NT2.3.1 Introduction to Multi-RadMulti-Rad was used to calculate the spectral property. Therefore, an understanding ofMulti-Rad is important. The following ephcerbs from the Multi-Rad manual arereproduced for completeness, reference and background [ 1 6].

    Multi-Rad was developed at the Massachusetts nstitute of Technology (MT) byJeffrey P. Hebb, Justine Cave, David Wang, Shwa MacFarland, and Klavs F. Jensen.Multi-Rad predicts radiative properties of layered materials by utilizing electromagnetictheory for multilayer. The basic theory is described by J. Hebb and K. F. Jensen in "Theeffect of multilayer patterns on temperature uniformity during rapid thermal processing",J. Electrochem. Sock. 143, 1142 1151 (1996) [2]. The basic research underlying thissoftware was supported by REMATCH and the Semiconductor Research Corporation.

  • 8/3/2019 emissividade

    47/103

    34

    REM ATC H sponsored the development of the W indows 95 based software under thedirection of Tony Speranza.

    Multi-Rad is a PC -based softwa re that calculates radiative properties of thin filmstacks, with emphasis on sem iconductor applications. t runs on W indows 95 or W indowsNT. At the heart of the calculation is thin film obics, implemented in the form of thematrix method of multilayers. This model assumes that the layers are obically smoothand parallel, and that the materials are obically isotropic (the obical constants are notdependent on crystallographic orientation). Therefore, the theory does not predict anyvariation of property in the azimuthal direction. For a given multilayer stack at aprescribed temperature, the user can calculate the radiative property as they vary withwavelength and angle of incidence.

    2 .3 .2 Calculat ion M ethod used b y M ult i-RadThe matriph method of multilayers predicts the reflectance and transmittance of amultilayer stack for a given wavelength and angle of incidence. Radiation at a givenwavelength is treated as coherent and, therefore, interference effects are taken intoaccount. The main assum ptions of the theory are that the layers are p arallel and opticallyisotropic, the surface is obically smooth, and the area in question is much larger than thewavelength of incident radiation, i.e., no edge effects. A generic layered structure is

    shown in Figure 2.11 .

  • 8/3/2019 emissividade

    48/103

    There are N layer interfaces (circled) and N+1 layers (squared), including theunbounded transparent media on each side of the actual stack. ; and B; are theamplitudes of the forward and backw ard propagating electric field vectors on the left sideof interface i. The prime notation on A'Nj and B'Nl indicates that these are theamplitudes on the right side of interface N. Light is incident on interface 1 , with an angleof incidence j .

    The central equation of the multilayer theory relates the amplitudes on the leftside of interface 1 w ith those on the right side of interface N:

    where, P ; is the propagation matriph, D ; is the dynamical matriph, and m 1 is an element ofthe transfer function matriph. The propagation m atriph accounts for the effect of absorptionand interference within a layer i bounded by two interfaces. Because layer 1 is notbounded b y two interfaces, the propagation matriph has no meaning, and Pi is set equal tothe identity matriph. For layers 2, 3, ... , N, the propagation matriph is:

  • 8/3/2019 emissividade

    49/103

    36

    2n. d . c o s O .where, , = is the phase shift. The compleph refractive index is n, = n ; + ix; ,where, n is the refractive indeph and is the ephtinction coefficient. t is assumed that thebonding media are in vacuum, with a refractive indeph of 1. The thickness of the layer is d,

    is the compleph angle, and is the wavelength of the incident wave in vacuum .The dynamic matrix accounts for reflection and refraction at the interface i,

    relating am plitudes of the reflected and refracted waves on either side of the interface.Depe nding on the state of polarization of the wave, the dynam ical matrix is given by:

    where, s and p indicate that the electric filed vector is perpendicular and parallel to theplane of incidence, respectively. Non-absorbent layers have purely real refractive indices,so they have pure ly real angles that can be interpreted a s the direction of propaga tion inthe layer. Absorbing layers have compleph refractive indices, so they have compleph angleswhich have no direct physical interpretation. The angle 8 is purely real and interpreted asthe angle of incidence. Given the angle of incidence, the compleph angles for the otherlayers are calculated in succession using the complex form of Snell's law,

  • 8/3/2019 emissividade

    50/103

    37

    The reflectance for an s or p wave for the complete stack is the ratio of theintensities of the forw ard a nd backw ard propaga ting wa ves on the left side of interface 1.The transm ittance for an s or p wave is the ratio of the intensities of the forward

    propagating wa ve on the right side of interface N and the forwa rd propagating w ave onthe left side of interface 1. The intensity of an electroma gnetic wa ve is proportional to the

    Therm al ra diation is usu ally well approphimated as unpolarized, in which case, thespectral directional reflectance and transmittance, R8 and ,e, may be calculated as asimple ar ithm etic avera ge of the s and p wave proper ties . When com paring calculatedspectra to measured spectra, spectral averaging must be performed over a spectralinterval , where corresponds to the spectral resolution of the spectrometer orpyrometer used to perform the measurement. mplementing the theory this way makes itgener al in that pre dicted proper ties do not depend on class ifying the ra diation in a layeras cohere nt or incoherent.

    The spectral directional absor ba nce is calculated b y sub tracting the reflectanceand transm ittance from unity, and the spectral directional em ittance is calculated b yassu m ing Kirchhoff 's law on a spectral basis:

    wh ere, the subscribs and have been introd uced to indicate spectral and directionalproperties, respectively. Kirchhoff law on a spectral ba sis is valid if the em itting obje ct

  • 8/3/2019 emissividade

    51/103

    38

    is in local thermodynamic equilibrium, i.e., it can be characterized by a singletemperature. f there is a significant temperature gradient in the part of the wafer that isem itting, or if the phonons and electrons are not in therm al equ ilibriu m (e.g. laserannea ling), then Kirchhoff's law be come s invalid. For most RRTP proces ses, neither ofthese conditions is encountered for a silicon wafer .

    To obtain the hem ispherical spectral proper ties, integra tion over a ll directions inthe hemisphere is performe d. The ma triph m ethod of multilayers described above m ak esthe assu m bion that there is no variation of the radiative properties w ith azimuthal angle.The spectral ab sorbency integrated over a particular range of angle of incidence, definedby Amin and Amax is given by:

    wh ere, is the angle of incidence. The expression is analogous for remittance,reflectance, a nd transm ittance.

    To calculate the total am ount of energy that will be r eflected, transm itted, em ittedor ab sorbed in a certain spectral range, the spectral properties m ust be integrated withrespect to wave length for a certain black b ody distribution of energy. For e phample, thetotal absorb ency in a b and i is:

  • 8/3/2019 emissividade

    52/103

    39

    spectral absorbance The integral is analogous for emittance, transmittance, andreflectance.

    A change in em ittance of a m ultilayers stack at a given nominal tem peratu re leadsto pyrom eter tem perature m easu rem ent error. A rigorous calculation of this error m usttake into account the pyrometer, the associated obics, filters, and many othercomplicated factors which are beyond the scope of Multi-Rad. The software does,howe ver, do a ve ry sim ple calculation of this error to give an es t im ate of the impact ofuncertainty in layer thicknesses on temperature measurement error. The followingephpression provides this estim ation:

    where , T n o m is the nominal wafer tem peratur e that would be me asur ed if the stack has thenom inal emissivity, , is the chang e in emissivity of the stack due to a chan ge in thefilm thickness of one or more of the layers in the stack, and AT is the temperature

    m easu rem ent error incurr ed due to this emissivity change.Spectral analysis m ethod u sed to calculate the ra diative proper ties is thin film

    obics, implem ented in the form of ma triph me thod of mu ltilayer s. This theory assum esthat the interfaces a re ob ically smooth and pa rallel, and provides the spe ctral directionalradiative properties. The program assu m es that there is no variation in properties over theazimuthal angel. Range allows the user to select a range of angles of incidence overwh ich the spectra l properties w ill be integra ted. For exa m ple, choosing 0 to 90 will yieldintegration over the w hole hem isphere (spectral hemispherical properties). ntegratingover the w hole hem isphere w ill increase the calculation time by approphimately a factor of25 , relative to a single angle calculation. Calculation tim e decre ase s with decrea sing size

  • 8/3/2019 emissividade

    53/103

    40of the range. Min/Max dictates the spectral range over which the properties arecalculated. The limits of wavelength must be between 0.4 and 20 gm. ncrement dictatesthe increment that the calculation will be done for. The minimum increment inwavelength is 0.001 gm. The smaller the increment, the more calculated are the datapoints, and so the longer the calculation will take.

    t is possible to enter up to 100 layers. Light is incident, or emitted, from layerone. The layer material is already predefined. t is possible to choose between silicon,silicon diophide, titanium suicide, silicon nitride, Gold, GaAs and 8102. Additionalinformation such as temperature in degree C and layer thickness are necessary. Forsilicon, it is possible to enter the n and p dopant concentrations. n this version of Multi-Rad, silicon is the only material which has temperature dependent optical constants.Therefore, the temperature of the other m aterials has no effect on the result. The limits ontemperature are between 30 and 1400 C (melting point of Si). The output will bedisplayed in tabular form in the output bop h. The calculated results can be saved, ephp ortedand plotted. To calculate the total amount of energy that will be reflected, transmitted,emitted or absorbed in a ce rtain spectral range, the spectral properties must be integratedwith respect to wavelength for a certain black body distribution of energy. The remittancecan also be interpreted as the absorbency of the w afer, depending on the spectral rangeand black body temperature chosen (i.e., the spectral remittance equals the spectralabsorbance).

    Directional analysis permits calculation of the obical properties at a particularwavelength as they vary with angle of incidence. The output will be a polar plot of thedirectional properties of stack at a particular wavelength. The program assum es that there

  • 8/3/2019 emissividade

    54/103

    41is no variation in obical properties over the azim utha l angle . It is possible to performcalculations over a single angle of incidence or a range of angles. The calculation isperform ed at a single detector wavelength. The program a ssum es a top-hat like profile forthe detector re sponse over ba ndwidth, reflecting the fact that all detectors ha ve a f initebandwidth. For ephample, the remittance for a wavelength and bandwidth iscalculated by:

    Entering the stack is e phactly as it is in the spe ctral analysis, described a bove.The pyrom eter option allows the u ser to calculate the radiative properties of a

    multilayer stack at a particular pyrometer w avelength. t also allows the user to ephaminethe effect of f ilm thickness va riation on the radiative property, and gives a n estima te ofthe temper ature m easu rem ent error that would be incurred by these variations. The angleof incidence work s the sam e w ay as it does in the spectral analysis.

    Pyrome ter inform ation necessary for calculations are the pyrometer wa velengthand ba ndwidth. The pyrome ter wa velength is the w avelength at w hich the pyrome teroperates . The program assum es a top-hat l ike profi le to the pyrometer response over thebandw idth. The nom inal stack information is the same as in the spectral information. t iscalled "nominal" be cause it is m eant to represe nt the target layer thicknesses.

    Different options rega rding the layer thicknes s variation are possible . No layervariation will allow calculation of the radiative properties for the nom inal stack. Onelayer var iation allows ephploring the effe ct of varying the thicknes s of one of the layers inthe stack on the rad iative property and temperature m easuremen t error. t is possible to

  • 8/3/2019 emissividade

    55/103

    42

    enter the number of the layer whose thickness is varying. The m inimum and m aphimumthickness of the layer and the thickness increment need to b e entered. n order to calculatethe temperature error, the nom inal wafer temperature is also necessary. The calculationtime is inversely proportional to the thickness incremen t. Two layer variations allow theuser to vary the thickness of two layers in the stack. No w the calculation time w ill scaleinversely proportional to the square of the increm ent, as calculating a 2D matriph. Again,the nom inal wafer temperature needs to be entered. n the output display bo ph, the resultsare displayed as a 2D rectangular m atriph, with the thickness of the first layer along thefirst column and that of the second along the first row.

    2 .3 .3 Adding M ater ia lst is also possible to add user materials. This option allows the user to customize theMaterials List by adding new materials to the list. The user will be prom pted to enter thename of the material as it is to appear in the materials list, and the names of two datafiles. These data files must be created off-line using a tepht editor. The first line of the firstdata file should be an integer indicating the number of data points to follow. All linesafter that should contain two numbers: the wavelength and the refractive indeph. Thesecond data file should have the sam e format as the first, ephceb it should con tain the dataon the extinction coefficient. Multi-Rad does a simple linear interpolation between

    entered data points, so the user should m ake sure that there are enoug h points entered tocabure features in the data. Calculations should not be done o utside of the spectral rangefor which the refractive indeph and ephtinction coefficient data is entered.

  • 8/3/2019 emissividade

    56/103

    43

    2.4 Derivation of the Mathematical ModelThe tempe rature distribution of a patterned wa fer at the peak tem perature of a D TP cycleis modeled by considering radiative and conductive heat transfer. The wafer is modeledas a two-dim ensional system, whe re the thickness of the wafe r t,,, is small com pared tothe diameter. Temperature therefore can be taken to be uniform across the thickness ofthe wafer. The e mission and absorbion of radiation are treated a s internal heat sources orheat sinks. Additional mechanisms w hich are ephcluded from the m odel include:

    Convection, Thermal contacts with the wafer, Heat radiation from the environment, Edge effects, i.e., the wafer is an infinite plane.

    The radiation loss term given by Stefan-Boltzmann's T4-law usually dominates overother loss mechanisms. However, the absorbed intensity is a quantity which is notdirectly measurable. This is modeled by relating the heat output intensity of theconsidered RT P system to the intensity absorbed by the wafer. This relation depends onthe patterned layer structure on the wafer and the spec trum of the incident light which inmany cases are not know n in detail.

    To validate the model, wafers with a square pattern were used in the experiment.A section of such a patterned wafer is shown in Figure 2.12. The derivation of themathem atical model is based upon this specific pattern structure.

  • 8/3/2019 emissividade

    57/103

    44

    The pattern can be generally divided into two areas, 1 and 2. Area 1 and area 2have different layers on top of the silicon wafer. These two areas have different

    emissivity and absorption coefficients. The index i=1, 2 refer to areas 1 and 2,respectively. The emissivity and absorption coefficient for area 1 is therefore denoted as and 1, respectively. For area 2, the optical coefficients are denoted as 2 and 2. T heseobical coefficients are obtained by integration over incident angle and wavelength. Usingthe Stefan-Boltzmann law of radiation and the fact that the emitted and absorbedradiation intensity are equal in steady state, a heat balance equation can be established:

    This equation is only for the area 2. A similar equation can be derived for area 1 :

  • 8/3/2019 emissividade

    58/103

    45

    n these equations, T1 and T2 are the temperature of the respective areas. T F 4 is thetem peratu re of the heat source impinging onto the wafer fr om the front and TB is from theba ckside. Since a w afer ha s two sides, a third em issivity 3 and absorbion coefficient 3

    is incorporated into the e quation. These are the obical properties of the w afer ba ckside.For a furnace process, T F F 4 is denoted as TF4, and for a lam p process , T F 4 is denoted asC T F L 4 , where c is a form factor that depends on the geom etry of the lam p system . Bothequa tions can be solved for the temper ature of the are as (Ti and T2).

    Sim ilarly for area 1:

    Since Ti and T2 are u nknown param eters, it is now possible to relate the tem perature ofboth area s by dividing the equ ations for the tem peratu re of are as 1 and 2:

    radiation distributions and the effective emissivity and effective absorbivity of thesur face. The effective em issivity and ab sorb ivity take into account the specific obical

  • 8/3/2019 emissividade

    59/103

    46properties of the reflective chamber with all components inside. They depend on thematerial properties and temperature and are defined a s spectral averages.

    Figure 2.13 Reflection and back reflection within an DTP chamb er.

    These effective values are calculated by summing a sery of multiple reflections, asshown in Figure 2.13. The sery converges and can be described by an algebraicequation:

    where, these values are then weighted by integrating the product of the emissivity as afunction of wavelength, and the Planck distribution function:

  • 8/3/2019 emissividade

    60/103

    47

    For the sake of normalization, the Planck function also appears in thedenom inator. The Planck distribu tion is the b lack body ra diation distribu tion at a certaintem peratu re. The em issivity is calculated by using the M ulti-Rad program . M ulti-Raduses a semi-empirical model for calculating the emissivity. The spectral analysis is

    performed over a w avelength range from 0.4 Am to 20 A mp. t is averaged over incidentangles from 0 to 90. The increment in the calculation is = 0.1 gm.The sam e approa ch is use d for we ighting the ab sorbion coefficient:

    The only difference between ,, and ,, is the value of the temp erature. For theem issivity, the tem peratu re of the wa fer is used, whe rea s for the absor ption coeff icient,the temperature of the heater, IF, is used. Depending on the way of heating, thetemperature for the absorbion co efficient may d iffer for front side and backside. n theeffective e m issivity, no horizontal tem pera ture gradient is assu m ed, i .e . , front side andbackside are assum ed to be equ al in tem perature.

  • 8/3/2019 emissividade

    61/103

    48

    The one dimensional model used in the literature [ 17] includes the thermalconductivity. The model can be derived by using the heat balance equation:

    Under steady-state, the time dependent term vanishes and E quation 2.49 becomes:

    Solving this differential equation leads to the lateral thermal diffusion length:

    This model can be ephpanded in two dimensions. Then, all space dependentvariables are a function of x and y. The pattern shown in Figure 2.12 is represented bytwo-dimensional periodicity of spacing L. The square pattern can thus be defined by atwo-dimensional Fourier-series for a symmetrical square wave:

    The coordinates are ph and y aligned parallel to the square edges andthe origin is in the square center. This equation is used to ephpress the emissivity and

  • 8/3/2019 emissividade

    62/103

    49

    absorbivity in terms of x and y . An unkn own temperature distribution can be representedby a two -dimensional Fou rier series with coefficients, ti,.

    and Equation 2.55 is then solved for the unknown Fourier coefficients t. The ephactsolution is given in Appendiph A. The solution is simplified by considering only high

    symmetry points in the pattern and relating the temperatures at these points to thetemperature a t the reference po int, i.e., the origin.

  • 8/3/2019 emissividade

    63/103

    50

    The refer ence point in Figure 2 .14 is in the center of the squ are. The tem peratu resat the symm etry points, determ ined in Equation 2.54, can be ephpres sed in term s of cosinefunctions that correspond to -1, 0, or 1. Tab le 2.3 gives the cosine values and ephpress ionsfor T(x,y) at the points in Figure 2.14.Table 2.3 Values of Co sines and at High Sym metry Points

  • 8/3/2019 emissividade

    64/103

    51

    Phis limt implies that the pattern is decomposedin two differ ent wa fers w ith infinite ephtens ion. Wa fer 1 is like the field and wafe r 2 is like

    the squa re. By determ ining S, ephperim entally, one can obtain a resu lt for

    2.44.

  • 8/3/2019 emissividade

    65/103

    CHAPTER 3SPECIFIC PROCESS MODES AND EXPERIMENTS

    The heating process in DTP follows a certain recipe for the temperature versus timeprofile. Process modes differ according to the way in which the intensity is controlled.One method is just to supply a certain power level to the lamps without controlling thetemperature directly. This is known as the open-loop intensity control (OLIC). If thetempe rature is controlled by an error feedbac k, it is referred to as closed loop temperaturecontrol heating. The objective of closed loop temperature control (CELT) is a moreaccurate temperature control approach, in contrast to the CO LIC.

    3.1 Open-Loop Intensity Control (COLIC)In open loop intensity control, the wafer is placed into the chamber and the lamps aresupplied with constant power. The pow er control of COLIC operates under the assumptionthat if the same power is delivered to the lamps, then the same intensity will be emitted.Thus, if the wafer and process chamber conditions do not vary, then the absorbion of theintensity by the wafer will be constant. The issues with power control are chamberwindow and wall hazing altering the absorbion and reflection property of the waferenvironm ent, lamp aging, wafer va riations, and line voltage regulation.

    The CO LIC w as tested on wafers with various backside films and an RTO processon the front side. Temperature distributions are inferred in this process by the ophide filmthickness. With a constant recipe, it is assumed that there is a relation between powerinput, temperature, and ophide thickness. Beforehand calculations were done to find out

    5 2

  • 8/3/2019 emissividade

    66/103

    the power requirement for these conditions. The tacit assumbion is that the absorbion,emissivity and reflectivity are the same for a layered wafer as it is for the bare siliconwafer used for steady-state temperature calibration. The cham ber was prehea ted to try to

    give all wafers the same initial condition, but the first wafer w as always a dum my w afer.Temperatures during RTO processing were measured by pyrom eters and thermocouples.

    Film thicknesses on the backside of the wafers were determined from separatemonitor w afers. The wafers under test w ere p-bulk from various slots, ephcept for onewhich was an epitaphial wafer. Bare wafers from several batches were included forreference points. Table 3.1 gives an overview of the w afers used in the experiments.Table 3.1 W afers Backside Films Used in the Experiment

  • 8/3/2019 emissividade

    67/103

    54

    The polysilicon layers wer e im doped. They we re a nnealed in a furnace after CVDdeposition. The ophide films include an unde rlying fur nace oxide and CVD-PE-TEO Sdeposited films that a re D ATA denazified. Some nitride film s w ere similarly deposited andannealed.

    Initially, the wafers were cleaned by an H F-RC A clean, which leaves 5 to 7 ofchem ical ophide on the wafe rs. RCA clean with HF dip is a standard pre-flima ce cleaningstep to avoid diffusion of contam inants into the w afer . The hydrofluoric acid (HF)prefe rentially etches the silicon diophide, bu t not the silicon. It also re m oves org anics,particles and som e m etals. The wafer s are first im m ersed in a hot mixture of am m oniumhydroxide ( 4 ), hydrogen peroxide (1-1202) and dem onized (DID) water in a ra tio of1:1:5. This removes traces of organic residues and when done on exposed siliconsur faces, traps ionic contam inants su ch as sodium and potassium in a thin ophide.

    The wa fers ar e then rinsed in a quick dum p rinser (QD R) which provides a fas tm ethod of rinsing, allowing wafer s to be m oved quickly from one step to the nepht. Next,the thin layer of s ilicon diophide (5i02 ) is rem oved b y dipping in dilute hydrofluoric acid(HF). Another Q DR cycle fol lows. Then the w afers are imm ersed in a m ixture of hothydrochloric acid (HC1), 202, and DI w ater. The r atio is aga in 1:1:5. This m ixture iseffective for re m oval of ionic contam inants, especially m etals . The w afers are againrinsed in the QDR. A final HF and QDR step is obional. Then the w afers are spun dryand are r eady for the lamp RTO process [18].

    In the open loop intensity control recipe, the recipe tim e per w afe r wa s 15.7 m in.At the beginning of the process, the wafer was placed into the DTP chamb er. t wasplaced in such a way that the layers we re on the b ackside and ba re silicon was on the

  • 8/3/2019 emissividade

    68/103

    55

    front side. The wafer was ephposed to 20 % 2 for 600 s . Then the 2 concentration wasdecreased to 10 % , and the 02 concentration was increased from 0 % to 8 % for 10 s. nthe third step, with du ration of 20 s , the 2 concentration was re duced to 0 and the 02

    concentration was decreased to 5 %. n the last step, before the heating step wasimpleme nted, the wa fer w as ephposed to an 02 concentration of 3 % for 30 s . The lam pswe re then turned on to an intensity of 42.5 % with a rate of 10 % /s. After re aching anintensity of 42.5 %, the lamp intensity was keb constant for 60 s. In this 60 s, the 02concentration was ke pt at 3 % . The supplied intensity of 42.5 % for 60 s heated the w aferto abou t 1090 C. The lam ps we re then sw itched off . After 10 s , the 02 supply was a lsosw itched off and the 2 concentration w as increased from 0 to 5 % . n the last step, the2 concentration was fu rther ra ised to 20 % for duration of 22 0 s.

    A ripple pyrometer w as used for tem perature m easure m ents. The ripple pyrometercom pensates for u nknown variable em iss ivity of wafers and rem oves interference fromreflected radiation from the heating lamp. n other words, it separates the wafer remittanceradiation from reflected lamp radiation and simultaneously finds the wafer emissivity insitu. Power to the heating lamps is modulated with oscillatory functions of time.Therefore, two sepa rate input obics, one for wafe r ra diation and the other a s a r eferencefor the lam p radiation is used. The electrical signals are se para ted into a slowly varyingqua si-steady and a r apidly varying ripple com ponent. The slowly varying componentsrepresent the radiation from the wa fer.

    The ripple signals are digitally processed to find the component of the lampradiation that is reflected by the wafer under the assumption of negligible wafertemperature oscillation at the ripple frequencies. The ripple signal analysis yields a

  • 8/3/2019 emissividade

    69/103

    56measure of the wafer reflectivity that is used to compute an effective wafer em issivity. Italso allows subtracting Cam p interference to yield a more slow ly varying signal for thethermal radiance of the wafer. The analysis interval was from 45 s to 55 s. Table 3.2

    gives the temperatures measured by direct thermocouple (DTI), the emissivityuncompensated pyrom eter (GYR O) and the ripple pyrometer (LPL), i.e., the temperatureduring the process. All values of temperature are averages over the time interval from 4 5s to 55 s measured from the time the lamps are turned on .Table 3.2 Temperatures Measured w ith Thermocouple, Pyrometer and RipplePyrometer

    After the process was complete, the ophide thickness was measured with theThermawave at 52 points. An area corresponding to 5 mm on the edges of the wafer wasexcluded from the measurem ents. Table 3.3 summ arizes the measured oxide thicknesses.

  • 8/3/2019 emissividade

    70/103

    Table 3.3 Thermawave Measurements of Oxide Thicknesses57

    Iomparison of the temperature measured by the ripple pyrometer in Table 3.2with the ophide thicknesses in Table 3.3 shows correlations where the oxide thicknesstends to increase with the temperature. For epham ple, wafers in the J2 series were heatedto a temperature near 1025 C, which is at the low end of the temperature range, andcorresponds to an ophide thickness of 45 A, wh ich is at the low end of the oxide thicknessrange. Other wafers were heated to higher temperatures and the oxide thicknesses weregreater but the correlation between the two is not a perfect one. These resu lts show thatOL IC does not yield a wafer independent process for RT O. This is a strong m otivatingfactor for selecting closed loop temperature control, which is treated in the nepht section.

  • 8/3/2019 emissividade

    71/103

    58

    3.2 Closed Loop Temperature Control (CELT)f coatings on the wafer are present, then the coated regions will ephperience differenttemperature-time cycles during processing than the uncoated regions, leading to asignificant impact on process uniformity. DTP systems are usually operated in thetemperature m ode by perform ing a closed loop temperature control by a calibrated obicalpyrometer or thermocouple. This can be achieved with a pyrometer that measures thewafer temperature and provides feedback to the control system, such as shown in Figure3.1 [8]. The challenge is to perform highly accurate pyrometer measurem ents on a waferof unknown spectral emissivity in surroundings in which a significant amount of strayradiation m ay be em itted by the heat source.

    Although the incident intensity differs from the absorbed intensity, only theabsorbed intensity influences the closed loop temperature control to match the actualtempe rature-time profile to the desire one. Therefore, the absorbion chara cteristics haveto be known. For the purpose of the calculations, an ideally controlled temperature is

  • 8/3/2019 emissividade

    72/103

    59

    assumed. The tempe rature at the measured spot is assumed to be given at any time by thepre-set temperature time cycle. A signal from an appropriate thermal sensor,thermocouple or pyrometer controls the feedback loop and determines the power to thelamps [8].

    In this thesis, all RTP systems that we re used to test the m odel were ope rated withmodel based temperature control. Model based temperature control needs to be tunedthrough tem perature data logs of the actual tempera ture in the chamber during an entireprocess cycle. Figure 3.2 [8] shows a model based temperature control system with athermocouple to measure the wafer temperature. Model based temperature control isbased on systematic use of a mathem atical model of the process in determining controlalgorithms for the process.

    Another possibility is to use a proportional integral differential (PID) control.Precision wafer temperature estimation and control is more critical in RTP due to the lagof the thermocouple relative to wafer temperature under fast ramping conditions. A

  • 8/3/2019 emissividade

    73/103

    60proportional integral differential (PIED) controller can overshoot the desired tem peratureand needs m ore time to tune due to the lag time. M odel based temperature has the abilityto predict the discrepancy between these two parameters and allow to accurately control

    wafer temperature during dynam ic conditions.The control design relies on the ephperimental data obtained from the reactor to be

    controlled. The system closed-loop behavior is dependent on bo th the hardware designand the controller design. The advantage of the closed-loop sim ulation is that it allows forquantifying the response to a potential disturbance. Decreasing the feedback gain canlead to sluggish response resulting in unaccebable temperature non-uniformities andtracking error. Increasing the gain can create power demands that ephceed the range ofactuation resulting in power saturation and loss of control.To evaluate lateral temperature non-uniformities during RTP, two indirect measurementtechniques were used in these studies:

    Annealing of a high dose, low energy As-implanted (wafer maps of sheetresistance) Rapid thermal ophidation

    The sheet resistance of implanted Si upon RRTA can be correlated with wafertemperature using a calibration curve. Hence, wafer maps of sheet resistance can beinterpreted as lateral temperature measurements on the wafer. The sheet resistancedepends on activated departs and the activation process is temperature depe ndent. Hence ,partial activation of ion implanted species is very suitable to measure and obimize theacross wafer uniformity. The data were converted into effective temperatures using alinear model for dependence of mean post-RTA sheet resistance on temperature. Acomm on disadvantage of b oth indirect methods is that they integrate all variations from

  • 8/3/2019 emissividade

    74/103

    61

    the defined processing recipe into a single parameter. Even if the final temperaturedistribution across the wafer is accebable, there could be a part of the processing intervalin which temperature non-uniformity is above the limit of acceptance.

    The model uses four temperature states: lamp filament temperature, quartztemperature, pyrometer temperature and TC temperature. A set of four first order, non-linear differential equations describe the model. Feedback is achieved by updating themodel, based on a comparison between actual (measured) system and modeled systemresponse. The Model Based Control uses two steps to reach a tight match between the setpoint temperature and wafer temperature. In the first step, the 4 state model of the systemis inverted to predict that level of power input or position that will drive the wafertemperature to reach the set point temperature. The inverted model transforms Sitemperature into predicted lamp voltage or position. In most cases, the calculated voltageor position is limited between a maphimum and minimum value. Due to this limitation, aperfect match is not always possible. This leads to a limit on the first and second orderdeviations of temperature. In the second step, the actual lamp voltage or position (afterclipping) is used as input of the forward model to calculate a prediction of the indicatedTIC temperature. Any offset between the predicted TC temperature and the measured TC

    temperature is used to adjust the m odel. The forward m odel is used to calculate the TCtemperature (not the Si temperature). In general, any parameter of the model (in themodel data base) could be tuned in real time while the control is active. An obimizationmethod can be used to tune the chamber efficiency, which is dependent mainly on waferabsorbivity, during temperature ramps and to tune the wafer emissivity during steadystate [8].

  • 8/3/2019 emissividade

    75/103

    62

    3.3 Model Validation ExperimentsThe model described in Section 2.5 was tested by annealing wafers with square patterns.Temperature profile was inferred from the sheet resistance of an As-implanted wafer. The

    process steps were:1 ) Clean wafers and grow pad ophide2 ) Im plant A s at a 20 kV and 10 16 cm 23) Deposit 110 nm Si024) Deposit 1 00 O m polycrystalline silicon5) Pattern squares etche d by remo ving polycrystalline silicon6) RTA process7 ) Strip off pattern films8) Measure sheet resistance

    The AR TA process w as a spike anneal with a temperature versus time profile of thetype idealized and shown in Figure 3.3 [8] with constant ramping rates U p and ado, andsteady temperature A m a x at the peak. The definition of spike assumes that this is achievedby heating as fast as possible to the desired temperature and immediately cooling as fastas possible with minimal dwell time at peak temperature. In practice, the actualtemperature profile is shown for the furnace process in Figure 2.5 and for the lampprocess in Figure 2.9. In mode ling the spike anneal, the process tem perature is taken to be

    A m a x .

  • 8/3/2019 emissividade

    76/103

    63

    r f igure 3.3dealized se process [].In this work, the spike process was realized in furnace and lamp RTP systems

    with three different kinds of wafers. In the lamp DTP system, the power input iscontrolled. In the furnace system, the wafer position in the furnace is controlled. Asdiscussed previously, in the furnace system, the power is kept constant, i.e., the furnace isheated steadily. A temperature gradient is realized within the furnace and the wafers are

    elevated in the furnace. The temperature in a furnace is a function of the position. Thewafers under test were bulk wafers, epitaphial wafers and SOl wafers (SIMOX), asillustrated in Figure 3.4.

  • 8/3/2019 emissividade

    77/103

    Figure 3.4 Cross sections of a) bulk, b) epitaphiall, and c) SO I wafer.

    64

  • 8/3/2019 emissividade

    78/103

    65Each of these wafers were coated with Si02 and polysilicon layer. For realizing

    the pattern, the polysilicon layer was etched away in a square pattern. The Figure 3.5shows the schematics of the wafers after they were etched. Figure 3.6 is a full wafer viewof the front side pattern, which is an array of 1 cm ph 1 cm squares, spaced L = 2 cm apart.

    Figure 3.5 Wafers after the etch process.

    The m easured thicknesses of the layered structures are shown in Tables 3.4 and 3.5:

  • 8/3/2019 emissividade

    79/103

    Tale3.4MeuedSfcooL

    oBkEaaanSOILWae

  • 8/3/2019 emissividade

    80/103

    Tale3.5MeuedSfcooBkEapaanSOILWae

  • 8/3/2019 emissividade

    81/103

    (R

    The wafers were placed in the chamber in a way such that the pattern was on thefront side. In the case of the lamp system, only the front side was heated, whereas in thefurnace, both sides were heated. Different measurement methods for wafer temperaturewere used. Multi-Dad was used to calculate the spectral emissivities of the wafer at A m a x= 1050 C corresponding to the DATA process. This quantity is multiplied by the Planckfunction. In Figures 3.7, 3.8 and 3.9, the remittance are plotted as function of wavelengthfor bulk, epitaxial and SOIL wafers at room temperature, 600 C and 1000 C,respectively.

  • 8/3/2019 emissividade

    82/103