31
台灣師範大學機電科技學系 C. R. Yang, NTNU MT -1- 基礎半導體 基礎半導體 IC IC 製程技術 製程技術 Fundamental Process for Fundamental Process for Semiconductor IC Semiconductor IC Fabrication Fabrication 楊 啟 榮 博士 教授 國立台灣師範大學 機電科技學系 Department of Mechatronic Technology National Taiwan Normal University Tel: 02-7734-3506 E-mail:[email protected] 台灣師範大學機電科技學系 C. R. Yang, NTNU MT -2- z IC製程簡介與其他產業應用 z 矽晶的性質與加工成型 z CMOS的結構與作用原理 z z 基礎半導體IC製程模組 薄膜沈積 黃光微影製程 溼式與乾式蝕刻 熱製程與離子摻雜 z 無塵室組成與工作須知 z 影片輔助教材播放 台灣師範大學機電科技學系 C. R. Yang, NTNU MT -3- IC IC 製程簡介與其他產業應用 製程簡介與其他產業應用 台灣師範大學機電科技學系 C. R. Yang, NTNU MT -4- 摩爾定律:IC上可容納的電晶體數目,約每 18個月便會增加一倍,性能也將提升一倍 摩爾定律是指一個尺寸相同的晶片上,所 容納的電晶體數量,因製程技術的提升,每 十八個月會加倍,但售價相同;晶片的容量 是以電晶體(Transistor)的數量多寡來計算 ,電晶體愈多則晶片執行運算的速度愈快, 當然,所需要的生產技術愈高明。若在相同 面積的晶圓下生產同樣規格的IC,隨著製程 技術的進步,每隔一年半,IC產出量就可增 加一倍,換算為成本,即每隔一年半成本可 降低五成,平均每年成本可降低三成多。摩 爾定律即表示IC技術每隔一年半推進一個世 。台積電董事長張忠謀先生曾表示,摩爾 定律在過去30年相當有效,未來10~15年應依 然適用。 摩爾定律 摩爾定律 (Moore's Law) (Moore's Law) *戈登·摩爾(Gordon Moore,1929-) :英特爾公 (Intel)的創始人之一,目前為英特爾名譽董 事長

Fundamental Process for Semiconductor IC Fabricationmems.mt.ntnu.edu.tw/document/class/103上學期/微機電系統原理與應用/103...台灣師範大學機電科技學系 c. r

  • Upload
    others

  • View
    4

  • Download
    0

Embed Size (px)

Citation preview

  • 台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -1-

    基礎半導體基礎半導體ICIC製程技術製程技術Fundamental Process for Fundamental Process for Semiconductor ICSemiconductor IC FabricationFabrication

    楊 啟 榮 博士

    教 授

    國立台灣師範大學 機電科技學系Department of Mechatronic Technology

    National Taiwan Normal UniversityTel: 02-7734-3506

    E-mail:[email protected]台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -2-

    IC製程簡介與其他產業應用矽晶的性質與加工成型

    CMOS的結構與作用原理基礎半導體IC製程模組■薄膜沈積

    ■黃光微影製程

    ■溼式與乾式蝕刻

    ■熱製程與離子摻雜

    無塵室組成與工作須知

    影片輔助教材播放

    綱綱 要要

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -3-

    ICIC製程簡介與其他產業應用製程簡介與其他產業應用

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -4-

    摩爾定律:IC上可容納的電晶體數目,約每隔18個月便會增加一倍,性能也將提升一倍。摩爾定律是指一個尺寸相同的晶片上,所容納的電晶體數量,因製程技術的提升,每十八個月會加倍,但售價相同;晶片的容量是以電晶體(Transistor)的數量多寡來計算,電晶體愈多則晶片執行運算的速度愈快,當然,所需要的生產技術愈高明。若在相同面積的晶圓下生產同樣規格的IC,隨著製程技術的進步,每隔一年半,IC產出量就可增加一倍,換算為成本,即每隔一年半成本可降低五成,平均每年成本可降低三成多。摩爾定律即表示IC技術每隔一年半推進一個世代。台積電董事長張忠謀先生曾表示,摩爾定律在過去30年相當有效,未來10~15年應依然適用。

    摩爾定律摩爾定律(Moore's Law)(Moore's Law)

    *戈登·摩爾(Gordon Moore,1929-) :英特爾公司(Intel)的創始人之一,目前為英特爾名譽董事長

  • 台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -5-

    實現高科技產業的基礎實現高科技產業的基礎 –– 半導體製程半導體製程IC、LED、LCD、太陽能電池、微機電、奈米科技等產業之基礎

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -6-

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -7-

    3D IC乃將是晶片立體堆疊化的整合模式,其最大特點在於可將不同功能、性質或基板的晶片,各自採用最合適的製程分別製作後 , 再 利 用 矽 穿 孔(Through-Si Via, TSV)技術進行立體堆疊整合,以有效縮短金屬導線長度及連線電阻,進而減少晶片面積,具有小體積、高整合度、高效率、低耗電量及成本之優勢,並同時符合數位電子輕薄短小發展趨勢要求。

    3D IC3D IC

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -8-

    3D IC3D IC

    WLP: wafer level packaging (晶圓級封裝 )

    (被動元件)

    (覆晶封裝)

  • 台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -9-

    IC ChipsIC Chips

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -10-

    Design House

    140

    Substrate

    15

    Photo Mask

    4

    Testing

    37

    Lead Frame

    13

    Packaging

    48

    Blank Wafer

    8

    Chemical

    20聯發科威盛類比科創意…

    台灣光罩翔準先進台灣杜邦中華凸版

    合晶崇越漢磊中美晶…

    聯電力晶旺宏華邦電台積電世界先進…

    IC Fab16

    日月光、矽品、華泰、南茂、京元電、力成、飛信、超豐、菱生及華東…

    景碩、全懋、華通…

    順德、百容、佳穎…

    Infrastructure of Taiwan IC IndustryInfrastructure of Taiwan IC Industry台灣I C產業結構完整,在群聚效應下,

    創造了我國I C產業強大競爭力

    揚博克萊恩長興化工…

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -11- 台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -12-

    薄膜電晶體薄膜電晶體--液晶顯示器液晶顯示器(TFT(TFT--LCD)LCD)

    Samsung shows big LCD TV panelSamsung shows big LCD TV panel

    7070--inchinch 8282--inchinch

  • 台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -13-

    TFT-LCD必須在精密的無塵室內,經過300道以上的製程生產出來。無塵室的潔淨度,最高等級可達「class 10 」,即是在無塵室環境內,每立方尺只有10顆粉塵。

    TFT-LCD 工廠從過去的1代廠演進到現今的8.5代廠,各世代廠房的差別就在於玻璃基板的尺寸。玻璃基板越大,便能切割出大尺寸的面板。舉例來說,一片8.5代玻璃基板尺寸達220公分×250公分,其大小更甚於一張加大的雙人床,它可以被切割成八片46吋面板。

    http://auo.com/?sn=405&lang=zh-TW

    TFTTFT--LCD LCD 工廠工廠 -- 世代與尺寸世代與尺寸TFTTFT--LCDLCD需經過需經過300300道以上的製程產生道以上的製程產生

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -14-

    TFTTFT--LCDLCD結構結構

    早期背光源為冷陰極螢光燈管(CCFL),現在逐漸被LED 陣列燈源所取代

    ITO導電玻璃

    ITO導電玻璃

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -15-http://auo.com/?sn=405&lang=zh-TW

    TFTTFT--LCD: LCD: 三明治的構造三明治的構造

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -16-

    TFT-LCD 前段製程 - Array

    TFT-LCD 中段製程 - Cell

    TFT-LCD的製造過程可分為三大階段: 前段Array, 中段Cell以及後段模組組裝。前段的 Array 製程與半導體製程相似,但不同的是將薄膜電晶體製作於玻璃上,而非矽晶圓上。

    中段的Cell ,是以前段TFT Array的玻璃為基板,與彩色濾光片的玻璃基板結合,並在兩片玻璃基板間滴上液晶後貼合, 再將大片玻璃切割成面板。

    http://auo.com/?sn=405&lang=zh-TW

  • 台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -17-

    TFTTFT--LCD LCD 後段製程後段製程 -- 模組組裝模組組裝

    後段模組組裝製程, 是將Cell貼合並切割後的面板玻璃, 與其他元件如背光板、電路、外框等多種零組件組裝的生產作業。

    http://auo.com/?sn=405&lang=zh-TW

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -18-

    LCD LCD 製程技術製程技術

    (友達光電公司網站有製程動畫展示 http://auo.com/?sn=404&lang=zh-TW)

    LCM (Liquid Crystal display Module)

    Color filter

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -19-http://cdnet.stpi.org.tw/

    台灣台灣L

    CD

    LC

    D

    產業鏈結構

    產業鏈結構

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -20-

    固態光源固態光源::發光二極體發光二極體Light emitting diode (LED)

    Blue LED White LEDLED chip

    Yellowphosphor

    High power white LED

  • 台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -21-

    半導體發光元件材料半導體發光元件材料Nitride-based is the most suitable material

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -22-

    GaNGaN blue LEDblue LED

    GaN LED means “gallium nitride light emitting diode.

    Ref: N. Shibata, Phys. stat. sol., Vol.2 , pp.254-260, 2002

    Cross-section of conventional GaN LED.

    transparency electrode

    p-type GaN

    MQW

    n-type GaN

    buffer layer

    sapphire

    p-electrod

    n-electrod

    (Mg doped )

    (Si doped)

    (Multi quantum well)

    (AlN+undoped GaN)

    (ITO glass)

    (In1-xGax N)

    MQW

    transparency electrode

    n-face(0001)

    substrate

    buffer layer

    n-GaN

    p-GaN

    Ga-face(0001)

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -23-

    Fabrication Process of Fabrication Process of LEDsLEDs on Sapphire on Sapphire

    Sapphire

    u-GaNn-GaNMQWp-GaN p-GaN

    n-GaN

    u-GaN

    sapphire

    Mesa Semitransparent metal或ITO導電玻璃

    p-type contact Ni/Au n-type contact Ti/Al

    sapphire

    u-GaN

    n-GaN

    MQWp-GaN

    MQW

    (1) 磊晶結構 (2) 定義大小區塊

    (4) P電極製作 (5) N電極製作

    (3) 透明接觸層製作

    (6) 熱處理

    (7) 點燈測試

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -24-

    Loss due to critical angleLoss due to critical angle

    boundary

    nGaN

    nair

    θ1 θ2

    2air1GaN θsin•n=θSin•n

    23.6=n

    1sin=θ

    90=θ critical, is θ When

    GaN

    1-c

    21

    total internal reflection

    n-GaN

    p-GaN

    active layer

    substrate

    light

  • 台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -25-

    Fabrication of pattern Fabrication of pattern sapphire substrate (PSS)sapphire substrate (PSS)

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -26-

    Fabrication of pattern sapphire substrate (PSS)Fabrication of pattern sapphire substrate (PSS)

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -27-

    LEDLED產業結構產業結構

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -28-

    Companies of Taiwan LED IndustryCompanies of Taiwan LED Industry

  • 台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -29-

    Applications of LEDApplications of LED

    LED照明燈 LED 公園路燈車頭LED照明燈

    LED LCD TVLED戶外看板LED室內裝飾燈手機LED背光源 紅綠燈

    High-power white LED has a big market! 台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -30-

    矽晶的性質與加工成型矽晶的性質與加工成型

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -31-

    1780~2000℃

    純度98%

    (反應消耗電功率極大12~14KW-hr/公斤)

    首先生成SiC,SiC再與SiO2作用,形成Si, SiO, CO

    在坩堝內加熱使矽融溶,藉由種晶(seed)的帶領,以拉昇器將鑄棒往上拉,造成一個固-液相的界面。

    雜質原子會往液相跑,留在鑄棒尾端,因此尾端必須切掉拋棄。

    決定拉昇速度因素:• 矽融溶潛熱• 固化速率• 溫度梯度• 固液兩相的熱導係數(長一根8吋晶圓鑄棒約需兩天)

    必須再精煉

    CZCZ長晶法長晶法

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -32-

  • 台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -33-

    Miller indices

    ( (堆積最密堆積最密))轉450 轉450

    三個晶格平面與結晶軸交點座標之倒數三個晶格平面與結晶軸交點座標之倒數

    ((h k l h k l ))平面米勒指數平面米勒指數 {{h k l h k l }}平面族平面族((對立方晶格而言對立方晶格而言))

    [[h k l h k l ]]方向指數方向指數 方向族方向族((對立方晶格而言對立方晶格而言))

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -34-

    八面體代表的涵意八面體代表的涵意

    點點 ::稜線:稜線:面面 ::

    矽為四價鍵矽為四價鍵

    矽為鑽石立方結構矽為鑽石立方結構

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -35-

    Primary flat

    (110) plane

    Primary flat

    (110) plane

    Primary flat

    (110) plane

    Primary flat

    (110) plane

    Secondary flat

    Secondary flat

    Secondary flat

    Secondary flat may be here (180o)

    Illustration of coded "flats" as typically used on 4 in. wafer tIllustration of coded "flats" as typically used on 4 in. wafer to o help identify them (SEMI standard).help identify them (SEMI standard).

    摻雜磷 摻雜硼

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -36-

    注意各流程說明與目的!

    注意各流程說明與目的!

  • 台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -37-

    方位指定加工方位指定加工平邊與平邊與VV--型槽型槽

    Flat & Notch Grinding台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -38-

    切片切片 (Slicing)(Slicing)

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -39-

    圓邊圓邊 (Edge Profiling)(Edge Profiling)

    高速旋轉

    低速旋轉

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -40-

    研磨研磨&&拋光拋光Lapping & PolishingLapping & Polishing

    •除去切片或輪磨所造成的鋸痕及表面損傷

    •改善晶圓的曲度、平坦度、平行度

    •具單面研磨機與雙面研磨機

    •具單面拋光機與雙面拋光機

    •挾持方式:蠟黏著、真空吸附、固定於載具盤

    •拋光過程包含了化學與機械反應機構(CMP)

    具SiO2的微細懸浮矽酸膠及NaOH(或KOH、NH4OH)

  • 台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -41-

    正摻雜(摻入的三族元素稱為受體)

    負摻雜(摻入的五族元素稱為施體)

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -42-

    晶圓最大及最小的厚度差

    彎曲度/撓曲度

    P type, 摻雜硼

    CZ長晶方法

    晶圓為Test等級

    晶圓為Prime等級

    矽晶圓的規格矽晶圓的規格

    (晶片等級區分:Prime, Test, Dummy)

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -43-

    比例與時間各家會有所差異比例與時間各家會有所差異

    SiO2會沾水Si不沾水

    或是旋乾(spinning dry)

    去除有機物

    去除氧化膜

    去除微粒子與有機物

    去除金屬

    去除氧化膜

    相當重要!!

    ((清洗矽晶圓的標準步驟清洗矽晶圓的標準步驟))

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -44-

    氫氟酸氫氟酸(HF)(HF)灼傷圖例灼傷圖例

    氫氟酸中毒逾2/3是半導體工程師

  • 台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -45-

    家用除鏽劑也會氫氟酸中毒家用除鏽劑也會氫氟酸中毒

    台北縣一名50多歲男子日前自行買清潔劑清洗家中冷氣機,但過程中他只戴棉質手套,且清潔劑沒有稀釋,不慎接觸到皮膚,之後他手指開始出現灼熱、刺痛感,一小時後,他手指僵硬、無法彎曲,緊急就醫。醫師表示,清潔劑中有氫氟酸的成分,其有「蝕骨酸」之稱,若男子再晚一步就醫,手可能會被腐蝕,甚至殘廢。

    氫氟酸俗稱「蝕骨酸」,因為它一旦接觸到人體骨頭中的鈣質,就會產生低血鈣症狀,嚴重組織會壞死,甚至殘廢。林口長庚醫院臨床毒物科主任林杰樑表示,「氫氟酸裡面含有氟,它會跟我們組織裡的鈣質結合,而且氫氟酸的氟會滲透到組織深層,造成組織的壞死。」

    清潔劑隱藏物質殺傷力強大,謹記自保3步驟,要稀釋清潔劑,口罩、手套都得戴,不小心接觸也要立刻用大量清水沖洗,當然也要保持通風,避免中毒。林杰樑指出,「一定要沖洗20分鐘,有時候剛沖洗的時候,你的疼痛會減輕,但是你不要忘了,可能會滲透到組織內。」(新聞來源:年代新聞記者饒祥以、陳俊傑)

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -46-

    實驗室用電安全實驗室用電安全

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -47-

    CMOSCMOS的結構與作用原理的結構與作用原理

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -48-

    Metal-Insulator-Semiconductor (MIS)The heart of a MOSFET

    SiO2

    Si

    Vg

    M SO

    Metal-Oxide-Silicon Field Effect TransistorMOSFET

    金屬氧化半導體場效電晶體

    Vg

  • 台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -49-

    依其傳導載子的不同可以分為PMOS及NMOS二種。若將這二種MOS合在一起使用則稱為互補式金屬氧化半導體電晶體,即為MOSFET CMOS(Complementary MOS)。

    CMOS的優點為操作時比較省電,因此一般電路佈局設計就是以CMOS為基本單元來設計。

    MOSFET

    金屬Al 或多晶矽(摻雜磷)

    場氧化層防止相臨電晶體

    發生短路

    N (P) N (P)

    P (N)型底材

    (Field oxide)

    (Source/Drain)

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -50-

    MOS電晶體構成四接點電子元件

    Vd > 0

    Vg > 0

    Vs

    Vsub

    (接地)

    電晶體可視為一種固態開關

    (接地)

    (決定開關)

    (決定電流大小) 電子流

    (接地)

    Vg < 0

    Vd < 0

    Vsub (接地)

    電洞流

    (閘極電壓)

    (底材電壓)

    (源極電壓)

    (汲極電壓)

    當半導體元件的積集度增加後,NMOS會消耗大量電力並產生熱,使得元件的穩定性與可靠度降低。

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -51-

    NMOS

    當在閘極施以正偏壓時,就會在氧化層下方薄區內感應出許多電子。當在汲極施加一個正偏壓之後,聚集的電子就可經由源極與汲極之間的電子通道導通。

    PMOS

    當在閘極(gate)施以負偏壓時,就會在氧化層下方薄區內感應出許多電洞,當在汲極(drain)施加一個負偏壓之後,聚集的電洞就可經由源極(source)與汲極之間的通道導通。

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -52-

    基礎半導體基礎半導體ICIC製程模組製程模組

  • 台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -53-

    薄膜沈積薄膜沈積

    Chemical Vapor Deposition (CVD)Chemical Vapor Deposition (CVD)

    PECVDLPCVDAPCVDMOCVD(光電薄膜沈積)

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -54-

    氧化矽薄膜沈積氧化矽薄膜沈積

    磷矽玻璃(PSG)

    APCVD (400℃左右@ 常壓下)

    PECVD (400℃以下)

    PSG與BPSG的用途說明

    離子轟擊(Ion bombardment)的效用

    熱流動(flow):將PSG與BPSG加熱至其玻璃轉換溫度(glass transition temperature, Tg)以上(850~950℃, 20~40 min),使它們產生流動現象,達到表面平坦化的目的,以利後續製程的進行。

    硼磷矽玻璃(BPSG)

    APCVD (400℃左右@ 常壓下)

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -55-

    氮化矽薄膜的應用氮化矽薄膜的應用

    Si3N4不易被氧所滲透,可作為進行場氧化層(field oxide)製作時,防止晶片表面的主動區域(active area)遭受氧化的幕罩層,此即為LOCOS(Local oxidation of silicon)製程。

    Si3N4對鹼金屬離子的防堵能力佳,且不易被水氣(moisture)所滲透,廣泛應用於半導體元件的保護層(passivation)

    SiO2的蝕刻幕罩(mask),並可作為矽晶圓以KOH蝕刻,製作矽微結構時的蝕刻幕罩(MEMS應用)

    (a) 矽晶片

    矽晶片

    54.75 o(100)(111)二氧化矽

    或氮化矽

    (b) 矽晶片

    矽晶片

    (100)(111)二氧化矽或氮化矽

    單晶矽非等向性蝕刻台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -56-

    LPCVD (700~800℃@ 0.1~1Torr)

    3SiH2Cl2(g)+7NH3 (g) → Si3N4(s) +3NH4Cl(s)+3HCl (g)+6H2 (g)

    PECVD (450℃以下)

    SiH4(g)+NH3(g) → SiNx:H(s) + +3H2 (g) x: 0.8~1.2RF+N2(g)

    (應力問題說明)

    (Silane)易爆炸

    室溫為液態

  • 台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -57-

    SputteringSputtering

    TiN, TiW

    Physical Vapor Deposition (PVD)Physical Vapor Deposition (PVD)

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -58-

    Evaporation (PVD)Evaporation (PVD)(Joule heat or Electron beam)(Joule heat or Electron beam)

    Dual E-gun evaporator(交大半導體技術中心)

    蒸鍍對合金或是化合物的沈積成份控制性差

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -59-

    膜厚之均勻性膜厚之均勻性

    利用晶片基座的公轉與自轉,增強鍍膜的均勻性

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -60-

    CVDCVD與與PVDPVD的比較的比較

    ( c )

    ( d )

    PVD的缺點︰

    階梯覆蓋(Step coverage)能力較差(CVD>濺鍍>真空蒸鍍>E-gun)

    沈積薄膜的純度不易控制(蒸鍍時坩鍋材質亦會析出附著)

    對合金或是化合物的沈積成份控制性差(不同材料蒸發速率不同)

    先進的VLSI製程裡,僅Al金屬仍應用濺鍍長膜外,其餘均改以CVD進行薄膜沈積

  • 台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -61-

    黃光微影黃光微影((lithographylithography))製程製程

    (PR=Photoresist)

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -62-

    SUSU--8 Microstructures by lithography 8 Microstructures by lithography ((定義圖案定義圖案))

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -63-

    SUSU--8 Structure filled by electroforming with Ni8 Structure filled by electroforming with Ni--Fe alloyFe alloy((精密電鑄沉積形成結構精密電鑄沉積形成結構))

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -64-

    基板

    光罩

    光阻

    紫外光曝光

    薄膜

    基板 基板

    薄膜

    正光阻 負光阻顯影

    薄膜

    基板

    薄膜

    蝕刻

    薄膜

    基板

    基板

    薄膜

    光阻去除

    薄膜

    基板

    正、負光阻微影製程示意圖

    黃光微影製程黃光微影製程

    定義光阻圖案

    蝕刻形成薄膜圖案

    去除光阻

  • 台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -65-

    光罩的設計技巧光罩的設計技巧

    光罩繪製是微影製程最基本步驟,透由光刻程序將光罩上的圖案的轉移至光阻,才能在基材上製作微結構。

    圖案(Layout)設計

    CADENCEL-EDIT

    AUTOCAD

    轉檔成GDS格式

    送件製作光罩

    交大奈米中心奈米元件實驗室

    台灣光罩台灣杜邦

    光罩的設計製作流程

    GDS圖檔送至光罩製作單位後,須註明圖案的範圍與邊界座標、最小線寬,並指定透光(Clear)與不透光(Dark)區域。Clear表示製作的光罩繪圖的區域為可透光,而Dark表示繪圖的區域為不可透光。Clear與Dark區域的選擇,則視採用的光阻種類(正、負光阻)與製程需要而定。圖案設計可由不同層(Layer)之Layout一起組成,並以不同數字編號及顏色區分層次,這些層號資料必須提供給光罩製作單位。通常每

    層Layout做一片光罩,同層圖案只能指定都是Clear或都是Dark,不可要求同層圖案有些作成Clear區域,有些則作成Dark區域。

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -66-

    光罩的設計技巧光罩的設計技巧 ((續續))微致動器設計與製作微致動器設計與製作

    1. 電路圖案

    2. 犧牲層圖案 3. 結構圖案

    ■ 透光區

    ■ 透光區 ■ 不透光區光罩佈局設計,共三道光罩

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -67-

    Source: http://daytona.ca.sandia.gov/LIGA/mask.html( Sandia National Laboratory, USA )

    PhotomaskPhotomask of UV Lithographyof UV Lithography

    (a) CAD Layout (b) Chrome Mask

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -68-

    光阻的微影程序光阻的微影程序

    Dehydration Bake

    resistresist

    VacuumSpin Coating

    Soft Bake

    Exposure

    UV

    Post Exposure Bake

    VacuumSpin Drying

    Hard Bake

    10-15min @ 250℃

    (optional)

    ? min @ ?℃

    ? dosage/?thickness

    Development(agitation)

    ? min @ ? ℃

    (Rinse)

    (Priming)

    光阻組成:樹脂(Resin)感光劑(Sentsitizer)溶劑(Solvent)

  • 台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -69-

    微影程序說明微影程序說明

    晶片前處理:去水烘烤與塗底(Hexamethyldi-silazane, HMDS)增加光阻附著性

    旋轉塗佈:影響P.R.厚度之因素有注入P.R.之體積、晶圓之大小、轉速、 P.R.黏度(光阻必須存放於冷藏櫃中,使用前再拿出室溫中放置至少30分鐘)

    HMDS Oven(精儀中心)

    Spin coater ( I )(儀科中心)

    Spin coater ( II ) RC-6(交大機械系)

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -70-

    微影程序說明微影程序說明((續續))

    預烤(pre-bake)或稱軟烤(soft-bake)

    Hot plate

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -71-

    微影程序說明微影程序說明((續續))

    曝光(exposure)與光罩對準(mask align)

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -72-

    步進照像系統(Stepper) 電子束直寫系統 (E-beam direct writer)

    微影程序說明微影程序說明((續續))

  • 台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -73-

    玻璃鍍鉻光罩

    石英鍍鉻光罩

    X-ray光罩 汞弧光燈光譜(過濾後)

    0.5μm

    線寬解析度

    0.35μm

    0.25μm

    0.18μm

    曝光源的分類曝光源的分類解析度=Kλ/NAK常數、λ波長、NA數值孔徑

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -74-

    單面光罩對準單面光罩對準

    光罩上視顯微鏡

    矽晶片對準記號

    以可見光做單面對準

    光阻

    cleardark

    Wafer︰大圖案

    mask︰小圖案

    加上邊框

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -75-

    光罩光罩--晶圓對準示意圖晶圓對準示意圖

    Patterned Wafer

    Mask 2

    Mask 3

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -76-

    實際aligner key的大小

  • 台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -77-

    Wafer chuck

    Mask holder

    單面光罩對準設備單面光罩對準設備

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -78-

    量產型曝光設備量產型曝光設備

    Source: KarlSuss technology report

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -79-以可見光做雙面對準

    放入矽晶片

    雙面光罩對準雙面光罩對準 Wafer︰小圖案mask︰大圖案

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -80-

    微影程序說明微影程序說明((續續))

    顯影(development)

    驟:

    顯影

    顯微鏡觀察系統

  • 台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -81-

    微影程序說明微影程序說明((續續))

    光阻去除(strip)溼式去光阻:有機溶劑如丙酮

    無機溶液如硫酸(H2SO4)加

    雙氧水(H2O2)

    乾式去光阻:氧電漿(O2 plasma)

    硬烤(post-bake or hard-bake)

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -82-

    Cr-7

    溼式與乾式蝕刻溼式與乾式蝕刻((注意蝕刻選擇比的觀念注意蝕刻選擇比的觀念))

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -83-

    感應耦合電漿蝕刻(ICP-RIE)系統, ITRC

    反應性離子蝕刻(RIE)系統, NTNU MOEMS Lab.

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -84-

    濕式蝕刻法 乾式蝕刻法

    溼式與乾式蝕刻溼式與乾式蝕刻

    電 漿蝕刻

    遮罩層

    結構層

  • 台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -85-

    溼式蝕刻溼式蝕刻

    蝕刻是緊接著微影後的一個重要製程,當微影步驟將光罩上的圖案轉移到光

    阻後就可以進行蝕刻,此時薄膜上黏有光阻的部分不會被蝕刻,所以光罩上

    的圖案也間接的轉移到薄膜上,平常我們所說的線寬不儘是指微影製程後的

    寬度,而是蝕刻後薄膜的線寬,因此除了微影製程解析度要高外,蝕刻製程

    後薄膜截面的輪廓更值得我們注意。

    選擇性 (selectivity) 是指薄膜的蝕刻率與光阻或蝕刻幕罩的蝕刻率之比,選擇性愈高表示蝕刻大部分對欲蝕刻的薄膜進行,對光阻或蝕刻幕罩的影響愈小

    ,則薄膜重現光阻圖案的準確性愈高。

    溼蝕刻 (wet etching)

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -86-

    基板 基板

    薄膜光阻

    等向性蝕刻

    基板

    非等向性蝕刻

    基板

    完全非等向性蝕刻

    等向性蝕刻、非等向性蝕刻與完全非等向性蝕刻

    在進行蝕刻時如果對每個方向 (xyz) 的蝕刻速率都一樣則稱為等向性蝕刻(isotropic etching) ,這種蝕刻線寬會變大而側壁 (side wall) 呈弧形,稱額外被蝕刻的部份為切底 (undercut) ;反之若每個方向的蝕刻率不同則稱為非等向性蝕刻,此時切底較改善且側壁趨向垂直,完全非等向性蝕刻是專指對垂

    直方向 (z) 進行蝕刻,側壁達到垂直 90°而且沒有切底。

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -87-

    常見半導體材料的蝕刻藥品常見半導體材料的蝕刻藥品

    資料來源:交通大學半導體技術管理中心

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -88-

    二氧化矽的蝕刻主要以氫氟酸來進行,例如

    氫氟酸與氟化氨 (NH4F) 混合的緩衝氧化矽蝕刻液 (Buffered Oxide Etchant,BOE)(NH4F:HF=6:1),氫氟酸直接與矽反應,氟化氨則補充在蝕刻反應中消耗的氟離子

    ,反應式如下式所示。由於二氧化矽的生長

    方式有溼氧、乾氧、化學氣相沈積等,而且

    還有經過摻雜的磷矽玻璃與硼磷矽玻璃,對

    氫氟酸的蝕刻速率都不一樣,基本上以氧化

    方式的二氧化矽緻密最高,所以蝕刻率較慢

    ,高摻雜硼會降低蝕刻率,而高摻雜磷則會

    增加蝕刻率。

    SiO2 + 6HF → H2 + SiF6 + 2H

    二氧化矽的蝕刻二氧化矽的蝕刻

  • 台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -89-

    Resist

    SiO2Si

    Mask

    SiSi

    Si Si

    SiO2 Si

    SiO2 SiO2

    SiO2

    SiO2

    Positive Resist Negative Resist

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -90-

    半導體常用的材料如單晶矽、多晶矽、二氧化矽、鋁等物質的蝕刻液對光阻的

    選擇性都很高,但氮化矽的蝕刻液磷酸對光阻的選擇性就很差,所以可以在氮

    化矽上先長一層二氧化矽,微影後蝕刻二氧化矽再利用二氧化矽當幕罩來蝕刻

    氮化矽,事實上溼蝕刻的進行與蝕刻液組成、攪拌 (stirring) 、溫度、薄膜生長條件、緻密度等都有關係,以下介紹幾種常用材料的溼蝕刻。

    基板 基板

    微影

    蝕刻二氧化矽

    蝕刻氮化矽

    氮化矽 二氧化矽蝕刻幕罩光阻圖案

    基板基板

    氮化矽 二氧化矽蝕刻幕罩

    利用二氧化矽當作氮化矽之蝕刻幕罩

    氮化矽的蝕刻氮化矽的蝕刻

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -91-

    蝕刻液 蝕刻物質

    單晶矽

    多晶矽

    二氧化矽

    磷矽玻璃

    氮化矽

    鋁 鎢 鈦 光阻

    49% HF 二氧化矽

    * 0 2300 3600 14 4.2 1000 0

    10H2O:1HF(49%) 二氧化矽

    * 0.7 23 470 1.1 250 0 1100 0

    85% H3PO4 160 °C 氮化矽 * 0.7 0.07 2.4 2.8 980 * * 55

    126HNO3:60H2O:5NH4F 矽 150 310 8.7 170 0.2 400 13 300 0

    33% KOH 80 °C 單晶矽 1400 >1000 7.7 38 0 >1000 0 * >1000

    16H3PO4:1HNO3:1CH3COOH:2H2O 50 °C

    鋁 *

  • 台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -93-

    substrate

    resist

    (a) Define resist

    (b) Metal deposition

    Metal source

    Acetone

    (c) Strip resist

    Construction of metallization patterns by liftConstruction of metallization patterns by lift--off processoff process

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -94-

    Negativemask

    Positivemask

    Metallization

    Photoresist

    Substrate

    Lift-offEtching

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -95-

    乾蝕刻沒有液態的蝕刻

    溶液,主要分為物理濺

    擊或離子銑削、電漿蝕

    刻、與介於兩者之間的

    活性離子蝕刻三類,右

    圖是三者蝕刻特性與壓

    力、激發能量的分類關

    係圖。

    乾蝕刻 (dry etching)

    小於100 millitorr

    100 millitorr範圍

    壓力較高

    物理濺擊或離子銑削

    物理動能傳輸

    非等向性蝕刻

    表面破壞

    活性離子蝕刻

    兼具物理與化學

    可控制蝕刻之非等向性

    選擇性適中

    化學反應, 速度快

    等向性蝕刻

    選擇性較高

    表面較少破壞

    高激發能量

    電漿蝕刻

    物理濺擊或離子銑削、電漿蝕刻、與活性離子蝕刻之關係

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -96-

    Plasma

    etch stop

    masksubstratePR, metal, Si3N4, SiO2, Si, Polysilicon…...

    PR, metal, SiO2, Si, Polysilicon…...

    Mask材料選擇的原則:高選擇比, 蝕刻深度, 非等向性…

    乾式蝕刻乾式蝕刻

  • 台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -97-

    物理濺擊或離子銑削與濺鍍非常相似,偏向於物理性的碰撞與能量轉移,

    首先將氣體解離或激發成電漿同時施予一陰極電壓,此時帶正電的離子會

    因為電位差而加速往電極板表面衝撞,稱為離子轟擊(Ion bombardment) ,

    若將晶片置於電極板就可以對薄膜進行離子轟擊,所以具有非等向性蝕刻

    的特性,但相對的選擇性較差且容易造成薄膜表面的破壞。

    電漿蝕刻比較依賴化學反應來進行蝕刻,所以偏向等向性蝕刻,選擇性較

    高且對薄膜表面的破壞較少,其原理是將反應氣體解離成對薄膜具有反應

    性的離子 (電漿) ,離子與薄膜進行化學反應 (蝕刻) 產生輝發性物質,再經

    由真空系統抽出。

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -98-

    活性離子蝕刻(反應性離子蝕刻, Reactive ion etching, RIE)兼具物理與化學的特性,係適當的選擇與薄膜進行反應 (蝕刻) 之氣體,通入反應室中並解離成電漿,並施與一偏壓,讓離子轟擊與電漿蝕刻同時進行,所以

    具有某種程度的非等向性蝕刻,而且選擇性足以讓人接受,所以應用比

    較廣,下圖是活性離子蝕刻系統的示意圖。

    射頻電源

    晶片

    進氣

    氣體

    流量控制

    真空幫浦

    節流閥

    +

    活性離子蝕刻系統示意圖

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -99-

    幾種常用薄膜活性離子蝕刻之氣體與蝕刻率幾種常用薄膜活性離子蝕刻之氣體與蝕刻率 (nm/min) (nm/min) 比較比較 ((本表節錄自本表節錄自 Journal Journal of Microof Micro--ElectroElectro--Mechanical SystemsMechanical Systems,,Vol.5 Vol.5 ,, No.4 No.4 ,第,第262262頁頁) )

    註:單晶矽為 矽;多晶矽為 n+ ;二氧化矽為溼氧化;磷矽玻璃為經過退火處理;鋁含 2% 的矽;鎢與鈦都是利用濺鍍沈積;光阻為 OCG 820 PR

    蝕刻氣體 (sccm) 蝕刻物質

    單晶矽

    多晶矽

    二氧化矽

    磷矽玻璃

    氮化矽

    鋁 鎢 鈦 光阻

    90CF4/30CHF3/120He 二氧化矽

    >10 190 470 620 180 * >10 >10 220

    13SF6/21He 氮化矽 30 73 31 48 82 * >10 >10 69

    45CF4/15CHF3/60He 富矽氮化矽

    >10 35 32 45 76 * >10 >10 40

    180Cl2/400He 矽 >10 340 0.8 14 56 >10 >10 * 300

    30Cl2/50BCl3/20CHCl3/50N2

    鋁 >10 450 68 74 93 600 >10 * 630

    80SF6 鎢 >10 580 120 150 260 * 280 >10 240

    51O2 光阻 * 0 0 0 0 0 0 * 340

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -100-

    熱製程與離子摻雜熱製程與離子摻雜

    熱製程:熱氧化層成長(thermal oxide layer)

    把矽晶片曝露於高溫且含氧的環境中一段時間之後,即可在晶片表面長成(grow)一層與矽的附著性良好,且電性符合絕緣體要求的SiO2,可作為MOS結構中的墊氧化層(pad oxide)、場氧化層(field oxide),及犧牲氧化層(sacrificial oxide)。事實上,矽晶片於室溫空氣中即可產生厚度約數Å到20 Å的SiO2層,稱為原始氧化層(native oxide)。

    Si(s)+O2(g) → SiO2(s) 乾式氧化(dry oxidation) (沉積慢、緻密度高)

    Si(s)+2H2O (g) → SiO2(s) +2H2 (g) 溼式氧化(wet oxidation) (沉積快、緻密度低)

    900℃以上

    600℃以上

    注意通氧氣(先)與氫氣(後)的順序與濃度…..氫過量易造成曝炸。通入氧氣對氫氣的比例為1.3~1.5,必須小於2,且較高的爐管溫度,可避免未反應氫氣累積,發生氫爆。

    2H2 (g) +O2(g) → 2H2O (g)Δ

    Δ

    Δ

  • 台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -101-

    熱製程熱製程

    熱氧化處理需要在高溫爐管區中進行,爐內溫度控制在800 - 1000℃。矽晶圓在爐內高溫環境下,晶圓表面會與通入爐管內之氧氣作用而形成二氧化矽膜(SiO2)。

    氧化矽成長氧化矽成長

    SiO2

    Si

    (a) 熱氧化成長SiO2

    (b) 微影及BOE蝕刻SiO2

    Si

    SiO2PR

    Si

    (c) TMAH蝕刻液中以不同操作條件蝕刻

    SiO2

    氧化矽作為蝕刻遮罩氧化矽作為蝕刻遮罩

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -102-

    回火(annealing):回火在半導體製程的應用,主要是著眼於恢復或改善半導體材料的電子性能,或降低因製程所產生的內應力。主要的回火製程應用於:

    (a)後離子植入(post ion implantation)的回火, (b)金屬矽化物(silicide)的回火, (c)薄膜沈積或薄膜成長後的應力消除。

    熱製程熱製程 ((續續))

    矽化反應(silicide reaction):Ti(s) + 2Si(s) → TiSi2(s)Δ

    為何要作矽化反應? Si (最下層)- TiSi2 – TiN– Al(最上層)的關係說明Al是半導體製程最常用的金屬,但Si與Al的界面並不安定

    在Si與Al的界面增加一層TiN作為阻障層(barrier layer)(用來隔離Si與Al)

    TiN造成MOS元件之歐姆式接觸能力降低,以導電性較好的TiSi2加於Si與TiN的界面

    MOS元件的接觸金屬(contact metal)實際上是TiSi2 (下層)、TiN (中層)、Al(上層)

    三層結構

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -103-

    薄膜應力的控制薄膜應力的控制

    Heavily Boron Doped Silicon Layer Microstructures

    未經處理之P+ layer (高應力形變)

    經退火處理之P+ layer (低應力形變)

    中區微機電中心技術資料

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -104-

    固化(curing):加溫用來蒸除(evaporate)某些薄膜材料內的有機溶劑,以增加薄膜的密度。

    熱流動(flow):將PSG(磷矽玻璃)與BPSG(硼磷矽玻璃)加熱至其玻璃轉換溫度(glass transition temperature, Tg)以上(850~950℃, 20~40 min),使它們產生流動現

    象,達到平坦化的目的。

    熱製程熱製程 ((續續))

    半導體元件的積集度增加,需要多層金屬層,才能完成整個IC導線的連接。

    多重金屬層的製作需要非常平坦的介電層(CMP發展的主因)。

    SOG (Spin-on glass)是一種液態SiO2,晶片旋塗SOG後可增加平坦性。

    為將SOG轉換成固態SiO2層,必須將晶片置於400~450℃的爐管,蒸除SOG內的溶劑

  • 台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -105- 台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -106-

    基板 基板

    薄膜 旋塗式玻璃溝渠

    (a) (b)

    旋塗式玻璃 (spin-on glass; SOG) 是形成薄膜也是平坦化一種方式,如圖將溶

    於溶劑中的介電物質旋轉塗佈在需要平坦化的晶片上,使介電物質隨著溶劑

    填入溝渠中,再經過高溫固化,形成近似二氧化矽的物質在晶片上,讓表面

    輪廓比較平滑達到局部平坦化的目的,使後續的製程得以順利進行。

    旋塗式玻璃局部平坦化

    SOGSOG的平坦化製程的平坦化製程

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -107-

    離子摻雜離子摻雜(doping)(doping)擴散法擴散法(diffusion)(diffusion)

    擴散可分為兩個主要步驟,預置 (predeposition) 和趨入(drive-in),在預置時摻雜物質經由氣體帶入爐管中,溫度通常大於 800 °C ,使定量的摻雜物質進入晶片表面,趨入步驟則是藉由一定時間的高溫擴散,使摻雜物質重新擴散為所

    需的濃度分佈曲線。

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -108-

    依其傳導載子的不同可以分為PMOS及NMOS二種。若將這二種MOS合在一起使用則稱為互補式金屬氧化半導體電晶體,即為MOSFET CMOS(Complementary MOS)。

    CMOS的優點為操作時比較省電,因此一般電路佈局設計就是以CMOS為基本單元來設計。

    MOSFET

    金屬Al 或多晶矽(摻雜磷)

    場氧化層防止相臨電晶體

    發生短路

    N (P) N (P)

    P (N)型底材

    (Field oxide)

    (Source/Drain)

  • 台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -109-

    氧化擴散高溫爐氧化擴散高溫爐

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -110-

    離子植入離子植入(ion implantation)(ion implantation)

    離子佈植是將經由高電壓加速的帶電原子或分子直接打入基材,最原始的目的是將欲摻雜

    的離子打入晶片中,因為比擴散法更能將所需要的摻雜物質準確的打入且控制濃度分佈,

    所以在積體電路中的應用很廣,例如製作 CMOS 電晶體中的源極 (source) 與汲極 (drain) 、 n 井與通道阻絕 (channel stop) 等。

    離子植入法可藉由控制離子束電流量與施加電壓,掌握摻雜的濃度與深度。

    離子植入法具良好的摻質縱向分佈控制,而熱擴散法離子層的分佈會產生橫向擴張現象。

    而在微機電系統中最重要的應用就是在單晶矽上佈植入 p 型摻雜物質,利用氫氧化鉀對 p 型摻雜單晶矽的低蝕刻率來製作微機械結構,不過由於離子佈值機價格非常昂貴,而摻雜

    大部分可以利用較便宜的擴散製程完成,所以若就價格、效益、及微機電系統的製程來考

    量,離子佈值機的投資似乎值得商確。

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -111-

    擴散摻雜

    離子佈植是將所需的摻雜元素(如砷)電離成正離子,並施加高偏壓,使其獲得一定的動能,以高速射入矽晶圓的技術。

    離子植入離子植入Ion implantationIon implantation

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -112-

    離子植入系統的說明離子植入系統的說明

    先在反應室中加電壓使電子加速與氣體產碰撞而

    產生電漿,亦即具有帶負電、正電或電中性的離

    子與分子、原子團 (radicals) 等,接著藉由萃取電極 (extraction electrode) 之高負電壓將帶正電離子分離出,往解析磁場 (analyzing magnetic) 前進,解析磁場會分離出真正需要的離子,以 BF3 所產生的離子 BF2+ 、 B++ 與 B+為例,在經過解析磁場後只有一種離子被選擇出來進行佈植,然後進

    入加速管 (acceleration tube) 中加速離子並聚焦(focusing) ,同時分離出電中性離子束 (neutral beam) ,最後帶電離子束進入掃瞄系統 (scanning system) 對晶片進行離子佈植,整個製程得在高真空中進行,而且特別注意離子佈植製程不僅設備

    複雜昂貴,而且充滿毒氣、高電壓、幅射與強

    磁場。

  • 台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -113-

    無塵室無塵室(Clean room or FAB) (Clean room or FAB) 組成與工作須知組成與工作須知

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -114-

    Clean room

    Sub fab

    Basement

    Abatementequipment

    Chemical blend & dispense equipment

    Bulk gas purifiers

    Chillers Gas bunkers

    Bulk gas pad

    Specialgases

    Vacuumpumps

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -115-

    無塵實驗室與生產工廠無塵實驗室與生產工廠

    實現微實現微//奈米元件的場所奈米元件的場所

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -116-

    無塵室縱斷面圖

  • 台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -117-

    無塵室之構成無塵室之構成((續續))

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -118-

    無塵室工作須知無塵室工作須知((自行研讀自行研讀)):重要!關係到生命安全:重要!關係到生命安全

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -119- 台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -120-

    化學物處理注意事項化學物處理注意事項

  • 台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -121-

    化學物處理注意事項化學物處理注意事項 ((續續))

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -122-

    影片輔助教材播放影片輔助教材播放

    台灣師範大學機電科技學系

    C. R. Yang, NTNU MT

    -123-

    參考文獻參考文獻

    莊達人, VLSI製造技術, 高立圖書公司

    張勁燕, 半導體製程設備, 五南圖書公司

    林明獻, 矽晶圓半導體材料技術, 全華圖書公司

    交通大學半導體技術管理中心技術資料

    潘吉祥, MEMS技術資料, 勤益技術學院

    國科會精儀中心, MEMS技術資料