56
UNIVERSIDAD NACIONAL DE LOJA ÁREA DE LA ENERGÍA, LAS INDUSTRIAS Y LOS RECURSOS NATURALES NO RENOVABLES Ingenieria en Electrónica y Telecomunicaciones MODULO: III PARALELO: “A” TITULO: Generador de Funciones con Amplificadores Operacionales. AUTORES : -Martínez Paúl. - Ordoñez Cristian. - Quezada Eduardo - Torres Alexis. - Villa Diego. TUTOR: Ing. Yarima Díaz. 2010 - 2011

Generador de Funciones

Embed Size (px)

DESCRIPTION

proyecto

Citation preview

Page 1: Generador de Funciones

UUNNIIVVEERRSSIIDDAADD NNAACCIIOONNAALL DDEE LLOOJJAA

ÁÁRREEAA DDEE LLAA EENNEERRGGÍÍAA,, LLAASS IINNDDUUSSTTRRIIAASS YY LLOOSS RREECCUURRSSOOSS

NNAATTUURRAALLEESS NNOO RREENNOOVVAABBLLEESS

Ingenieria en Electrónica y Telecomunicaciones

MODULO: III

PARALELO: “A”

TITULO: Generador de Funciones con

Amplificadores Operacionales.

AUTORES: -Martínez Paúl.

- Ordoñez Cristian.

- Quezada Eduardo

- Torres Alexis.

- Villa Diego.

TUTOR: Ing. Yarima Díaz.

2010 - 2011

Page 2: Generador de Funciones

2

2. ÍNDICE.

CONTENIDOS

1. PRESENTACIÓN...……...………………………………………………………...…1

2. ÍNDICE. ........................................................................................................................ 2

3. RESUMEN. .................................................................................................................. 4

4. INTRODUCCIÓN. ....................................................................................................... 6

5. FUNDAMENTOS TEÓRICOS ................................................................................. 12

6. EVALUACIÓN DEL OBJETO DE INVESTIGACIÓN. .......................................... 29

7. EVALUACIÓN DE LA PROPUESTA INVESTIGATIVA. .................................... 40

8. VALORACIÓN TÉCNICA, ECONÓMICA Y AMBIENTAL. ................................ 46

9. CONCLUSIONES. ..................................................................................................... 49

10. RECOMENDACIONES. ......................................................................................... 51

11. BIBLIOGRAFÍA. ..................................................................................................... 53

12. ANEXOS. ................................................................................................................. 55

Page 3: Generador de Funciones

3

Page 4: Generador de Funciones
Page 5: Generador de Funciones

4

3. RESUMEN.

Page 6: Generador de Funciones
Page 7: Generador de Funciones

6

4. INTRODUCCIÓN.

4.1. ANTECEDENTES.

Un generador de funciones es un instrumento versátil que genera diferentes formas de

onda cuyas frecuencias son ajustables en un amplio rango. Las salidas más frecuentes

son ondas senoidales, triangulares, cuadradas y diente de sierra. Las frecuencias de estas

ondas pueden ser ajustadas desde una fracción de hertzios hasta varios cientos de

kilohertzios.

Es un instrumento necesario en el laboratorio de electrónica, ya que proporciona

señales de ac ajustables para utilizarlas al operar un amplificador u otros circuitos

lineales, además de sistemas de comunicación.

Este instrumento además brinda la facilidad de variar las señales tanto en frecuencia y

amplitud, generalmente este control se lo realiza de forma analógica, y con varios

dispositivos que vuelven complejo el manejo del mismo, pero en este proyecto

utilizaremos el mencionado ya que no nos compete aun hacerlo de una forma digital.

Los generadores de señales para uso electrónico se clasifican en dos categorías:

generadores de audio y generadores de radiofrecuencia. Los de audio (AF) cubren el

rango de frecuencias desde 0.1 Hz hasta 100 kHz y los de radio frecuencia (RF) el rango

100 kHz hasta 300 MHz o más. El generador que construiremos en este proyecto es del

primer tipo.

La razón que nos motivo para realizar el presente proyecto fue la necesidad de

implementar generadores de funciones en los laboratorios de Electricidad y Electrónica,

ya que con el pasar de los años los equipos existentes han sufrido averías, lo cual no

permiten desarrollar las actividades con normalidad.

Existen varios procedimientos para construir un Generador de Funciones, como son el

caso de la construcción con Circuitos Integrados específicos y la construcción con

Amplificadores Operacionales los cuales tienen grandes diferencias a la hora de

Page 8: Generador de Funciones

7

funcionar, el circuito que nos presta más ventajas son los construidos con Circuitos

Integrados los cuales presentan menos ruido en las ondas de salida, sin embargo en este

caso, se ha optado por el uso de los Amplificadores Operacionales.

Page 9: Generador de Funciones

8

4.2. MATERIALES PARA LA INSTALACIONES.

Para la fuente de Alimentación:

Transformador reductor de voltaje de tres salidas (12-0-12).

1 Interruptor para encender todo el circuito.

Puente rectificador de onda completa.

2 Condensadores electrolíticos de 3300uF/25V (C1 y C2)

2 Condensadores electrolíticos de 22uF/25V (C3 y C4), para mejorar la calidad

de la salida de ±12V.

3 Conectores de 3 Pines, para la entrada desde el transformador y para las

salidas de las fuentes de alimentación.

2 Diodos 1N4001.

2 Diodos 1N4148.

Reguladores Integrados de Tensión:

LM7812 (salida de +12V)

LM7912 (salida de -12V)

Para el Generador de Funciones:

4 Amplificadores Operacionales TL081.

1 Capacitor Cerámico de 100nF.

6 Diodos 1N4148.

1 Potenciómetro de 100K (R1).

4 Resistencias de 1kΩ (a ¼ watt) (R2, R9, R19, R20).

2 Resistencias de 82Ω (a ¼ watt) (R3, R14).

1 Resistencia de 1MΩ (a ¼ watt) (R4).

1 Resistencia de 120kΩ (a ¼ watt) (R5).

1 Resistencia de 15kΩ (a ¼ watt) (R6).

Potenciómetro de 2kΩ (a ¼ watt) (R7).

1 Resistencia de 68Ω (a ¼ watt) (R8).

2 Resistencias de 120Ω (a ¼ watt) (R10, R13).

Page 10: Generador de Funciones

9

2 Resistencias de 220Ω (a ¼ watt) (R11, R12).

1 Resistencia de 47kΩ (a ¼ watt) (R15).

1 Resistencia de 18kΩ (a ¼ watt) (R16).

1 Resistencia de 560Ω (a ¼ watt) (R17).

2 Resistencias de 10kΩ (a ¼ watt) (R18, R22).

1 Resistencia de 9.1kΩ (a ¼ watt) (R21).

1 Resistencia de 5.6kΩ (a ¼ watt) (R23).

1 Potenciómetro para placa (Trimmer) de 1kΩ (R24).

1 Conector de 3 pines para la alimentación del Circuito.

2 Conectores de 2 pines para sacar los potenciómetros desde la placa.

1 Interruptor de 4 Posiciones.

1 Conector de 4 pines para el interruptor.

1 Diodo Led.

Otros materiales:

Cable Gemelo.

1 Placa de baquelita virgen.

1 Multímetro.

Brocas milimétricas.

1 Caja de acrílico.

1 Enchufe.

Papel fotográfico.

Cautín y estaño.

Conectores tipo Banana

Page 11: Generador de Funciones

10

4.3. OBJETIVOS.

OBJETIVO GENERAL:

Implementar un generador de funciones con amplificadores operacionales tres

formas de onda: sinusoidal, triangular y cuadrada.

OBJETIVOS ESPECÍFICOS:

Comprender el funcionamiento del los componentes del generador de señales.

Aplicar los conocimientos adquiridos en el presente módulo.

Page 12: Generador de Funciones
Page 13: Generador de Funciones

12

5. FUNDAMENTOS TEÓRICOS

5.1. ELEMENTOS QUE SE EMPLEAN EN LOS DISPOSITIVOS.

5.1.1 ELEMENTOS USADOS EN LA FUENTE DE ALIMENTACIÓN.

Transformador reductor: Dispositivo utilizado reducir el voltaje. Está

formado por dos bobinas acopladas magnéticamente entre sí, más sus

conexiones de entrada y salida.

Puente de Diodos: Su construcción se basa en la unión PN siendo su

principal aplicación como rectificadores. Este tipo de diodos (normalmente

de silicio) soportan elevadas temperaturas (hasta 200ºC en la unión), siendo su

resistencia muy baja y la corriente en tensión inversa muy pequeña.

Regulador de voltaje: Es un equipo eléctrico que acepta una tensión de voltaje

variable a la entrada, dentro de un parámetro predeterminado y mantiene a la

salida una tensión constante. Un regulador tiene como función mantener la

Fig. 2. Rectificador.

Fig. 1. Transformador.

Page 14: Generador de Funciones

13

tensión de salida “Vo” en un valor predeterminado, sobre el rango esperado de

corriente de carga, independientemente de las variaciones de la corriente de la

carga, la tensión de entrada al regulador Vi.

Condensador: es un componente pasivo que almacena energía eléctrica. Está

formado por un par de superficies conductoras en situación de influencia total

(esto es, que todas las líneas de campo eléctrico que parten de una van a parar a

la otra), generalmente en forma de tablas, esferas o láminas, separados por un

material dieléctrico (siendo este utilizado en un condensador para disminuir el

campo eléctrico, ya que actúa como aislante) o por el vacío, que, sometidos a

una diferencia de potencial (d.d.p.) adquieren una determinada carga eléctrica,

positiva en una de las placas y negativa en la otra (siendo nula la carga total

almacenada).

Fig. 3. Regulador de Voltaje.

Fig. 4. Tipos de Condensadores.

Page 15: Generador de Funciones

14

Diodos Rectificadores: Un diodo rectificador es uno de los dispositivos de la

familia de los diodos más sencillos. Su nombre procede de su aplicación, la cual

consiste en separar los ciclos positivos de una señal de corriente alterna.

Si se aplica al diodo una tensión de corriente alterna durante los semi-ciclos

positivos, se polariza en forma directa; de esta manera, permite el paso de la

corriente eléctrica. Pero durante los semi-ciclos negativos, el diodo se polariza

de manera inversa; con ello, evita el paso de la corriente en tal sentido.

Durante la fabricación de los diodos rectificadores, se consideran tres factores: la

frecuencia máxima en que realizan correctamente su función, la corriente

máxima en que pueden conducir en sentido directo y las tensiones directa e

inversa máximas que soportarán.

Una de las aplicaciones clásicas de los diodos rectificadores, es en las fuentes de

alimentación; aquí, convierten una señal de corriente alterna en otra de corriente

directa.

Diodos de Señal.- Este tipo de diodo es construido en germanio y se utiliza para

la detección de pequeñas señales, o señales débiles, por lo que trabaja con

pequeñas corrientes. La tensión Umbral, o tensión a partir de la cual el diodo,

polarizado directamente, comienza a conducir, suele ser inferior a la del diodo

rectificador. O sea el voltaje de umbral es aproximadamente 0,3 voltios.

Fig. 5. Diodo Rectificador.

Page 16: Generador de Funciones

15

5.1.2. ELEMENTOS USADOS EN EL GENERADOR DE SEÑALES.

Amplificadores Operacionales.- Un amplificador operacional (comúnmente

abreviado A.O. u op-amp), es un circuito electrónico (normalmente se presenta

como circuito integrado) que tiene dos entradas y una salida. La salida es la

diferencia de las dos entradas multiplicada por un factor (G) (ganancia):

Vout = G·(V+ − V−) . Se caracteriza por ser un circuito de muy alta ganancia,

impedancia de entrada elevada e impedancia de salida baja.

El A.O. ideal tiene una ganancia infinita, una impedancia de entrada infinita, un

ancho de banda también infinito, una impedancia de salida nula, un tiempo de

respuesta nulo y ningún ruido. Como la impedancia de entrada es infinita

también se dice que las corrientes de entrada son cero.

Fig. 6. Diodo de Señal.

Fig. 7. Amplificadores Operacionales de 8 Pines: Símbolo y Vista Real.

Page 17: Generador de Funciones

16

Potenciómetro: se aplican en circuitos donde la variación de resistencia la

efectúa el usuario desde el exterior. Los potenciómetros, éstos se conectan en

paralelo al circuito y se comporta como un divisor de voltaje.

Resistencia: Se denomina resistor o resistencia al componente electrónico

diseñado para introducir una resistencia eléctrica determinada entre dos puntos

de un circuito. En otros casos, como en las planchas, calentadores, etc., las

resistencias se emplean para producir calor aprovechando el efecto Joule. Entre

los técnicos es frecuente utilizar el término resistor por ser más preciso que

resistencia.

La corriente máxima en un resistor viene condicionada por la máxima potencia

que puede disipar su cuerpo. Esta potencia se puede identificar visualmente a

partir del diámetro sin que sea necesaria otra indicación. Los valores más

corrientes son 0,25 W, 0,5 W y 1 W.

LED (diodo emisor de luz): Es un dispositivo semiconductor que emite luz

incoherente de espectro reducido cuando se polariza de forma directa la unión

Fig. 9. Resistencia.

Fig. 8. Potenciómetros.

Page 18: Generador de Funciones

17

PN del mismo y circula por él una corriente eléctrica. Este fenómeno es una

forma de electroluminiscencia. El color, depende del material semiconductor

empleado en la construcción del diodo y puede variar desde el ultravioleta,

pasando por el visible, hasta el infrarrojo.

Los componentes restantes, tales como los capacitores y los diodos de señal, ya

han sido descritos anteriormente.

Fig. 10. LED.

Page 19: Generador de Funciones

18

5.2. FUNCIONAMIENTO INTERNO DE LOS EQUIPOS.

5.2.1. FUNCIONAMIENTO INTERNO DE LA FUENTE DE ALIMENTACIÓN.

El funcionamiento interno de una fuente de alimentación ya ha sido estudiado

encontrado y comprendido anteriormente, por lo que en esta ocasión nos centraremos

más en la descripción del funcionamiento interno del generador de señales.

5.2.2. FUNCIONAMIENTO INTERNO DEL GENERADOR DE SEÑALES.

Por medio de un circuito asociado determinado, el amplificador operacional se puede

utilizar como:

Amplificador inversor

Amplificador no inversor

Sumador

Separador

Integrador

Diferenciador

Oscilador, etc.

En este caso, usaremos el Amplificador Operacional como oscilador de onda cuadrada,

para ello nos valemos del circuito de la Fig. 11. que entrega una señal de forma de onda

cuadrada en su salida.

Page 20: Generador de Funciones

19

.

Como la ganancia del Amplificador Operacional es muy alta, una pequeña diferencia de

tensión entre los puntos A y B lleva a la salida al nivel de VCC ó -VEE; por tanto la

salida tendrá un estado de saturación.

Por ejemplo, si VA>VB, entonces Vo = -VEE (Vo = Tensión de Salida); si VA<VB,

entonces Vo = +VCC.

Si suponemos que la salida del circuito de la Fig. 11. está en estado alto (Vo = VCC), en

esas condiciones, como li = 0 debido a la alta impedancia del A.O. se tiene que:

𝐼1 = 𝑉𝐶𝐶

𝑅1 + 𝑅2

Luego, la tensión en el punto B (entrada positiva del A.O.) será:

𝑉𝐵 = 𝐼1 𝑥 𝑅2

Fig. 11. Amplificador Operacional configurado como

Oscilador de Onda Cuadrada.

Page 21: Generador de Funciones

20

𝑉𝐵 = 𝑉𝐶𝐶

𝑅1 + 𝑅2 𝑅2

Es decir, en el primer instante de análisis, el capacitor C está descargado por lo cual:

VA = 0 y 𝑉𝐵 = 𝑉𝐶𝐶

𝑅1+𝑅2 𝑅2

Por lo tanto VA < VB, lo que justifica que la salida esté en estado alto (Vo= +VCC). En

esas condiciones el capacitor se carga desde VCC a través de R1.

La tensión que adquiere el capacitor con el transcurso del tiempo es:

𝑉′𝐴 = 𝑉𝐶𝐶 ∗ 1 − 𝑒−(𝑡

𝑅𝑥𝐶)

Cuando la tensión sobre el capacitor supera la tensión del punto B se cumple que VA >

VB y la salida cambia de estado, es decir, Vo = -VEE.

En ese momento la tensión en el punto B es negativa ya que:

𝑉𝐵 = −𝑉𝐸𝐸

𝑅1𝑥𝑅2 ∗ 𝑅2

Fig. 12. Carga del Capacitor.

Page 22: Generador de Funciones

21

Por esto, cambia de signo la tensión de carga del capacitor, razón por la cual el capacitor

se descarga hasta que VA = 0 cargándose luego con una tensión negativa respecto a

tierra.

Esta situación se repite cíclicamente, lo que permite tener una señal de onda cuadrada

en la salida de operacional y una señal triangular o diente de sierra en el punto A. El

período de carga y descarga de C puede variarse a través de R; es decir, si R es variable

se tendrá señales de frecuencia variable a voluntad del operador.

Se puede demostrar que en el entorno de "0" volt de la señal diente de sierra, la tensión

crece o decrece casi en forma lineal, por lo tanto si hacemos que el operacional cambie

de estado para tensiones próximas a cero volt, en el punto A tendré una señal triangular

de bajo nivel.

Para tener una señal triangular casi perfecta, se debe considerar:

𝑅2 ≪ 𝑅1

Fig. 13. Descarga del Capacitor.

Page 23: Generador de Funciones

22

Con lo que el valor de 𝑅2 debe ser máximo el valor de 𝑅1

10

Luego, haciendo cálculos matemáticos es fácil deducir que el punto B oscilará entre dos

valores con crecimiento lineal (onda triangular), estos valores son:

𝑉𝐵 =𝑉𝐶𝐶

11 y 𝑉′𝐵 =

−𝑉𝐸𝐸

11

Con esta consideración, el oscilador de la Fig. 11. entregará señales de forma de onda

cuadrada y triangular, pero como la señal triangular es de menor amplitud, se la

amplifica por medio de un A.O. dispuesto en Configuración No Inversor según

muestra la Fig. 14.

.

La ganancia del segundo amplificador operacional (Vo2) de esa figura se calcula:

𝑉02

𝑉𝐴=

−𝑅3

𝑅4

Entonces debemos encontrar una relación (R3/R4) adecuada para que la amplitud de la

onda triangular sea igual a la amplitud de la señal de onda cuadrada.

Fig. 14. Generación de Onda Triangular.

Page 24: Generador de Funciones

23

Para generar una señal de forma de onda senoidal se utiliza un conformador de onda

que es una matriz formada por diodos y resistencias como muestra la Fig. 15.

Esta de diodos matriz transforma la onda triangular en onda senoidal, ya que reduce la

pendiente de la señal diente de sierra a medida que aumenta su amplitud., esta señal

obtenida se aplica a un A.O. implementando como amplificador no inversor de corriente

continua.

En realidad, la forma de onda resultante senoidal puede considerarse como una serie de

tramos rectos que cambian de pendiente cada cuarto de ciclo. R19, P2 y R20 forman un

divisor resistivo para que la señal triangular a conformar tenga la amplitud necesaria

con el objeto de tener una onda senoidal con un contenido armónico inferior al 3%.

Fig. 15. Generación de Onda Senoidal

Page 25: Generador de Funciones

24

Así, tenemos un generador de onda cuadrada, rectangular y senoidal de amplitud

constante aproximadamente igual a (VCC + VEE) y frecuencia variable

dependiente de la carga y descarga de C a través de R.

Page 26: Generador de Funciones

25

5.3. PROCESO DE CONSTRUCCIÓN DE LOS EQUIPOS.

En el desarrollo del proyecto, se siguió el siguiente procedimiento:

5.3.1. DEL CIRCUITO EN EL PROTOBOARD.

Primeramente, se realizó las simulaciones correspondientes de los circuitos a

implementar en el programa Multisim 10.1, para obtener simular del correcto

funcionamiento de éstos.

Luego, se dispuso la colocación de los elementos uno a uno en el Protoboard,

cerciorándonos de hacer las conexiones correctas; en esta ocasión, solo se realizo la

implementación de las fuentes de alimentación para comprobar el correcto

funcionamiento; y una vez que se observó que se presentaban valores permisibles, se

procedió a pasar a la placa el circuito de la fuente de alimentación.

No se realizó el armado del Generador de Funciones en el Protoboard, debido a que éste

no responde adecuadamente a altas frecuencias, por lo que se lo pasó directamente a la

placa para luego ser armado y probado.

5.3.2. DEL CIRCUITO EN LA PLACA.

Se recortó la placa de baquelita virgen en tamaños adecuados para pasar los PCB’s a la

placa; luego se los preparó adecuadamente; y se realizó el planchado del papel

fotográfico por un intervalo de 4-5 minutos, para que el circuito quede marcado

correctamente en la placa.

Luego se procedió a preparar el ácido para quemar las placas, colocando el ácido y el

agua en proporciones adecuadas.

Luego se sumergió las placas de baquelita en la solución antes preparada, para eliminar

el cobre innecesario, y se las dejó sumergidas por un tiempo aproximado de 2 horas.

Page 27: Generador de Funciones

26

Después se procedió a realizar la perforación de las placas para poder colocar cada uno

de los componentes en sus respectivos lugares y luego soldarlos.

Luego de tener todos los componentes soldados, se procedió a comunicar la placa de

alimentación con el circuito del generador de funciones y realizar las pruebas

correspondientes.

Fig. 16. Componentes Soldados en las Placas

Page 28: Generador de Funciones

27

Una vez comprobado completamente, se lo ensambló dentro del chasis y se finalizó con

su construcción.

Fig. 17. Circuito listo para pruebas.

Page 29: Generador de Funciones
Page 30: Generador de Funciones

29

6. EVALUACIÓN DEL OBJETO DE INVESTIGACIÓN.

6.1. CARACTERÍSTICAS DE LOS ELEMENTOS.

TRANSFORMADOR.

Es un dispositivo eléctrico que permite aumentar o disminuir la tensión en un

circuito eléctrico de corriente alterna, manteniendo la frecuencia. La potencia

que ingresa al equipo, en el caso de un transformador ideal (esto es, sin

pérdidas), es igual a la que se obtiene a la salida. Las máquinas reales presentan

un pequeño porcentaje de pérdidas, dependiendo de su diseño, tamaño, etc.

El transformador es un dispositivo que convierte la energía eléctrica alterna de

un cierto nivel de voltaje, en energía alterna de otro nivel de voltaje, por medio

de la acción de un campo magnético. Está constituido por dos o más bobinas de

material conductor, aisladas entre sí eléctricamente por lo general enrolladas

alrededor de un mismo núcleo de material ferro magnético. La única conexión

entre las bobinas la constituye el flujo magnético común que se establece en el

núcleo. Se basa en el fenómeno de la inducción electromagnética y están

constituidos, en su forma más simple, por dos bobinas devanadas sobre un

núcleo cerrado de hierro dulce o hierro silicio. Las bobinas o devanados se

denominan primario y secundario según correspondan a la entrada o salida del

sistema en cuestión, respectivamente. También existen transformadores con más

devanados; en este caso, puede existir un devanado "terciario", de menor tensión

que el secundario.

La relación de transformación nos indica el aumento ó decremento que sufre

el valor de la tensión de salida con respecto a la tensión de entrada, esto quiere

decir, por cada volt de entrada cuántos volts hay en la salida del transformador.

La relación entre la fuerza electromotriz inductora (Ep), la aplicada al devanado

primario y la fuerza electromotriz inducida (Es), la obtenida en el secundario, es

Page 31: Generador de Funciones

30

directamente proporcional al número de espiras de los devanados primario (Np)

y secundario (Ns) .

La razón de la transformación (m) del voltaje entre el bobinado primario y el

bobinado secundario depende de los números de vueltas que tenga cada uno. Si

el número de vueltas del secundario es el triple del primario, en el secundario

habrá el triple de tensión.

Donde: (Vp) es la tensión en el devanado primario ó tensión de entrada, (Vs) es

la tensión en el devanado secundario ó tensión de salida, (Ip) es la corriente en

el devanado primario ó corriente de entrada, e (Is) es la corriente en el devanado

secundario ó corriente de salida.

PUENTE DE DIODOS

Como todos los componentes electrónicos, los diodos poseen propiedades

que les diferencia de los demás semiconductores. Es necesario conocer

estas, pues los libros de características y las necesidades de diseño así lo

requieren. En estos apuntes aparecerán las más importantes desde el punto

de vista práctico.

Valores nominales de tensión:

VF = Tensión directa en los extremos del diodo en conducción.

VR = Tensión inversa en los extremos del diodo en polarización inversa.

VRSM = Tensión inversa de pico no repetitiva.

VRRM = Tensión inversa de pico repetitiva.

Page 32: Generador de Funciones

31

VRWM = Tensión inversa de cresta de funcionamiento.

Valores nominales de corriente:

IF = Corriente directa.

IR = Corriente inversa.

IFAV = Valor medio de la forma de onda de la corriente durante un periodo.

IFRMS = Corriente eficaz en estado de conducción. Es la máxima corriente

eficaz que el diodo es capaz de soportar.

IFSM = Corriente directa de pico (inicial) no repetitiva.

Fig. 18. Valores nominales de Voltaje en un Diodo.

Fig. 19. Valores nominales de Corriente en un Diodo.

Page 33: Generador de Funciones

32

AV= Average(promedio) RMS= Root Mean Square (raíz de la media

cuadrática)

Valores nominales de temperatura

Tstg = Indica los valores máximos y mínimos de la temperatura de

almacenamiento.

Tj = Valor máximo de la temperatura que soporta la unión de los

semiconductores.

CAPACITORES

La capacidad depende de las características físicas del condensador:

Si el área de las placas que están frente a frente es grande la capacidad

aumenta.

Si la separación entre placas aumenta, disminuye la capacidad.

El tipo de material dieléctrico que se aplica entre las placas también

afecta la capacidad.

Si se aumenta la tensión aplicada, se aumenta la carga almacenada

Dieléctrico o aislante

Un dieléctrico o aislante es un material que evita el paso de la corriente, y su

función es aumentar la capacitancia del capacitor.

Los diferentes materiales que se utilizan como dieléctricos tienen diferentes

grados de permitividad (diferente capacidad para el establecimiento de un

campo eléctrico

Mientras mayor sea la permitividad, mayor es la capacidad del condensador.

La capacitancia de un condensador está dada por la fórmula: C = Er x A / d

donde:

- C = capacidad

- Er = permitividad

Page 34: Generador de Funciones

33

- A = área entre placas

- d = separación entre las placas

La unidad de medida es el faradio. Hay submúltiplos como el miliFaradio

(mF), microFaradio (uF), el nanoFaradio (nF) y el picoFaradio (pF). Las

principales características eléctricas de un condensador son su capacidad o

capacitancia y su máxima tensión entre placas.

REGULADORES DE VOLTAJE.

Las características que ésta posee a pesar de lo simple de su diseño.

Comencemos por la regulación de línea, que es un parámetro que establece cuánto

varía la tensión de salida frente a variaciones en la tensión de entrada. Es posible

comprobar que para un cambio de 20 voltios a la entrada se produce una variación

de sólo 4 milésimas de voltio a la salida, con lo cual, podemos suponerla inmune a

los cambios de tensión de entrada.

Otro parámetro importante es la denominada regulación de carga, que indica cuánto

varía la tensión de salida cuando la corriente varía de un mínimo al máximo.

También es vital el denominado rechazo al rizo. Este valor indica cuántas veces

más chico es el valor de la tensión de rizado a la salida con respecto a la entrada.

Con el capacitor de salida se obtienen valores típicos de 75 dB. Esto implica que la

tensión de rizado a la salida es 5000 veces menor que a la entrada. Esta

característica posibilita la disminución de la capacidad de C, con la reducción de

costo y tamaño que esto trae aparejado.

REGULADORES FIJOS UTILIZADOS

Serie Tensión de salida

LM7812 12 Voltios

LM7905 -12 Voltios

AMPLIFICADORES OPERACIONALES.

Page 35: Generador de Funciones

34

Uno de los factores que más ha contribuido al éxito de los amplificadores

operacionales es su versatilidad. Se trata de un circuito de propósito general que

puede emplearse en multitud de aplicaciones. Por si fuera poco, los modelos

necesarios para analizar su comportamiento son muy sencillos, y en la gran mayoría

de los casos, puede asumirse un comportamiento ideal.

Será precisamente este comportamiento ideal el primer punto que se tratará en el

siguiente apartado. Posteriormente se explicarán los diversos modos de operación,

para finalizar el tema con unos sencillos circuitos de aplicación.

El amplificador operacional es realmente un circuito complejo formado por

muchos transistores y otros componentes en un solo circuito integrado. El esquema

funcional de un amplificador operacional puede verse en la siguiente figura:

El amplificador operacional tiene dos entradas. En la primera etapa se amplifica

levemente la diferencia de las mismas. Esto se suele expresar también diciendo que

se amplifica el modo diferencial de las señales, mientras que el modo común se

rechaza. Posteriormente se pasa a segunda etapa de ganancia intermedia, en la que

se amplifica nuevamente el modo diferencial filtrado por la primera. La ganancia

total es muy elevada, típicamente del orden de 105. Finalmente, en la última etapa

no se amplifica la tensión, sino que se posibilita el suministrar fuertes intensidades.

Fig. 20. Esquema funcional del amplificador operacional

Page 36: Generador de Funciones

35

Para que este dispositivo pueda funcionar es obvio que necesitará una fuente de

alimentación que polarice sus transistores internos. Habitualmente se emplean dos

fuentes de alimentación, una positiva y otra negativa. De este modo se permite que

la salida sea de uno u otro signo. Evidentemente, la tensión de salida nunca podrá

superar los límites que marquen las alimentaciones. No olvidemos que el

operacional está formado por componentes no generadores.

Una vez realizada esta presentación, hay que aclarar que en la mayoría de los casos,

es posible conocer el comportamiento de un circuito en el que se inserta un

operacional sin tener en cuenta su estructura interna. Para ello vamos a definir,

como siempre, un componente ideal que nos permita una primera aproximación. Y

también como es habitual, los cálculos rigurosos necesitarán de modelos más

complejos, para los que sí es necesario estudiarlo más profundamente.

El símbolo del amplificador operacional junto al equivalente ideal del amplificador

operacional; es el que se muestra en la siguiente figura:.

El operacional tiene cinco terminales:

Entrada no inversora (V+)

Entrada inversora (V-)

Alimentación positiva (ECC)

Alimentación negativa (-ECC)

Salida (VOUT)

Fig. 21. Representación del amplificador operacional ideal

Page 37: Generador de Funciones

36

A la hora de resolver circuitos se suelen omitir las alimentaciones, ya que, no

afectan al funcionamiento. La representación circuital está formada por una

resistencia de entrada, que une los dos terminales, y un generador de tensión de

salida. La tensión de salida es proporcional a la diferencia de las entradas.

Las características más relevantes del amplificador operacional son:

Resistencia de entrada muy elevada: A menudo es mayor que 1 M.

Ganancia muy elevada: Mayor que 105.

Las consecuencias que se derivan de estas características son:

La corriente de entrada es nula: Al ser la resistencia de entrada tan

elevada, la corriente que circula por los terminales inversor y no inversor

puede despreciarse.

La ganancia puede considerarse infinita.

AMPLIFICADORES OPERACIONALES UTILIZADOS:

Serie

TL081

RESISTENCIAS.

La característica de una resistencia es producir una caída de tensión que es

proporcional a la corriente que la atraviesa; por la ley de Ohm tenemos que V = IR.

Idealmente, el valor de tal resistencia debería ser constante independientemente del

tiempo, temperatura, corriente y tensión a la que está sometida la resistencia. Pero

esto no es así. Las resistencias actuales, se aproximan mejor a la resistencia "ideal",

pero una cosa es la teoría y otra muy diferente la vida real, en la que los fenómenos

físicos son mucho más complejos e intrincados como para poder describirlos

completamente con una expresión del tipo de la Ley de Ohm.

Page 38: Generador de Funciones

37

LEDS.

El fenómeno de emisión de luz está basado en la teoría de bandas, por la cual, una

tensión externa aplicada a una unión p-n polarizada directamente, excita los

electrones, de manera que son capaces de atravesar la banda de energía que separa

las dos regiones. Si la energía es suficiente los electrones escapan del

materialNenNformaNdeNfotones. Cada material semiconductor tiene unas

determinadas características que y por tanto una longitud de onda de la luz emitida.

A diferencia de las lámparas de incandescencia cuyo funcionamiento es por una

determinada tensión, los Led funcionan por la corriente que los atraviesa. Su

conexión a una fuente de tensión constante debe estar protegida por una resistencia

limitadora. En la siguiente figura se puede apreciar una representación

característica de potencia-intensidad.

DIODOS DE SEÑAL.

Los diodos de tratamiento de señal necesitan algo más de calidad de fabricación

que los rectificadores. Estos diodos están destinados a formar parte de etapas

moduladoras, demoduladoras, mezcla y limitación de señales, etc. Uno de los

puntos más críticos en el diodo, al momento de trabajar con media y alta frecuencia,

se encuentra en la "capacidad de unión", misma que se debe a que en la zona de la

Unión PN se forman dos capas de carga de sentido opuesto que

conforman una capacidad real.

En los diodos de RF (radio frecuencia) se intenta que dicha capacidad sea reducida

a su mínima expresión, lo cual ayudará a que el diodo conserve todas sus

habilidades rectificadoras, incluso cuando trabaje en altas frecuencias. Entre los

diodos más preparados para lidiar con las altas frecuencias destaca el diodo

denominado Schottky. Este diodo fue desarrollado a principio de los sesenta por la

firma Hewletty, deriva de los diodos de punta de contacto y de los de unión PN de

los que han heredado el procedimiento de fabricación.

Page 39: Generador de Funciones

38

DIODOS DE SEÑAL UTILIZADOS:

Serie

1N4148

POTENCIÓMETROS

Son resistencias variables, el potenciómetro es un dispositivo que tiene un contacto

móvil que se mueve a lo largo de la superficie de una resistencia de valor total

constante. Este contacto móvil se llama cursor o flecha y divide la resistencia en

dos resistencias cuyos valores son menores y cuya suma tendrá siempre el valor de

la resistencia total.

Los potenciómetros se conectan en paralelo al circuito y se comportan como un

divisor de voltaje.

Page 40: Generador de Funciones
Page 41: Generador de Funciones

40

7. EVALUACIÓN DE LA PROPUESTA INVESTIGATIVA.

7.1. CÁLCULOS MATEMÁTICOS.

Cálculo de la Frecuencia de Trabajo.

La frecuencia de trabajo proviene de la frecuencia del Amp. Op. configurado como

Oscilador de Onda Cuadrada, y responde a la siguiente fórmula:

𝑓 =1

2 ∗ 𝑅 ∗ 𝐶 ∗ ln 1 +2 ∗ 𝑅2𝑅1

Page 42: Generador de Funciones

41

7.2. SIMULACIONES.

7.2.1. Simulación Fuente de Alimentación, en Software Isis PROTEUS

V1

AMP=16.97V

FREQ=60Hz

V2

AMP=16.97V

FREQ=60Hz

VI1

VO3

GN

D2

U17812

VI2

VO3

GN

D1

U27912

C13300u

C23300u

AC2

AC1

BR1

S04

Volts

-12.1

C322u

C422u

Volts

+3.11

Fig. 22. Simulación de la Fuente de Alimentación.

Page 43: Generador de Funciones

42

7.2.2. Simulación Generador de Señales, en Software MULTISIM.

Fig. 23. Simulación del Generador de Señales.

Page 44: Generador de Funciones

43

7.3. MODELOS.

7.3.1. PCB’s TRAZADOS EN PCB WIZARD.

7.3.2. VISTA REAL DE LA PLACA.

Fig. 24. PCB Fuente de Alimentación.

Fig. 25. PCB Generador de Señales.

Fig. 26. Vista Real Fuente de Alimentación.

Page 45: Generador de Funciones

44

Fig. 27. Vista Real Generador de Señales.

Page 46: Generador de Funciones
Page 47: Generador de Funciones

46

8. VALORACIÓN TÉCNICA, ECONÓMICA Y

AMBIENTAL.

Para la realización de nuestro proyecto, hemos realizado la siguiente valoración técnica,

económica y ambiental; como parte de la valoración técnica, podemos decir que en el

desarrollo del proyecto, se nos han presentado varios inconvenientes, como desperfectos

de algunos componentes, o deterioro de los mismos durante el proceso de soldado a la

placa, y, por la calidad de los componentes, no se puedo obtener las medidas calculadas

teóricamente en las salidas; como parte de la valoración económica podemos decir que

la adquisición de los materiales utilizados ha representado una inversión económica de

nuestra parte, y ha sido un poco dificultosa debido a que, estos elementos no se los

encontró directamente, sino que se debió recorrer algunos locales de venta de estos tipos

de dispositivos; y como parte de la valoración ambiental podemos decir que en el

desarrollo del proyecto, nos hemos esforzado en lo posible por no arrojar agentes

contaminantes hacia el medio ambiente.

MATERIALES UTILIZADOS

Descripción Cantidad Costo Unitario Total

Transformador reductor de voltaje de tres salidas (12-0-12) 1 $6.00 $ 6.00

Puente de Diodos 1 $0.15 $0.15

Condensador E.de 3300uf/25V 2 $ 0.40 $ 0.80

Diodo 1N4001 2 $0.05 $0.10

Diodo 1N4148 8 $0.05 $0.40

Regulador LM7812 1 $ 0.35 $ 0.35

Regulador LM7912 1 $ 0.35 $ 0.35

Condensador E.de 22uf/25V 2 $ 0.20 $ 0.40

Conector de 3 pines para placa 4 $0.20 $0.80

Condensador Cerámico de 0,1uf 1 $ 0.10 $ 0,10

Amplificador Operacional TL081 4 $0.45 $1.80

Resistencia de 68Ω 1 $ 0.05 $ 0.05

Resistencia de 82Ω 2 $ 0.05 $ 0.10

Resistencia de 120Ω 2 $ 0.05 $ 0.10

Resistencia de 220Ω 2 $ 0.05 $ 0.10

Page 48: Generador de Funciones

47

Resistencia de 560Ω 1 $ 0.05 $ 0.05

Resistencia de 1kΩ 4 $ 0.05 $ 0.20

Resistencia de 5.6kΩ 1 $ 0.05 $ 0.05

Resistencia de 9.1kΩ 1 $ 0.05 $ 0.05

Resistencia de 10kΩ 2 $ 0.05 $ 0.10

Resistencia de 15kΩ 1 $ 0.05 $ 0.05

Resistencia de 18kΩ 1 $ 0.05 $ 0.05

Resistencia de 47kΩ 1 $ 0.05 $ 0.05

Resistencia de 120kΩ 1 $ 0.05 $ 0.05

Resistencia de 1MΩ 1 $ 0.05 $ 0.05

Potenciómetro de 2kΩ 1 $0.20 $0.20

Potenciómetro de 100kΩ 1 $0.35 $0.35

Potenciómetro para placa (Trimmer) de 1kΩ 1 $0.25 $0.25

Diodo LED 1 $ 0.15 $ 0.15

Conector de 2 pines para placa 2 $0.10 $0.20

Conector de 4 pines para placa 1 $0.30 $0.30

Interruptor de 4 posiciones 1 $0.50 $0.50

Placa de Baquelita virgen 1 $4.20 $4.20

Interruptor 1 $0.45 $0.45

Papel fotográfico 1 $0.50 $0.50

Impresiones Láser 2 $0.50 $1.00

Fundita de Percloruro Férrico 3 $0.50 $1.50

Valor total de los materiales utilizados en el circuito → $

Page 49: Generador de Funciones
Page 50: Generador de Funciones

49

9. CONCLUSIONES.

Las conclusiones a las que llegamos al terminar este trabajo práctico fueron las

siguientes:

Los conocimientos adquiridos dentro del aula de estudio fueron de gran ayuda

para realizar nuestro proyecto, ya que aplicamos lo aprendido sobre el

funcionamiento y el manejo de los amplificadores operacionales.

Durante el desarrollo del proyecto, se realizo la implementación de algunas de las

principales configuraciones de los amplificadores operacionales como son: el

oscilador de onda cuadrada, amplificador no inversor y seguidor de voltaje.

Es necesario conocer el funcionamiento de los principales programas de

simulación de circuitos; para facilitarnos al momento de simular los circuitos

antes de implementarlos.

En la simulación de los circuitos se presentó una diferencia frente a las señales

de salida teóricas y de la misma manera con las señales medidas, de este modo,

nos dimos cuenta de que los programas de simulación son muy precisos y que los

valores teóricos son cercanos a los medidos, debido a que los componentes reales

no funcionan como componentes ideales.

Al realizar este proyecto, además se pudo comprender las características de un

generador de funciones.

En general se puede decir que los objetivos del proyecto se cumplieron, ya que; se

logró implementar el Generador de Funciones, basándonos teórica y

prácticamente en la aplicación de algunas de las configuraciones de los

amplificadores operacionales.

Page 51: Generador de Funciones
Page 52: Generador de Funciones

51

10. RECOMENDACIONES.

Al concluir este proyecto, se pueden dar las siguientes recomendaciones:

Para realizar la comprobación del funcionamiento de este tipo de circuitos, se lo

debe efectuar directamente en la placa, ya que el protoboard no responde bien a

altas frecuencias y genera mucho ruido.

Al ensamblar los dispositivos en la placa, se lo debe hacer con precisión y

cuidado, ya que; de lo contrario pueden ser sobrecalentados y quemados.

Antes de poner en funcionamiento el circuito se debe comprobar que todas las

conexiones estén efectuadas correctamente, y que exista continuidad en todas las

pistas de la placa, con el fin de evitar que se produzcan desperfectos en el

circuito y/o averías en los componentes.

Hay que tener cuidado al manipular el ácido perclórico porque si es manejado

irresponsablemente puede causar serios daños.

Al taladrar la placa debemos hacerlo de la manera más precisa colocando la

broca de una forma vertical para que el agujero no quede inclinado y no haya

ningún problema al colocar los dispositivos.

Page 53: Generador de Funciones
Page 54: Generador de Funciones

53

11. BIBLIOGRAFÍA.

LIBROS:

BOYLESTAD, Robert L. NASHELSKY, Louis. ELECTRONICA: Teoría

de Circuitos y Dispositivos Electrónicos. PEARSON Prentice Hall, Octava

edición.

COUGHLIN, Robert F. DRISCOLL, Frederick F. AMPLIFICADORES

OPERACIONALES Y CIRCUITOOS INTEGRADOS LINEALES.

Prentice Hall Hispanoamérica, Cuarta edición.

PÁGINAS WEB:

http://www.Scribd.com

http://www.Monografias.com

http://www.webelectronica.com.ar/montajes2/nota06.htm

http://www.Mecanique.com

http://www.Datasheetcatalog.com

http://www.Forosdeelectronica.com

http://www.Unicrom.com

http://www.Librospog.Blog.com

http://www.Mcbtec.com

http://www.Baylinear.com

http://www.Microchip.com

Page 55: Generador de Funciones
Page 56: Generador de Funciones

55

12. ANEXOS.

Fig. 28. Circuito Generador de Señales.