70
MIPI D-PHY v3.0 LogiCORE IP 製品ガ イ ド Vivado Design Suite PG202 2016 10 5 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に よっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきまし ては、必ず最新英語版をご参照ください。

MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

  • Upload
    doduong

  • View
    268

  • Download
    1

Embed Size (px)

Citation preview

Page 1: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D-PHY v3.0

LogiCORE IP 製品ガイド

Vivado Design Suite

PG202 2016 年 10 月 5 日

この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきましては、必ず最新英語版をご参照ください。

Page 2: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 2

PG202 2016 年 10 月 5 日 japan.xilinx.com

目次

IP の概要

第 1章: 概要機能概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5

アプリ ケーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6

サポート されていない機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6

ラ イセンスおよび注文情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6

第 2章: 製品仕様MIPI D-PHY TX (マスター ) コアのアーキテクチャ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7

MIPI D-PHY RX (スレーブ) コアのアーキテクチャ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9

規格 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11

性能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11

リ ソース使用状況 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13

ポートの説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13

レジスタ空間 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24

第 3章: コアを使用するデザイン一般的なデザイン ガイ ド ライン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29

共有ロジッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30

I/O プランニング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34

ク ロ ッキング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36

リセッ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39

プロ ト コルの説明 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41

第 4章: デザイン  フローの手順コアのカスタマイズおよび生成 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50

コアへの制約 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55

シ ミ ュレーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57

合成およびインプリ メンテーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57

第 5章: サンプル デザイン概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58

サンプル デザインのシ ミ ュレーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59

第 6章: テストベンチ

付録 A: 検証、 互換性、 相互運用性ハードウェア バリデーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62

Page 3: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 3

PG202 2016 年 10 月 5 日 japan.xilinx.com

付録 B: デバッグザイ リ ンクス ウェブサイ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63

Vivado Design Suite のデバッグ ツール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64

シ ミ ュレーシ ョ ン デバッグ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65

ハードウェア デバッグ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66

AXI4-Lite インターフェイスのデバッグ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67

付録 C: その他のリソースおよび法的通知ザイ リ ンクス リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68

参考資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68

改訂履歴 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69

お読みください: 重要な法的通知 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70

Page 4: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 4

PG202 2016 年 10 月 5 日 japan.xilinx.com Production 製品仕様

はじめに

ザイ リ ンクス MIPI D-PHY IP コアは、 カメ ラおよびディ スプレイ インターフェイス との間でビデオまたはピクセル データを送受信するよ うに設計されています。 このコアは、MIPI (Mobile Industry Processor Interface) CSI-2 (Camera Serial Interface) や DSI (Display Serial Interface) などの高次プロ ト コルの物理層と して使用します。

この製品ガイ ドでは、 UltraScale+ および 7 シ リーズ FPGA ファ ミ リにおけるこのコアの使用、 カスタマイズ、 シ ミ ュレーシ ョ ンの方法について解説します。 コア アーキテクチャについても説明し、 そのカスタマイズ方法および接続方法の詳細も示します。

機能

• MIPI Alliance D-PHY 仕様バージ ョ ン 1.1 に準拠

• HS (High-Speed) モードではビッ ト レート 80 ~ 1,500Mb/s の同期転送をサポート

• 1 つのクロ ッ ク レーンと最大 4 つのデータ レーン

• LP (Low-Power) モードではビッ ト レート 10Mb/s の非同期転送をサポート

• ク ロ ッ ク レーンは ULP (Ultra Low-Power) モード と HS モードをサポート

• データ レーンは ULP モード、 HS モード、 エスケープ モードをサポート

• PPI (PHY-Protocol Interface) 経由で CSI-2 および DSI アプリ ケーシ ョ ンに接続

• オプシ ョ ンの AXI4-Lite インターフェイスでレジスタにアクセス

IP の概要

この LogiCORE™ IP について

コアの概要

サポート される

デバイス ファ ミ リ (1)

UltraScale+™ ファ ミ リZynq® UltraScale+ MPSoC

7 シ リーズ

サポート される

ユーザー インターフェイス

PPI、 AXI4-Lite

リ ソースPerformance and Resource Utilization

(ウェブ ページ)

コアに含まれるもの

デザイン ファイル 暗号化済み RTL

サンプル デザイン Verilog

テス トベンチ Verilog

制約ファイル ザイ リ ンクス デザイン制約ファ イル (.xdc)

シ ミ ュレーシ ョ ン モデル

なし

サポート される ソフ ト ウェア ド ラ イバー

N/A

テスト済みデザイン フロー (2)

デザイン入力 Vivado® Design Suite

シ ミ ュレーシ ョ ン

サポート されるシ ミ ュレータについては、

『Vivado Design Suite ユーザー ガイ ド :リ リース ノート、 インス トールおよび

ライセンス』 を参照してください。

合成 Vivado 合成

サポート

ザイ リ ンクス サポート ウェブ ページで提供

注記:1. サポート されているデバイスの一覧は、 Vivado IP カタログを参

照して ください。

2. サポート されているツールのバージ ョ ンは、 『Vivado Design Suite ユーザー ガイ ド : リ リース ノート、 インス トールおよび

ライセンス』 を参照してください。

Page 5: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 5

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 1章

概要MIPI D-PHY コアは、 この高速 I/O インターフェイス規格に基づいて正し く通信を行うために必要なロジッ クをすべて備えた IP コアです。 このコアは、 標準フォーマッ トの PPI (PHY-Protocol Interface) との間で高速 SelectIO™ インターフェイスを使用したカメ ラ センサーおよびビデオ データの送受信をサポート します。

図 1-1 に、 MIPI D-PHY のすべてのコンポーネン ト を含む概略図を示します。

機能概要

MIPI D-PHY コアは、 マスター (TX) またはスレーブ (RX) と して構成できます。 最大 1,500Mb/s の HS (High-Speed) データ転送をサポート しており、 制御データは 10Mb/s の LP (Low-Power) データ転送モードで転送できます。 このコアは、 PPI インターフェイスを使用して DSI および CSI IP コアにシームレスに接続できます。 MIPI D-PHY コアを使用する場合、 Vivado® IDE (統合設計環境) の I/O プランナーで I/O バンク、 ク ロ ッ ク レーンの順に選択した後、 データ レーンの選択をカスタマイズできます。 また、 オプシ ョ ンでサポートする AXI4-Lite インターフェイスを使用する と、 プロ ト コル タイマー値を更新したりデバッグ用にコアのステータスを取得したりできます。

X-Ref Target - Figure 1-1

図 1‐1: D‐PHY IP の概略図

X14602

Page 6: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 6

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 1 章:概要

アプリケーシ ョ ン

MIPI D-PHY コアを使用して MIPI CSI-2 および DSI コン ト ローラー TX/RX デバイスと接続できます。 このコアは、PPI を利用して高次プロ ト コル層とシームレスに統合できます。

サポート されていない機能

• リ ンク ターンアラウンド (逆方向のデータ通信)

• LP 競合検出ロジッ ク (LP-CD)

• 8B9B 符号化

ライセンスおよび注文情報

このザイ リ ンクス LogiCORE™ IP モジュールは、ザイ リ ンクス エンドユーザー ライセンス規約のも とザイ リ ンクス Vivado Design Suite を使用して追加コス ト なしで提供されています。 この IP およびその他のザイ リ ンクス LogiCORE IP に関する情報は、 ザイ リ ンクス IP コア ページから入手できます。 その他のザイ リ ンクス LogiCORE IP モジュールやツールの価格および提供状況については、 お近くのザイ リ ンクス販売代理店にお問い合わせください。

Page 7: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 7

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 2章

製品仕様MIPI D-PHY コアは MIPI CSI-2 および DSI プロ ト コルをサポート した物理層 (PHY) です。ト ランス ミ ッ ターと してもレシーバーと しても使用可能なユニバーサル PHY です。 このコアは、 電気レベル信号を生成および受信するためのアナログ フロン トエンド と、 I/O 機能を制御するためのデジタル バッ クエンドで構成されます。

MIPI D-PHY コアは、 使用する MIPI 規格に準拠したマスターとスレーブ、 またはホス ト とデバイスをポイン ト ツー ポイン トで接続します。 代表的な構成は、 1 つのクロ ッ ク レーンと 1 ~ 4 のデータ レーンです。 マスター /ホス トは主にデータ ソースで、 スレーブ/デバイスは通常データ シンクです。 D-PHY レーンは、 マスターからスレーブへの一方向レーン動作に設定できます。 このコアはマスターまたはスレーブと して動作するよ う構成できます。 D-PHY リ ンクは、 高速データ ト ラフ ィ ッ ク用の HS (High Speed) モード と制御ト ランザクシ ョ ン用の LP (Low Power) モードをサポート します。

• HS モードでは、 LSDS (Low Swing Differential Signaling) 方式によ り 80Mb/s ~ 1,500Mb/s のデータ転送をサポート します。

• LP モードでは、 すべてのワイヤが 10Mb/s 非同期データ通信をサポート したシングルエンド ラ インと して動作します。

MIPI D‐PHY TX (マスター ) コアのアーキテクチャ

図 2-1 に、UltraScale+™ ファ ミ リおよび Zynq® UltraScale+ MPSoC デバイスの場合の MIPI D-PHY TX (マスター ) コアのアーキテクチャを示します。 TX コアは、 次の 3 つの主要なブロ ッ クで構成されます。

• TX PCS (物理符号化副層) ロジッ ク : コアに PPI を提供し、 レーン動作に必要な制御信号を PHY に対して生成します。 開始シーケンスの生成、 LP と HS のレーン切り換え、 レーン初期化も実行します。

• TX PHY ロジッ ク : ネイティブ モードの BITSLICE_CONTROL と TX_BITSLICE、 および D-PHY 互換の I/O ブロックを統合しています。 このブロッ クはシ リアライズを実行し、 PHY のクロッキングをインプリ メン ト します。

• レジスタ インターフェイス : 必須のプロ ト コル タイマーおよびレジスタを制御するための AXI4-Lite レジスタ インターフェイス (オプシ ョ ン) を提供します。

Page 8: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 8

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 2 章:製品仕様

図 2-2 に、 7 シ リーズ FPGA ファ ミ リの場合の MIPI D-PHY TX (マスター ) コアのアーキテクチャを示します。

X-Ref Target - Figure 2-1

図 2‐1: MIPI D‐PHY TX (マスター ) コアのアーキテクチャ  (UltraScale+ ファ ミ リの場合)

X14603-012616

Page 9: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 9

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 2 章:製品仕様

MIPI D‐PHY RX (スレーブ) コアのアーキテクチャ

図 2-3 に、UltraScale+™ ファ ミ リおよび Zynq® UltraScale+ MPSoC デバイスの場合の MIPI D-PHY RX (スレーブ) コアのアーキテクチャを示します。 RX コアは、 次の 3 つの主要なブロ ッ クで構成されます。

• RX PCS ロジッ ク : PHY と接続し、 HS およびエスケープ モード LPDT (Low-Power Data Transmission) パケッ ト などの PPI 準拠ト ランザクシ ョ ンを出力します。 レーン初期化、 送信開始 (SoT) シーケンスの検出、 エスケープ モードでのクロ ッ ク リ カバリ も実行します。

• RX PHY ロジッ ク : HS モードでのクロ ッ ク リ カバリ、 およびデシ リアライズを実行します。 ネイティブ モードの BITSLICE_CONTROL と RX_BITSLICE、 および D-PHY 互換の I/O ブロ ッ クを統合しています。

• レジスタ インターフェイス : 必須のプロ ト コル タイマーおよびレジスタを制御するための AXI4-Lite レジスタ インターフェイス (オプシ ョ ン) を提供します。

X-Ref Target - Figure 2-2

図 2‐2: MIPI D‐PHY TX (マスター ) コアのアーキテクチャ  (7 シリーズ FPGA ファ ミ リの場合)

Page 10: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 10

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 2 章:製品仕様

図 2-4 に、 7 シ リーズ FPGA ファ ミ リの場合の MIPI D-PHY RX (スレーブ) コアのアーキテクチャを示します。

X-Ref Target - Figure 2-3

図 2‐3: MIPI D‐PHY RX (スレーブ) コアのアーキテクチャ  (UltraScale+ ファ ミ リの場合)

X14604-012616

Page 11: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 11

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 2 章:製品仕様

規格

このコアは 『MIPI Alliance Specification for D-PHY, version 1.1』 [参照 1] に準拠して設計されています。 サポート されているデバイスの一覧は、 Vivado® IP カタログを参照してください。

性能

このセクシ ョ ンでは、 さまざまなコア コンフ ィギュレーシ ョ ンの性能情報について詳し く説明します。

最大周波数

コアの最大周波数は、 サポート されるライン レートおよびデバイスのスピード グレードに依存します。

X-Ref Target - Figure 2-4

図 2‐4: MIPI D‐PHY RX (スレーブ) コアのアーキテクチャ  (7 シリーズ FPGA ファ ミ リの場合)

Page 12: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 12

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 2 章:製品仕様

レイテンシ

MIPI D-PHY TX コアのレイテンシは、 データ レーンの requesths 信号がアサート されてから readyhs 信号がアサート されるまでの時間です。

MIPI D-PHY RX コアのレイテンシは、シ リ アル ラインで送信開始 (SoT) パターンが検出されてから PPI で activehs信号がアサート されるまでの時間です。

表 2-1 に、 コアの構成別にレイテンシの値を示します。

表 2‐1: D‐PHY コアの構成別のレイテンシ

ライン  レート  (Mb/s)

LPX (ns) デバイス ファ ミ リ レーン数レイテンシ

(byteclkhs(1) のサイクル数)データ  フロー モード

250 50 UltraScale+ 1 10 D-PHY TX (マスター )

500 50 UltraScale+ 1 18 D-PHY TX (マスター )

1,000 50 UltraScale+ 1 33 D-PHY TX (マスター )

1,250 50 UltraScale+ 1 43 D-PHY TX (マスター )

1,500 50 UltraScale+ 1 51 D-PHY TX (マスター )

250 50 UltraScale+ 1 6 D-PHY RX (スレーブ)

500 50 UltraScale+ 1 6 D-PHY RX (スレーブ)

1,000 50 UltraScale+ 1 6 D-PHY RX (スレーブ)

1,250 50 UltraScale+ 1 6 D-PHY RX (スレーブ)

1,500 50 UltraScale+ 1 6 D-PHY RX (スレーブ)

250 50 7 シ リーズ 1 16 D-PHY TX (マスター )

500 50 7 シ リーズ 1 24 D-PHY TX (マスター )

1,000 50 7 シ リーズ 1 39 D-PHY TX (マスター )

1,250 50 7 シ リーズ 1 48 D-PHY TX (マスター )

250 50 7 シ リーズ 1 5 D-PHY RX (スレーブ)

500 50 7 シ リーズ 1 5 D-PHY RX (スレーブ)

1,000 50 7 シ リーズ 1 5 D-PHY RX (スレーブ)

1250 50 7 シ リーズ 1 5 D-PHY RX (スレーブ)

注記:1. byteclkhs の周波数 (MHz) = ラ イン レート (Mb/s) / 8 です。

Page 13: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 13

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 2 章:製品仕様

スループッ ト

MIPI D-PHY TX コアのスループッ トは、 ク ロ ッ ク レーンの requesths 信号がアサート されてから、 標準 640x480 解像度のイ メージがフレーム データ と して転送されて PPI でクロ ッ ク レーンの requesths 信号がディアサート されるまでの時間です。

表 2-2 に、 コアの構成別にスループッ トの値を示します。

リソース使用状況

リ ソース使用状況の詳細は、 Performance and Resource Utilization (ウェブ ページ) をご覧ください。

ポートの説明

このコアの外部インターフェイスは PPI です。 レジスタ プログラ ミ ング用にオプシ ョ ンの AXI4-Lite インターフェイスも利用できます。

PPI 信号

MIPI D-PHY コアは、 ク ロ ッ ク レーンおよびデータ レーンの動作を PPI 信号で通知します。 表 2-3 ~表 2-13 に信号ポート を示します。 これらの表で <n> は設定可能なデータ レーン番号 (0 ~ 3) を表します。

表 2‐2: MIPI D‐PHY TX コアの構成別のスループッ ト

ライン レート  (Mb/s)

LPX (ns) デバイス ファ ミ リ レーン数スループッ ト  

(Mb/s) データ  フロー モード

250 50 UltraScale+ 1 239 D-PHY TX (マスター )

500 50 UltraScale+ 1 462 D-PHY TX (マスター )

1,000 50 UltraScale+ 1 879 D-PHY TX (マスター )

1,250 50 UltraScale+ 1 1075 D-PHY TX (マスター )

1,500 50 UltraScale+ 1 1261 D-PHY TX (マスター )

250 50 7 シ リーズ 1 231 D-PHY TX (マスター )

500 50 7 シ リーズ 1 462 D-PHY TX (マスター )

1,000 50 7 シ リーズ 1 879 D-PHY TX (マスター )

1,250 50 7 シ リーズ 1 1066 D-PHY TX (マスター )

Page 14: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 14

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 2 章:製品仕様

表 2‐3:共通 PPI 制御信号

信号 方向クロック  ド メ イン

説明

cl_stopstate,dl<n>_stopstate 出力 非同期

レーンが停止ステート。レーン モジュール (TX または RX) が現在停止ステートであるこ とを示すアクティブ High 信号です。

また、 この信号をプロ ト コルで使用して PHY ライン レベルが LP-11 ステートかど うかを間接的に判定するこ と もできます。

注記: この信号は PPI のすべてのクロ ッ クに対して非同期です。

cl_enable, dl<n>_enable 入力 非同期

レーン モジュールのイネーブル。レーン モジュールのシャッ トダウンを強制的に終了するアクティブ High 信号です。 この信号が Low の間、 ライン ド ライバー、 レシーバー、 ターミネーター、 競合検出ロジッ クはすべてオフです。この信号が Low の場合、その他すべての PPI 入力は無視され、 PPI 出力はすべてデフォルトの非アクティブ ステートに駆動されます。 この信号はレベル センスで、 クロ ッ クには依存しません。

cl_ulpsactivenot,dl<n>_ulpsactivenot 出力 非同期

ULP ステート アクティブ (負論理)。レーンが ULP ステートの場合、 このアクティブ Low 信号がアサート されます。レシーバーの場合、 この信号はレーンが ULP (Ultra Low Power) ステートであるこ とを示します。 ULP ステートが開始する と、ulpsactivenot と rxulpsesc (ク ロ ッ ク レーンの場合は rxclkulpsnot) がアサート されます。 ULP ステートが終了する と この信号は非アクティブになり、 Mark-1 ステートが検出されたこ とを示します。その後、 Twakeup の期間が経過する と rxulpsesc (または rxclkulpsnot) 信号がディアサート されます。

表 2‐4: D‐PHY TX クロック  レーン  HS PPI 信号

信号 方向クロック  ド メイン

説明

cl_txrequesths 入力 txbyteclkhsHS 送信要求およびデータ Valid。

ク ロ ッ ク レーンの場合、 このアクティブ High 信号によってレーン モジュールは HS ク ロ ッ クの送信を開始します。

表 2‐5: D‐PHY TX クロック  レーン  エスケープ モード  PPI 信号

信号 方向クロック  ド メイン

説明

cl_txulpsclk 入力 core_clk

ク ロ ッ ク レーンへの ULPS 送信。

このアクティブ High 信号をアサートする と、 ク ロ ッ ク レーン モジュールは ULP ステートに移行します。 レーン モジュールは txulpsclk がディアサート されるまでこのモードのままです。

cl_txulpsexit 入力 core_clk

送信 ULP 終了シーケンス。

現在 ULP ステートがアクティブで、プロ ト コルが ULP ステート終了の準備ができる と このアクティブ High 信号がアサートされます。

txulpsexit がアサート される と PHY は ULP ステート を終了し、Mark-1 の駆動を開始します。 その後、 txrequestesc がディアサート される と PHY は停止ステート (LP-11) を駆動します。txulpsexit は txclkesc に同期します。

レーンが ULP ステートでない場合、この信号は無視されます。

Page 15: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 15

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 2 章:製品仕様

表 2‐6: D‐PHY TX データ  レーン  HS PPI 信号

信号 方向クロック  ド メ イン

説明

txbyteclkhs 出力 N/A

HS 送信バイ ト ク ロ ッ ク。

PPI 信号を HS 送信クロ ッ ク ド メ インに同期させるために使用します。 すべての送信データ レーン モジュールで 1 つの txbyteclkhs 信号を共有するこ とを推奨します。 txbyteclkhs の周波数は HS ビッ ト レートの 1/8 です。

dl<n>_txdatahs[7:0] 入力 txbyteclkhs

HS 送信データ。

8 ビッ トの HS 送信データです。 txdatahs[0] に接続された信号から順に送信されます。 データは txbyteclkhs の立ち上がりエッジで取り込まれます。

dl<n>_txrequesths 入力 txbyteclkhs

HS 送信要求およびデータ Valid。

txrequesths を Low から High に遷移させる と レーン モジュールは SoT シーケンスを開始します。txrequest を High から Low に遷移させる とレーン モジュールは EoT シーケンスを開始します。

データ レーンの場合、 このアクティブ High 信号はプロ ト コルが txdatahs に有効な送信データを駆動しているこ と も示します。txbyteclkhs ク ロ ッ クの同じ立ち上がりエッジで txrequesths と txreadyhs の両方がアクティブになる と、 レーン モジュールはデータを取り込みます。 txrequesths がアクティブな間は、プロ ト コルは常に有効な送信データを供給します。 いったんアサート される と、 txrequesths はデータが取り込まれて txreadyhs によって示されるまで High のままです。

txrequesths は、 txrequestesc が Low の間のみアサート されます。

dl<n>_txreadyhs 出力 txbyteclkhs

HS 送信 READY。

このアクティブ High 信号は、 レーン モジュールによってシ リアル送信される txdatahs[7:0] が受信されたこ とを示します。txreadyhs は txbyteclkhs の立ち上がりエッジで有効です。

表 2‐7: D‐PHY TX データ  レーン制御インターフェイス PPI 信号

信号 方向クロック  ド メ イン

説明

dl<n>_forcetxstopmode 入力 非同期

レーンに対する停止ステートへの強制移行。

この信号を使用して、プロ ト コルは初期化中またはエラー(タ イムアウ ト など) 発生後にレーン モジュールを強制的に停止ステートに移行させます。

この信号が High になった直後に、 レーン モジュールのステート マシンは停止ステートに移行します。

Page 16: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 16

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 2 章:製品仕様

表 2‐8: D‐PHY TX データ  レーン  エスケープ モード  PPI 信号

信号 方向クロック  ド メイン

説明

txclkesc 入力 N/A

エスケープ モード送信クロ ッ ク。

このクロ ッ クを直接使用してエスケープ シーケンスを生成します。 D-PHY 仕様の定義に従い、 このクロ ッ クの周期によって LP 信号の位相時間が決ま り ます。

dl<n>_txrequestesc 入力 txclkesc

エスケープ モード送信要求。

エスケープ モードへの移行を要求するには、 このアクティブ High 信号を txlpdtesc、 txulpsesc、 または txtriggeresc の 1 ビッ トのいずれか 1 つと同時にアサート します。 エスケープ モードに移行する と、 txrequestesc がディアサート されるまでレーンはエスケープ モードのままです。

txrequestesc は、 txrequesths が Low の間のみプロ ト コルによってアサート されます。

txrequestesc よ り も txrequesths が優先されます。

dl<n>_txlpdtesc 入力 txclkesc

エスケープ モード送信 LP データ。

このアクティブ High 信号と txrequestesc をアサートする と、レーン モジュールは LP データ送信モードに移行します。レーン モジュールは txrequestesc がディアサート されるまでこのモードのままです。

txlpdtesc がアサート されている間、 txulpsesc と txtriggeresc[3:0] のすべてのビッ トは Low です。

dl<n>_txulpsexit 入力 txclkesc

送信 ULP 終了シーケンス。

現在 ULP ステートがアクティブで、 プロ ト コルが ULP ステート終了の準備ができる と このアクティブ High 信号がアサートされます。

txulpsexit がアサート される と PHY は ULP ステート を終了し、Mark-1 の駆動を開始します。 その後、 txrequestesc がディアサート される と PHY は停止ステート (LP-11) を駆動します。txulpsexit は txclkesc に同期します。

レーンが ULP ステートでない場合、 この信号は無視されます。

dl<n>_txulpsesc 入力 txclkesc

エスケープ モード送信 ULP ステート。

このアクティブ High 信号と txrequestesc をアサートする と、レーン モジュールは ULP ステートに移行します。 レーン モジュールは txrequestesc がディアサート されるまでこのモードのままです。

txulpsesc がアサート されている間、 txlpdtesc と txtriggeresc[3:0] のすべてのビッ トは Low です。

Page 17: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 17

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 2 章:製品仕様

dl<n>_txtriggeresc[3:0] 入力 txclkesc

エスケープ モード送信ト リガー 0 ~ 3。

これらアクティブ High 信号のいずれか 1 つと txrequestesc をアサートする と、 対応する ト リガーがレーン インターコネク トに送信されます。 受信側のレーン モジュールでは rxtriggeresc の同じビッ トがアサート され、 そのビッ トは ト ランス ミ ッター側で txrequestesc がディアサート されてレーン インターコネク トが停止ステートに戻るまでアサート されたままです。

txtriggeresc[3:0] の複数のビッ トが同時にアサート されるこ とはあ り ません。 また、 アサート されるのは txlpdtesc と txulpsesc の両方が Low のと きのみです。

D-PHY TX モジュールによって次のマップが実行されます。

dl<n>_txdataesc[7:0] 入力 txclkesc

エスケープ モード送信データ。

LPDT モードで送信される 8 ビッ トのエスケープ モード データです。 txdataesc[0] に接続された信号から順に送信されます。データは txclkesc の立ち上がりエッジで取り込まれます。

dl<n>_txvalidesc 入力 txclkesc

エスケープ モード送信データ Valid。

このアクティブ High 信号は、 プロ ト コルが txdataesc[7:0] に有効な送信データを駆動しているこ とを示します。 txclkesc クロ ッ クの同じ立ち上がりエッジで txrequestesc、 txvalidesc、txreadyesc がすべてアクティブになる と、 レーン モジュールはデータを取り込みます。

dl<n>_txreadyesc 出力 txclkesc

エスケープ モード送信 READY。

このアクティブ High 信号は、 レーン モジュールによってシ リアル送信される txdataesc[7:0] が受信されたこ とを示します。txreadyesc は txclkesc の立ち上がりエッジで有効です。

表 2‐9: D‐PHY RX クロック  レーン PPI 信号

信号 方向クロック  ド メイン

説明

cl_rxclkactivehs 出力 非同期

レシーバー ク ロ ッ ク アクティブ。

この非同期アクティブ High 信号は、 クロ ッ ク レーンが DDR (Double Data Rate) ク ロ ッ ク信号を受信しているこ とを示します。

cl_rxulpsclknot 出力 非同期

クロ ッ ク レーンのレシーバー ULP ステート。

このアクティブ Low 信号は、 クロ ッ ク レーン モジュールが ULP ステートに移行したこ とを示します。 レーン インターコネク トで停止ステートが検出されるまで rxulpsclknot はアサート され、 レーン モジュールはこのモードのままです。

表 2‐8: D‐PHY TX データ  レーン  エスケープ モード  PPI 信号 (続き)

信号 方向クロック  ド メイン

説明

Reset-Trigger txtriggeresc[3:0] = 4’b0001

Unknown-3 txtriggeresc[3:0] = 4’b0010

Unknown-4 txtriggeresc[3:0] = 4’b0100

Unknown-5 txtriggeresc[3:0] = 4’b1000

Page 18: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 18

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 2 章:製品仕様

表 2‐10: D‐PHY RX データ  レーン  HS PPI 信号

信号 方向クロック  ド メイン

説明

rxbyteclkhs 出力 N/A

HS 受信バイ ト ク ロ ッ ク。

信号を HS 受信クロ ッ ク ド メ インに同期させるために使用します。 rxbyteclkhs は、 受信した HS DDR ク ロ ッ クを分周して生成します。

注記: このク ロ ッ クは連続クロ ッ クではなく、HS モードの RX データ レーンでサンプリ ングにのみ使用します。

dl<n>_rxdatahs[7:0] 出力 rxbyteclkhs

HS 受信データ。

レーン モジュールが受信した 8 ビッ ト HS データです。rxdatahs[0] に接続された信号から順に受信されます。 データは rxbyteclkhs の立ち上がりエッジで転送されます。

dl<n>_rxvalidhs 出力 rxbyteclkhs

HS 受信データ Valid。

このアクティブ High 信号は、 レーン モジュールが rxdatahs[7:0] 出力でプロ ト コルにデータを駆動しているこ とを示します。 rxreadyhs 信号はなく、 プロ ト コルは rxvalidhs がアサート されている間 rxbyteclkhs のすべての立ち上がりエッジで rxdatahs[7:0] を取り込むものと見なされます。 プロ ト コル側からデータ受信速度を低下させる (スロ ッ ト リ ング) 手段はあ り ません。

dl<n>_rxactivehs 出力 rxbyteclkhs

HS 受信アクティブ。

このアクティブ High 信号は、 レーン モジュールがレーン インターコネク トからの HS 送信アクティブに受信しているこ とを示します。

dl<n>_rxsynchs 出力 rxbyteclkhs

レシーバー同期検出。

このアクティブ High 信号は、 レーン モジュールが適切な同期イベン ト を検出したこ とを示します。 rxsynchs は、rxactivehs が最初にアサート されて HS 送信が開始したと きに rxbyteclkhs の 1 サイクルだけ High に駆動されます。

表 2‐11: D‐PHY RX データ  レーン  PPI 制御インターフェイス信号

信号 方向クロック  ド メイン

説明

dl<n>_forcerxmode 入力 非同期

レーン モジュールに対する強制再初期化。

この信号によ り、 プロ ト コルはレーン モジュールを初期化します。 この信号は、 Dp および Dn 入力が T_INIT 時間以上、停止ステートの場合のみ解放 (Low に駆動) できます。

注記: この信号は RX データ レーンが停止ステートの場合にアサート

して ください。 HS データ受信の途中でこの信号をアサートする と、

データ整合性エラーが発生します。

Page 19: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 19

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 2 章:製品仕様

表 2‐12: D‐PHY RX データ  レーン  エスケープ モード  PPI 信号

信号 方向クロック  ド メイン

説明

dl<n>_rxclkesc 出力 N/A

エスケープ モードの受信クロ ッ ク。

この信号は、 エスケープ モードで受信したデータをプロ ト コルに転送するために使用します。 このクロ ッ クは、 レーン インターコネク トの 2 つの LP 信号から生成されます。 エスケープ モードのデータ送信は非同期であるため、 このクロ ッ クに周期性はあ り ません。

dl<n>_rxlpdtesc 出力 rxclkesc

エスケープ LP データ受信モード。

レーン モジュールが LP データ受信モードの場合、 このアクティブ High 信号がアサート されます。 このモードでは、 受信したデータ バイ トは rxvalidesc がアクティブな間、rxdataesc[7:0] 出力に駆動されます。 レーン インターコネク トで停止ステートが検出されるまで rxlpdtesc はアサート され、レーン モジュールはこのモードのままです。

dl<n>_rxulpsesc 出力 非同期

エスケープ ULP (受信) モード。

レーン モジュールが ULP ステートに移行する と、 このアクティブ High 信号がアサート されます。 レーン インターコネクトで停止ステートが検出されるまで rxulpsesc はアサート され、レーン モジュールはこのモードのままです。

dl<n>_rxtriggeresc[3:0] 出力 非同期

エスケープ モード受信ト リガー 0 ~ 3。

これらのアクティブ High 信号は、 ト リガー イベン ト を受信したこ とを示します。 いったんアサート された rxtriggeresc[3:0] 信号は、 レーン インターコネク トで停止ステートが検出されるまでアクティブなままです。

D-PHY RX モジュールによって次のマップが実行されます。

dl<n>_rxdataesc[7:0] 出力 rxclkesc

エスケープ モード受信データ。

レーン モジュールが受信した 8 ビッ トのエスケープ モード LP データです。 rxdataesc[0] に接続された信号から順に受信されます。 データは rxclkesc の立ち上がりエッジで転送されます。

dl<n>_rxvalidesc 出力 rxclkesc

エスケープ モード受信データ Valid。

このアクティブ High 信号は、 レーン モジュールが rxdataesc[7:0] 出力でプロ ト コルに有効データを駆動しているこ とを示します。 rxreadyesc 信号はなく、 プロ ト コルは rxvalidesc がアサート されている間 rxclkesc のすべての立ち上がりエッジで rxdataesc[7:0] を取り込むものと見なされます。プロ ト コル側からデータ受信速度を低下させる (スロ ッ ト リ ング) 手段はあ り ません。

Reset-Trigger rxtriggeresc[3:0] = 4’b0001

Unknown-3 rxtriggeresc[3:0] = 4’b0010

Unknown-4 rxtriggeresc[3:0] = 4’b0100

Unknown-5 rxtriggeresc[3:0] = 4’b1000

Page 20: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 20

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 2 章:製品仕様

クロッキング/リセッ ト信号

サンプル デザインのソースには、 ク ロ ッ クおよびリセッ ト管理のための回路が含まれます。 表 2-14 に、 システム クロ ッ クおよびリセッ トに関するコア ポート を示します。

表 2‐13: D‐PHY RX データ  レーン  PPI エラー信号

信号 方向クロック  ド メイン

説明

dl<n>_ errsoths 出力 rxbyteclkhs

送信開始 (SoT) エラー。

HS SoT リーダー シーケンスが破損しているものの、適切な同期が可能な場合はこのアクティブ High 信号が rxbyteclkhs の 1 サイクルだけアサート されます。 これはリーダー シーケンスのソフ ト エラーと見なされ、 ペイロード データの信頼性が低下します。

dl<n>_errsotsynchs 出力 rxbyteclkhs

送信開始同期エラー。

HS SoT リーダー シーケンスが破損して適切な同期が期待できない場合、 このアクティブ High 信号が rxbyteclkhs の 1 サイクルだけアサート されます。

dl<n>_erresc 出力 非同期

エスケープ開始エラー。

このアクティブ High 信号は、 認識不能なエスケープ開始コマンドを受信する とアサート され、 ラ イン ステートが次に変化するまでアサート されたままです。

dl<n>_errsyncesc 出力 非同期

LP データ送信同期エラー。

LP データ送信が完了して、 送信中に受信したビッ ト数が 8 の倍数でない場合、 このアクティブ High 信号がアサート されます。 この信号は、 ライン ステートが次に変化するまでアサート されたままです。

dl<n>_errcontrol 出力 非同期

制御エラー。

不正なライン ステート シーケンスを検出する と、 このアクティブ High 信号がアサート されます。 たとえばターンアラウンド要求またはエスケープ モード要求の直後に、 要求されたブリ ッジ ステートではなく停止ステートが続いた場合にアサート され、 ラ イン ステートが次に変化するまでアサート されたままです。

表 2‐14: クロッキング/リセッ ト信号

信号 方向クロック  ド メイン

説明

core_clk 入力 N/A 制御ロジッ クに使用する安定したコア ク ロ ッ ク。

core_rst 入力 core_clk アクティブ High のリセッ ト信号。

system_rst_out 出力 core_clkサンプル デザイン レベル ロジッ クで使用するアクティブ High のシステム リセッ ト出力。 このポートは、 [Include Shared Logic in core] をオンにする と利用できます。

mmcm_lock_out 出力 非同期MMCM ロ ッ ク インジケーター。 7 シ リーズの D-PHY RX 構成ではこのポートは利用できません。

pll_lock_out 出力 非同期PLL ロ ッ ク インジケーター。 このポートは、 [Include Shared Logic in core] をオンにする と利用できます。 このポートは、UltraScale+ ファ ミ リの場合に利用できます。

Page 21: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 21

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 2 章:製品仕様

I/O インターフェイス信号

サンプル デザインには、 PHY 管理および D-PHY 互換の I/O 接続に関する回路が含まれます。 表 2-15 に、 I/O インターフェイスに関するコア ポート を示します。

system_rst_in 入力 core_clk システム レベル リセッ ト 。 このポートは、 [Include Shared Logic in example design] をオンにする と利用できます。

pll_lock_in 入力 非同期PLL ロ ッ ク インジケーター。 このポートは、 [Include Shared Logic in example design] をオンにする と利用できます。 このポートは、 UltraScale+ ファ ミ リの場合に利用できます。

表 2‐15: I/O インターフェイス信号

信号 方向クロックド メイン

説明

D‐PHY TX I/O インターフェイス

clk_txp 出力 N/A ク ロ ッ ク レーンの差動シ リ アル データ出力ピンの正側。UltraScale+ ファ ミ リでのみ利用できます。

clk_txn 出力 N/A ク ロ ッ ク レーンの差動シ リ アル データ出力ピンの負側。UltraScale+ ファ ミ リでのみ利用できます。

data_txp[<n-1>:0](1)出力 N/A データ レーンの差動シ リ アル データ出力ピンの正側。

UltraScale+ ファ ミ リでのみ利用できます。

data_txn[<n-1>:0](1)出力 N/A データ レーンの差動シ リ アル データ出力ピンの負側。

UltraScale+ ファ ミ リでのみ利用できます。

clk_hs_txp 出力 N/A ク ロ ッ ク レーンの HS 差動シ リ アル データ出力ピンの正側。7 シ リーズ FPGA ファ ミ リでのみ利用できます。

clk_hs_txn 出力 N/A ク ロ ッ ク レーンの HS 差動シ リ アル データ出力ピンの負側。7 シ リーズ FPGA ファ ミ リでのみ利用できます。

clk_lp_txp 出力 N/A ク ロ ッ ク レーンの LP シ リ アル データ出力ピンの正側。7 シ リーズ FPGA ファ ミ リでのみ利用できます。

clk_lp_txn 出力 N/A ク ロ ッ ク レーンの LP シ リ アル データ出力ピンの負側。7 シ リーズ FPGA ファ ミ リでのみ利用できます。

data_hs_txp[<n-1>:0](1)出力 N/A データ レーンの HS 差動シ リ アル データ出力ピンの正側。

7 シ リーズ FPGA ファ ミ リでのみ利用できます。

data_hs_txn[<n-1>:0](1)出力 N/A データ レーンの HS 差動シ リ アル データ出力ピンの負側。

7 シ リーズ FPGA ファ ミ リでのみ利用できます。

data_lp_txp[<n-1>:0](1)出力 N/A データ レーンの LP シ リ アル データ出力ピンの正側。

7 シ リーズ FPGA ファ ミ リでのみ利用できます。

data_lp_txn[<n-1>:0](1)出力 N/A データ レーンの LP シ リ アル データ出力ピンの負側。

7 シ リーズ FPGA ファ ミ リでのみ利用できます。

表 2‐14: クロッキング/リセッ ト信号

信号 方向クロック  ド メイン

説明

Page 22: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 22

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 2 章:製品仕様

D‐PHY RX I/O インターフェイス

clk_rxp 入力 N/A ク ロ ッ ク レーンの差動シ リ アル データ入力ピンの正側。UltraScale+ ファ ミ リでのみ利用できます。

clk_rxn 入力 N/A ク ロ ッ ク レーンの差動シ リ アル データ入力ピンの負側。UltraScale+ ファ ミ リでのみ利用できます。

data_rxp[<n-1>:0](1)入力 N/A データ レーンの差動シ リ アル データ入力ピンの正側。

UltraScale+ ファ ミ リでのみ利用できます。

data_rxn[<n-1>:0](1)入力 N/A データ レーンの差動シ リ アル データ入力ピンの負側。

UltraScale+ ファ ミ リでのみ利用できます。

bg<x>_pin<y>_nc 入力 N/A

推論されたビッ ト スライス ポート。 コアはバイ ト グループ内のス ト ローブ伝搬のためにニブルの bitslice0 を推論します。<x> はバイ ト グループ (0、 1、 2、 3) を示します。 <y> は bitslice0 の位置 (0 は下位ニブル、6 は上位ニブル) を示します。 これらポートにデータを駆動する必要はあ り ません。UltraScale+ ファ ミ リでのみ利用できます。

注記: MIPI D-PHY RX IP を IPI デザインで使用する場合、 このポートは

最上位に引き出す必要があ り ます。

clk_hs_rxp 入力 N/A ク ロ ッ ク レーンの HS 差動シ リ アル データ入力ピンの正側。7 シ リーズ FPGA ファ ミ リでのみ利用できます。

clk_hs_rxn 入力 N/A ク ロ ッ ク レーンの HS 差動シ リ アル データ入力ピンの負側。7 シ リーズ FPGA ファ ミ リでのみ利用できます。

clk_lp_rxp 入力 N/A ク ロ ッ ク レーンの LP シ リ アル データ入力ピンの正側。7 シ リーズ FPGA ファ ミ リでのみ利用できます。

clk_lp_rxn 入力 N/A ク ロ ッ ク レーンの LP シ リ アル データ入力ピンの負側。7 シ リーズ FPGA ファ ミ リでのみ利用できます。

data_hs_rxp[<n-1>:0](1)入力 N/A データ レーンの HS 差動シ リ アル データ入力ピンの正側。

7 シ リーズ FPGA ファ ミ リでのみ利用できます。

data_hs_rxn[<n-1>:0](1)入力 N/A データ レーンの HS 差動シ リ アル データ入力ピンの負側。

7 シ リーズ FPGA ファ ミ リでのみ利用できます。

data_lp_rxp[<n-1>:0](1)入力 N/A データ レーンの LP シ リ アル データ入力ピンの正側。

7 シ リーズ FPGA ファ ミ リでのみ利用できます。

data_lp_rxn[<n-1>:0](1)入力 N/A データ レーンの LP シ リ アル データ入力ピンの負側。

7 シ リーズ FPGA ファ ミ リでのみ利用できます。

注記:1. <n> はデータ レーン数です。

表 2‐15: I/O インターフェイス信号 (続き)

信号 方向クロックド メイン

説明

Page 23: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 23

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 2 章:製品仕様

AXI4‐Lite インターフェイスの信号

AXI4-Lite 信号 (s_axi_*) の詳細は、『Vivado Design Suite AXI: リ ファレンス ガイ ド』 (UG1037) [参照 2] を参照してください。

7 シリーズ FPGA ファ ミ リのキャリブレーシ ョ ン  ロジック信号

D-PHY RX IP には 7 シ リーズ FPGA ファ ミ リ用のキャ リブレーシ ョ ン ロジッ クが含まれます。 表 2-16 に、 キャ リブレーシ ョ ン ロジッ クに関するポート を示します。

アクテ ィブ レーン  サポート信号

D-PHY TX IP はアクティブ レーンをサポート します。表 2-17 に、アクティブ レーン サポートに関するポート を示します。

表 2‐16: 7 シリーズ FPGA ファ ミ リのキャリブレーシ ョ ン  ロジック信号

信号 方向 クロック  ド メイン 説明

cal_start_in入力 N/A キャ リブレーシ ョ ン ロジッ クを開始する

ための入力。

dlyctrl_rdy_out 出力 N/AVTC の変化に合わせて遅延値が調整されたこ とを示す IDEALYCTRL からの READY 信号出力。

cal_done_out 出力 core_clkキャ リブレーシ ョ ン ロジッ クがキャ リブレーシ ョ ンを完了し、 遅延値のスイープが完了したこ とを示すステータス ポート。

cal_pass_failn 出力 core_clk

受信データを正し くサンプリ ングできる遅延値をキャ リブレーシ ョ ン ロジッ クが検出したこ とを示すアクティブ High 信号。cal_done_out が High の場合のみ有効。

Idelay_tap_val_out [4:0] 出力 core_clk アイ中央に対応するタ ップ値を示します。cal_pass_failn が High の場合のみ有効。

表 2‐17: アクテ ィブ レーン サポート信号

信号 方向 クロック  ド メイン 説明

active_lanes_in[<n-1>:0](1)入力 core_clk

アクティブ レーンを指定するための入力。 この機能は D-PHY TX マルチレーン コンフ ィギュレーシ ョ ンの場合に利用できます。

LSB から MSB への順に、各ビッ トが TX データ レーン 0 ~ 3 に対応します。

注記:1. <n> はデータ レーン数です。

Page 24: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 24

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 2 章:製品仕様

レジスタ空間

表 2-19 に、 MIPI D-PHY コアのレジスタ空間を示します。 このレジスタ インターフェイスはオプシ ョ ンで、 これを利用して一般的なインターコネク ト ステートにアクセスできます。 また、 プロ ト コルのタイ ミ ング パラ メーター (INIT など) やプロコ トルのウォッチド ッグ タイマーを設定するための制御レジスタもあ り ます。

重要: このメモ リ空間は、 AXI ワード (32 ビッ ト ) 境界にアラインする必要があ り ます。

エンディアンネス

レジスタはすべて リ トル エンディアン方式です (表 2-18)。

表 2‐18: 32 ビッ ト  リ トル エンディアンの例

31 バイ ト 3 24 23 バイ ト 2 16 15 バイ ト 1 8 7 バイ ト 0 0

アドレス オフセッ ト 0x03 アドレス オフセッ ト 0x02 アドレス オフセッ ト 0x01 アドレス オフセッ ト 0x00

表 2‐19: MIPI D‐PHY コアのレジスタ空間

オフセッ ト 名前 幅 アクセス 説明

0x0 CONTROL 32 ビッ ト R/W PHY のイネーブルおよびソフ ト リセッ ト制御。

0x4 CAL_REG 32 ビッ ト N/Aキャ リブレーシ ョ ン タ ップ値レジスタ。 7 シ リーズ D-PHY RX コンフ ィギュレーシ ョ ンの場合のみ利用可能です。

0x8 INIT 32 ビッ ト R/W 初期化タイマー。

0xC 予約 32 ビッ ト N/A N/A

0x10 HS_TIMEOUT 32 ビッ ト R/W

HS モードのウォッチド ッグ タイムアウ ト。 SoT から EoT までの時間もタイマー経過時間に含めます。 このレジスタは Vivado IDE で [Enable HS and ESC Timeout Counters/Registers] をオンにした場合のみ利用できます。

RX (スレーブ) の場合は HS_RX_TIMEOUT を使用TX (マスター ) の場合は HS_TX_TIMEOUT を使用

0x14 ESC_TIMEOUT 32 ビッ ト R/W

プロ ト コル固有。 エスケープ モードの場合、 この期間を超えてラインが LP-00 にとどまる と コアはタイムアウ トを生成し、 Stop ステートに移行します。 このレジスタは Vivado IDE で [Enable HS and ESC Timeout Counters/Registers] をオンにした場合のみ利用できます。このレジスタは RX ではエスケープ モード タイムアウ トと して使用し、 TX ではエスケープ モード サイレンス タイムアウ ト と して使用します。 エスケープ モード タイムアウ トは、 エスケープ モード サイレンス タイムアウト よ り大きい値にする必要があ り ます。

0x18 CL_STATUS 32 ビッ ト RO ク ロ ッ ク レーンの PHY エラーを報告するためのステータス レジスタ。

0x1C ~ 0x28

DL0_STATUSDL1_STATUSDL2_STATUSDL3_STATUS

32 ビッ ト RO データ レーン 1 ~ 4 の PHY エラーを報告するためのステータス レジスタ。

Page 25: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 25

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 2 章:製品仕様

CONTROL レジスタ

表 2-20 に、CONTROL レジスタ (0x0 オフセッ ト ) のビッ ト割り当てと説明を示します。SRST に 1 を書き込むと MIPI D-PHY コアがリセッ ト されます。 MIPI D-PHY コアに対するソフ ト リセッ トの影響は、 40 ページの表 3-2 を参照してください。 MIPI D-PHY コアは DPHY_EN ビッ ト を 1 (デフォルト ) にセッ ト した場合のみ動作します。

CAL レジスタ

CAL レジスタ (0x4 オフセッ ト ) はキャ リブレーシ ョ ンに使用します。表 2-21 に、レジスタのビッ トの説明を示します。

表 2‐20: CONTROL レジスタのビッ トの説明

ビッ ト 名前 アクセス デフォルト値 説明

31:2 予約 RO 0 予約

1 DPHY_EN R/W 1D-PHY イネーブル ビッ ト 。

1: D-PHY コン ト ローラーを有効にします。0: D-PHY コン ト ローラーを無効にします。

0 SRST R/W 0

D-PHY コン ト ローラーのソフ ト リセッ ト 。

このビッ トに 1 を書き込むと、 D-PHY コン ト ローラーのファブリ ッ ク ロジッ ク と ステータス レジスタがリセッ ト されます。

表 2‐21: CAL レジスタのビッ トの説明

ビッ ト 名前 アクセス デフォルト値 説明

31:9 予約 RO 0 予約

8:4 IDELAY_TAP_VAL RO 0キャ リブレーシ ョ ン ロジッ クで計算した、 アイ中央に対応する IDELAY タ ップ値。 CAL_PASS_FAIL ビッ トがセッ ト されている場合のみ有効。

3 CAL_PASS_FAIL RO 0

キャ リブレーシ ョ ン ステータス (成功/失敗)。

0: キャ リブレーシ ョ ン中、 キャ リブレーシ ョ ン ロジックが適切なタップ値を見つけられなかった。

1: キャ リブレーシ ョ ン中、 キャ リブレーシ ョ ン ロジックが適切なタップ値を見つけた。

2 CAL_DONE RO 0

キャ リブレーシ ョ ン完了ステータス。

0: キャ リブレーシ ョ ン ロジッ クが遅延値のスイープを実行中。

1: キャ リブレーシ ョ ン ロジッ クが遅延値のスイープを完了した。

1 CAL_START RW 0

キャ リブレーシ ョ ン ロジッ ク開始。

0: キャ リブレーシ ョ ン ロジッ クがアイ ドル状態。

1: キャ リブレーシ ョ ン ロジッ クを開始する。

0 IDELAYCTRL_RDY RO 0

IDELAYCTRL の RDY ポート。

0: IDELAYCTRL の準備ができていない。

1: IDELAYCTRL の準備が完了。

Page 26: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 26

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 2 章:製品仕様

INIT レジスタ

INIT レジスタ (0x8 オフセッ ト ) はレーン初期化に使用します。 表 2-22 に、 レジスタのビッ トの説明を示します。

推奨: MIPI D-PHY TX コアの場合は INIT_VAL の値を 1ms 以上と し、 MIPI D-PHY RX コアの場合は 500 s とすることを推奨します。

HS_TIMEOUT レジスタ

HS_TIMEOUT レジスタ (0x10 オフセッ ト ) は HS モードでウォッチド ッグ タイマーと して使用します。 このレジスタは MIPI D-PHY TX コアでは HS_TX_TIMEOUT、 MIPI D-PHY RX コアでは HS_RX_TIMEOUT と して使用します。表 2-23 に、 HS_TIMEOUT レジスタのビッ トの説明を示します。

ESC_TIMEOUT レジスタ

ESC_TIMEOUT レジスタ (0x14 オフセッ ト ) はエスケープ モードでウォッチド ッグ タイマーと して使用します。表 2-24 に、 ESC_TIMEOUT レジスタのビッ トの説明を示します。

表 2‐22: INIT レジスタのビッ トの説明

ビッ ト 名前 アクセス デフォルト値 説明

31:0 INIT_VAL R/W

RX D-PHY IP: 500s (32'h7A120)

TX D-PHY IP: 1ms (32'hF4240)

初期化タイマーの値 (単位: ns)。

表 2‐23: HS_TIMEOUT レジスタのビッ トの説明

ビッ ト 名前 アクセス デフォルト値 説明

31:0HS_RX_TIMEOUT/HS_TX_TIMEOUT

R/W 65,541

最大フレーム長さ (単位: バイ ト )。有効な設定範囲は 1,000 ~ 65,541 です。

HS モードの RX データ レーンで HS_RX_TIMEOUT/[D-PHY Lanes] の長さでタイムアウ トが発生します。HS モードの TX データ レーンで HS_TX_TIMEOUT/[D-PHY Lanes] の長さでタイムアウ トが発生します。

表 2‐24: ESC_TIMEOUT レジスタのビッ トの説明

ビッ ト 名前 アクセス デフォルト値 説明

31:0 ESC_TIMEOUT R/W 25,600nsエスケープ タイムアウ トの長さ (単位: ns)。エスケープ モードのデータ レーンでタイムアウ トが発生します。

Page 27: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 27

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 2 章:製品仕様

CL_STATUS レジスタ

CL_STATUS レジスタ (0x18 オフセッ ト ) はクロ ッ ク レーンのステータスおよびステート マシンの制御状態を示します。 表 2-25 に、 CL_STATUS レジスタのビッ トの説明を示します。

DL_STATUS レジスタ

DL_STATUS レジスタ (0x1C ~ 0x28 オフセッ ト ) はデータ レーンのステータスおよびステート マシンの制御状態を示します。 表 2-26 に、 DL_STATUS レジスタのビッ トの説明を示します。

表 2‐25: CL_STATUS レジスタのビッ トの説明

ビッ ト 名前 アクセス デフォルト値 説明

31:6 予約 RO 0 予約

5 ERR_CONTROL RO 0

ク ロ ッ ク レーンの制御エラー。 このビッ トは MIPI D-PHY RX コアにのみ適用されます。 D-PHY RX ク ロ ック レーンが受信した HS 開始シーケンスまたは ULPS 開始/終了シーケンスにエラーがある と、 このビッ トがアサート されます。 このビッ トは、 D-PHY RX ク ロ ッ ク レーンがシ リ アル ラインで停止ステート を受信する とク リ アされます。

4 STOP_STATE RO 0 ク ロ ッ ク レーンが停止ステート。

3 INIT_DONE RO 0 レーンの初期化が完了する と 1 にセッ ト されます。

2 ULPS RO 0 コアが ULPS (ULP ステート ) モードの場合、 1 にセッ トされます。

1:0 MODE RO 02’b00: LP モード (制御モード )2’b01: HS モード2’b10: エスケープ モード

表 2‐26: DL_STATUS レジスタのビッ トの説明

ビッ ト 名前 アクセス デフォルト値 説明

31:16 PKT_CNT RO 0

データ レーンで送信または受信したパケッ トの数。パケッ ト数が 16'hFFFF に達してもロールオーバーしません。 リセッ ト されるまで値は 16'hFFFF のままです。このフ ィールドは rxbyteclkhs ク ロ ッ クを使用して更新されます。 D-PHY RX IP にリセッ ト を適用する場合は RX ク ロ ッ ク レーンが HS モードである必要があ り ます。 それ以外の場合、 MIPI D-PHY RX IP の設定ではこの値はリセッ ト されません。

15:7 予約 RO 0 予約

6 STOP_STATE RO 0 データ レーンが停止ステート。

5 ESC_ABORT R/W1C 0

このビッ トは、データ レーン エスケープ タイムアウ ト (RX の場合はエスケープ モード タイムアウ ト、 TX の場合はエスケープ モード サイレンス タイムアウ ト ) が経過する とセッ ト されます。 このビッ トは 1 を書き込むと ク リ アします。

Page 28: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 28

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 2 章:製品仕様

4 HS_ABORT R/W1C 0データ レーン HS タイムアウ ト (HS_TX_TIMEOUT または HS_RX_TIMEOUT) が経過する とセッ ト されます。このビッ トは 1 を書き込むと ク リ アします。

3 INIT_DONE RO 0 レーンの初期化が完了する と 1 にセッ ト されます。

2 ULPS RO 0 コアが ULPS モードの場合、 1 にセッ ト されます。

1:0 MODE RO 02’b00: LP モード (制御モード )

2’b01: HS モード2’b10: エスケープ モード

表 2‐26: DL_STATUS レジスタのビッ トの説明 (続き)

ビッ ト 名前 アクセス デフォルト値 説明

Page 29: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 29

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 3章

コアを使用するデザインこの章では、 コアを使用した設計をよ り容易にするためのガイ ド ラインおよび追加情報を紹介します。

一般的なデザイン  ガイド ライン

このセクシ ョ ンでは、 MIPI D-PHY コアとユーザー アプリ ケーシ ョ ン ロジッ クを組み合わせて完全に機能するデザインを作成する手順を説明します。

重要: こ こに記載するすべての設計手順がすべてのインプリ メンテーシ ョ ンで必要とは限り ません。 このマニュアルのロジッ ク デザイン ガイ ド ラインに注意深く従ってください。

スターテ ィング ポイン ト と してサンプル デザインを使用

シ ミ ュレーシ ョ ンやザイ リ ンクス デバイスに実装可能なサンプル デザインには MIPI D-PHY コアの各インスタンスが含まれます。 サンプル デザインは、 ユーザー デザインを構築するためのスターティング ポイン ト と して使用したり、 必要に応じてデザインの問題を解決するために使用できます。

難易度を把握

MIPI D-PHY コア デザインは、 どのテク ノ ロジにインプリ メン トする場合でもかなり難し く、 その難易度は次の要素によって異なり ます。

• 最大システム ク ロ ッ ク周波数

• ターゲッ ト デバイス アーキテクチャ

• ユーザー アプリ ケーシ ョ ンの性質

すべての MIPI D-PHY コアのインプリ メンテーシ ョ ンでは、 システム性能の要件に注意を払う必要があ り ます。 パイプライン処理、 ロジッ ク マップ、 配置制約、 およびロジッ ク複製は、 システム性能を向上させる最適な手段です。

レジスタの使用

デザインのタイ ミ ングをシンプルにし、 システム性能を向上させるには、 ユーザー アプリ ケーシ ョ ンと コア間のすべての入力と出力にフ リ ップフロ ップによるレジスタを使用してください。 信号のレジスタへの格納はすべてのパスで可能とは限り ませんが、 これによってタイ ミ ング解析が容易になり、 また Vivado® Design Suite でのデザインの配置配線も容易になり ます。

Page 30: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 30

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

タイ ミング クリテ ィ カルな信号を認識

コアのサンプル デザインに付属するザイ リ ンクス デザイン制約 (XDC) ファ イルは、 ク リ ティカルな信号を識別して適用すべきタイ ミ ング制約を特定するのに役立ちます。

許可された変更のみ実行

MIPI D-PHY コアは、 Vivado IDE でのカスタマイズを除き、 ユーザーによる変更は想定していません。 MIPI D-PHY コアでは、 Vivado IDE でパラ メーターを指定したユーザー設定のみがサポート されます。 それ以外の変更を加えるとシステムのタイ ミ ングやプロ ト コル適合性に悪影響を与える可能性があ り ます。

I/O 配置

MIPI D-PHY プロ ト コルがサポートする SLVS-200 I/O 規格は、 ザイ リ ンクス UltraScale+™ および Zynq® UltraScale+ MPSoC ファ ミ リの HP I/O バンクでしかサポート されません。 クロッ ク レーンの BITSLICE を先頭に、 データ レーンに対して連続したビッ ト スライスを使用するこ とを推奨します。 I/O 配置はすべて同じ I/O バンクに制限してください。

共有ロジック

共有ロジッ クは、 スタンドアロン コアと して、 または 1 つ以上のインスタンスを含むよ り大規模なデザインの一部と して使用できる柔軟なアーキテクチャを提供します。 これによ り HDL の変更が最小限に抑えられる と同時に、 コアの柔軟性も維持されます。

<component_name>_support という名前の階層があ り ます。 図 3-1 と図 3-2 に、 共有ロジッ クをコアに含めた場合とサンプル デザインに含めた場合のこの階層を示します。 図中の <component_name> には生成されたコアの名前が入ります。 この 2 つの階層の違いは、 コアの境界線です。 共有ロジッ クをどちらに含めるかは、 MIPI D-PHY コアの Vivado IDE の [Shared Logic] タブで設定します。

X-Ref Target - Figure 3-1

図 3‐1: コアに含まれた共有ロジック

<Component Name>_exdes

<Component Name>_core

Page 31: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 31

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

共有ロジッ クには MMCM と PLL が各 1 個、 そして BUFG が最大 4 個含まれます。

共有ロジックをコアに含む

次のいずれかに該当する場合は [Include Shared Logic in core] をオンにします。

• MMCM および PLL で生成したクロ ッ クをユーザーが直接制御する必要がない場合

• マルチコア デザインでカスタマイズ内容の異なる複数のコアを管理したい場合

• マルチコア システムでこれが最初の MIPI D-PHY コアの場合

これらのコンポーネン トはコアに含まれ、 これらコンポーネン トの出力ポートがコアの出力となり ます。

共有ロジックをサンプル デザインに含む

次のいずれかに該当する場合は [Include Shared Logic in example design] をオンにします。

• マルチコア デザインでこれが 2 番目の MIPI D-PHY コアの場合

• デザイン全体で MIPI D-PHY コアのカスタマイズ内容が 1 つしかない場合

• 入力クロ ッ クに直接アクセスしたい場合

MMCM と PLL を最大限に利用するには、 1 つの MIPI D-PHY コアは共有ロジッ クをコアに含めてカスタマイズし、も う 1 つのコアは共有ロジッ クをサンプル デザインに含めてカスタマイズします。1 つ目の MIPI D-PHY コアからの MMCM/PLL 出力を 2 つ目のコアへ接続できます。

細かい制御が必要な場合は、 [Include Shared Logic in example design] をオンにして、 サンプル デザインに生成された共有ロジッ クをベースにしてユーザー ロジッ クを作成します。

X-Ref Target - Figure 3-2

図 3‐2:サンプル デザインに含まれた共有ロジック

<Component Name>_exdes

<Component Name>_core

Page 32: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 32

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

ケース 1: UltraScale+ デバイスの MIPI D‐PHY TX コア

図 3-3 に、 共有ロジッ クを含む MIPI D-PHY TX コア (DPHY_TX_MASTER) から共有ロジッ クを含まない MIPI D-PHY TX コアのインスタンス (DPHY_TX_SLAVE) への共有可能リ ソースの接続を示します。

ケース 2: UltraScale+ デバイスの MIPI D‐PHY RX コア

図 3-4 に、 共有ロジッ クを含む MIPI D-PHY RX コア (DPHY_RX_MASTER) から共有ロジッ クを含まない MIPI D-PHY RX コアのインスタンス (DPHY_RX_SLAVE) への共有可能リ ソースの接続を示します。

X-Ref Target - Figure 3-3

図 3‐3: MIPI D‐PHY TX コアの共有ロジックの例

• • • • • • •• • • • • •

X-Ref Target - Figure 3-4

図 3‐4: MIPI D‐PHY RX コアの共有ロジックの例

Page 33: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 33

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

ケース 3: 7 シリーズ FPGA デバイスの MIPI D‐PHY TX コア

図 3-5 に、 共有ロジッ クを含む MIPI D-PHY TX コア (DPHY_TX_MASTER) から共有ロジッ クを含まない MIPI D-PHY TX コアのインスタンス (DPHY_TX_SLAVE) への共有可能リ ソースの接続を示します。

ケース 4: 7 シリーズ FPGA デバイスの MIPI D‐PHY RX コア

図 3-6 に、 共有ロジッ クを含む MIPI D-PHY RX コア (DPHY_RX_MASTER) から共有ロジッ クを含まない MIPI D-PHY RX コアのインスタンス (DPHY_RX_SLAVE) への共有可能リ ソースの接続を示します。

X-Ref Target - Figure 3-5

図 3‐5: 7 シリーズの MIPI D‐PHY TX コアの共有ロジックの例

X-Ref Target - Figure 3-6

図 3‐6: 7 シリーズの MIPI D‐PHY RX コアの共有ロジックの例

Page 34: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 34

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

I/O プランニング

MIPI D-PHY コアは I/O プランナー機能を使用して I/O を選択できます。TX コア構成のクロ ッ クおよびデータ レーンの場合、 選択した HP I/O バンクの任意の I/O を選択できます。

RX コアの場合、 クロ ッ ク レーンには選択した HP I/O バンクの DBC (Dedicated Byte Clock) または QBC (Quad Byte Clock) を選択できます。 RX 構成のクロ ッ ク レーンに QBC を選択した場合、 データ レーンにはすべての I/O ピンを選択できますが、 ク ロ ッ ク レーンに DBC を選択した場合はデータ レーンにバイ ト グループ I/O ピンしか選択できません。

UltraScale+ デバイスでは、 BITSLICE および BITSLICE_CONTROL のインスタンス数によ り 1 つの I/O バンクに 8 個の D-PHY IP コアをインプリ メン トできます。

重要: RX データ レーンに連続しない I/O ピンを選択した場合、 クロ ッ ク /ス ト ローブ伝搬のために 1、 2、 または 3 つの I/O ピン (RX_BITSLICE) が自動的に追加されて使用されます。 したがって、 RX 構成では I/O の使用率を高めるために隣接する I/O ピンを選択するこ とを推奨します。 RX データ ピンへのス ト ローブ伝搬は、 『UltraScale アークテクチャ SelectIO リ ソース ユーザー ガイ ド』 (UG571) [参照 3] に記載のバイ ト間およびニブル間のクロ ッキング規則に従います。

図 3-7 に、1 ク ロ ッ ク レーンと 1 データ レーンで構成した 8 個の MIPI D-PHY RX コアを 1 つの HP I/O バンクにインプ リ メン ト したものを示します。

DPHY_RX_MASTER は [Include Shared Logic in core] をオンにし、 それ以外のコアは [Include Shared Logic in example design] をオンにして構成します。 clkoutphy 信号はライン レート 1000Mb/s 用に DPHY_RX_MASTER コアの PLL 内部で生成され、 その他のすべてのスレーブ IP コア (DPHY_RX_SLAVE1 ~ DPHY_RX_SLAVE7) と共有します。 スレーブ IP を初期化するには、 system_rst および pll_lock 信号を接続する必要があ り ます。

注記: clkoutphy を共有する場合、 マスター コアとスレーブ コアは同じライン レートに設定する必要があ り ます。

Page 35: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 35

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

X-Ref Target - Figure 3-7

図 3‐7: 1 つの I/O バンクでの MIPI D‐PHY RX コアの共有ロジック使用例

Page 36: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 36

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

クロッキング

MIPI D-PHY コアには、 200MHz のフ リーランニング ク ロ ッ ク (core_clk) が必要です。 このクロ ッ クを MMCM (混合モード ク ロ ッ ク マネージャー ) に入力し、 IP コンフ ィギュレーシ ョ ンに基づいて必要なクロ ッ クを生成します。

図 3-8 と図 3-9 に、 UltraScale+ ファ ミ リの場合の MIPI D-PHY コアのクロ ッ ク図を示します。 MIPI D-PHY コアは core_clk を入力と して取り込み、 必要なクロ ッ クを MMCM から生成します。 ネイティブ モードでは、 PLL からの clkoutphy 信号を PHY ブロ ッ クの BITSLICE_CONTROL で使用します。

X-Ref Target - Figure 3-8

図 3‐8: MIPI D‐PHY コア TX のクロッキング (UltraScale+ ファ ミ リの場合)

X-Ref Target - Figure 3-9

図 3‐9: MIPI D‐PHY コア RX のクロッキング (UltraScale+ ファ ミ リの場合)

MMCM PLL

BITSLICE_CONTROL

D-PHY TX Logic

pll0_clk_in

txclkesc

core_clk (200 MHz)

clkoutphy

txbyteclkhs

PLL_CLK

RIU_CLK

RIU_CLK

pll0_clk_in

FIFO_WRCLK_OUT

clk_rxp

clk_rxn

From DBC,

QBC or

GC_QBC

pins

Page 37: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 37

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

図 3-10 と図 3-11 に、 7 シ リーズ FPGA ファ ミ リの場合の MIPI D-PHY コアのクロ ッ ク図を示します。MIPI D-PHY コアは core_clk を入力と して取り込み、 D-PHY TX IP の場合は必要なクロ ッ クを MMCM から生成します。 D-PHY RX IP では MMCM は使用しません。

X-Ref Target - Figure 3-10

図 3‐10: MIPI D‐PHY コア TX のクロッキング (7 シリーズ FPGA ファ ミ リの場合)

X-Ref Target - Figure 3-11

図 3‐11: MIPI D‐PHY コア RX のクロッキング (7 シリーズ FPGA ファ ミ リの場合)

MMCM

TX PHY

D-PHY TX Fabric Logic

core_clk (200 MHz)

IBUFDS BUFR

D-PHY RX Fabric Logic

clk_hs_rxn

clk_hs_rxp

core_clk (200 MHz)

Page 38: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 38

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

表 3-1 に、 コアのクロ ッ クの詳細を示します。

表 3‐1: MIPI D‐PHY のクロッキングの詳細

クロック   周波数 IP の構成 注記

core_clk 200.000MHz すべて制御ロジッ ク、および MMCMへの入力に使用します。

txbyteclkhs(1)10.000 ~ 187.500MHz

ライン レート を 8 分周して生成します。

MIPI D-PHY TX コア共有ロジッ クをコアに含む

PHY に入力し、 HS データ送信に使用します。7 シ リーズ デバイスでは、 ソースと して oserdes_clk90_out から生成します。

xiphy_byteclk_out(1)75.000 ~ 187.500MHz

ライン レート を特定の比率(2) で分周して生成します。

MIPI D-PHY TX コア 共有ロジッ クをコアに含むライン レート < 600Mb/s

PHY に入力し、 HS データ送信に使用します。 このクロ ッ クは 7 シ リーズ FPGA ファ ミ リでは使用しません。

clkoutphy_out(1)ライン レート 共有ロジッ クをコアに含む

PHY シ リアル ク ロ ッ ク。このクロ ッ クは 7 シ リーズ FPGA ファ ミ リでは使用しません。

txclkesc_out 10.000 ~ 20.000MHzMIPI D-PHY TX コア 共有ロジッ クをコアに含む

エスケープ モード動作に使用するクロ ッ ク。

txbyteclkhs_in(1)10.000 ~ 187.500MHz

ライン レート を 8 分周して生成します。

MIPI D-PHY TX コア共有ロジッ クをサンプル デザインに含む

PHY に入力し、 HS データ送信に使用します。7 シ リーズ FPGA ファ ミ リでは、 ソースと して oserdes_clk90_in から生成します。

xiphy_byteclk_in(1)75.000 ~ 187.500MHz

ライン レート を特定の比率(2) で分周して生成します。

MIPI D-PHY TX コア共有ロジッ クをサンプル デザインに含むライン レート < 600Mb/s

PHY に入力し、 HS データ送信に使用します。 このクロ ッ クは 7 シ リーズ FPGA ファ ミ リでは使用しません。

clkoutphy_in(1)ライン レート

共有ロジッ クをサンプル デザインに含む

PHY シ リアル ク ロ ッ ク。このクロ ッ クは 7 シ リーズ FPGA ファ ミ リでは使用しません。

txclkesc_in 10.000 ~ 20.000MHzMIPI D-PHY TX コア共有ロジッ クをサンプル デザインに含む

エスケープ モード動作に使用するクロ ッ ク。

rxbyteclkhs10.000 ~ 187.500MHz

ライン レート を 8 分周して生成します。

MIPI D-PHY RX コアRX ク ロ ッ ク レーンで受信したクロ ッ ク。 HS データ受信に使用します。

oserdes_clk_out ライン レート /27 シ リーズ FPGA ファ ミ リ共有ロジッ クをコアに含む MIPI D-PHY TX コア

TX ク ロ ッ ク レーンの OSERDES の CLK ピンを接続するために使用します。

oserdes_clk90_out ライン レート /27 シ リーズ FPGA ファ ミ リ共有ロジッ クをコアに含む MIPI D-PHY TX コア

TX データ レーンの OSERDES の CLK ピンを接続するために使用します。oserdes_clk_out とは位相を 90° シフ ト した関係にあり ます。

Page 39: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 39

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

重要: MIPI D-PHY コアに供給する入力クロ ッ クはすべて誤差を ±100PPM 以内とする必要があ り ます。 これに違反する とデータが破損または重複します。

リセッ ト

MIPI D-PHY コアではアクティブ High のリセッ ト信号 core_rst を使用します。

図 3-12 に、 MIPI D-PHY コアのパワーオン リセッ トの動作を示します。

1. core_rst 信号が core_clk の 40 サイクルだけアサート されます。 この 40 ク ロ ッ ク サイ クルは、 リセッ ト をシステム全体に伝搬するために必要な時間です。

2. core_rst がアサート されたこ とによ り、 mmcm_lock および pll_lock 信号が Low に遷移します。

3. core_rst がディアサート してから 100s 以内に mmcm_lock 信号がアサート され、 PLL への入力クロ ッ クが生成されます。

4. mmcm_lock がアサート されてから 100s 以内に pll_lock 信号がアサート されます。

5. 少なく と も T_INIT の期間、 LP-11 をラインに駆動します。 これによ り、 MIPI D-PHY コアはレーン初期化を完了します。 レーン初期化の完了は、 内部ステータス信号の init_done の波形で示されます。

6. LP-11 が LPX_PERIOD の期間アサート される と、 stopstate がアサート されます。

oserdes_clkdiv_out ライン レート /87 シ リーズ FPGA ファ ミ リ共有ロジッ クをコアに含む MIPI D-PHY TX コア

TX ク ロ ッ ク レーンの OSERDES の CLKDIV ピンを接続するために使用します。ソースと して oserdes_clk_out から生成します。

oserdes_clk_in ライン レート /27 シ リーズ FPGA ファ ミ リ共有ロジッ クをサンプル デザインに含む MIPI D-PHY TX コア

TX ク ロ ッ ク レーンの OSERDES の CLK ピンを接続するために使用します。

oserdes_clk90_in ライン レート /27 シ リーズ FPGA ファ ミ リ共有ロジッ クをサンプル デザインに含む MIPI D-PHY TX コア

TX データ レーンの OSERDES の CLK ピンを接続するために使用します。oserdes_clk_in とは位相を 90° シフ ト した関係にあ り ます。

oserdes_clkdiv_in ライン レート /87 シ リーズ FPGA ファ ミ リ共有ロジッ クをサンプル デザインに含む MIPI D-PHY TX コア

TX ク ロ ッ ク レーンの OSERDES の CLKDIV ピンを接続するために使用します。ソースと して oserdes_clk_in から生成します。

注記:1. txbyteclkhs ク ロ ッ ク と xiphy_byteclk ク ロ ッ クは同じ ク ロ ッ ク ソースまたは PLL から生成して ください。

2. ラ イン レートが 300 ~ 599Mb/s の場合、 比率は 4 です。 ラ イン レートが 150 ~ 299Mb/s の場合、 比率は 2 です。 ラ イン レートが 80 ~ 149Mb/s の場合、 比率は 1 です。 たとえば、 ラ イン レート 500Mb/s の場合 xiphy_byteclk の周波数は 125.000MHz です。

表 3‐1: MIPI D‐PHY のクロッキングの詳細 (続き)

クロック   周波数 IP の構成 注記

Page 40: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 40

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

表 3-2 に、MIPI D-PHY コアで利用可能なすべてのリセッ ト と、各リセッ トの影響を受けるコンポーネン ト を示します。

図 3-13 に、 MIPI D-PHY TX IP と MIPI RX IP を接続したシステムを示します。 Config 1 と Config 2 は、 同じデバイスまたは複数のデバイス内に含めるこ とができます。

システムにおける MIPI D-PHY TX コアと RX コアの推奨リセッ ト手順は次のとおりです (図 3-14 参照)。

1. MIPI D-PHY TX の core_rst 信号をアサート します。

2. MIPI D-PHY RX の core_rst 信号を core_clk の 40 サイクル以上アサート します。

3. MIPI D-PHY RX の core_rst 信号を解放します。

4. MIPI D-PHY TX の core_rst 信号を解放します。

5. T_INIT_SLAVE (500s) が経過する と MIPI D-PHY RX IP コアの初期化が完了し、stopstate がアサート されます。

6. T_INIT_MASTER (1ms) が経過する と MIPI D-PHY TX IP コアの初期化が完了し、stopstate がアサート されます。

X-Ref Target - Figure 3-12

図 3‐12: MIPI D‐PHY コアのパワーオン  リセッ ト  シーケンス

表 3‐2: リセッ トの影響

ファンクシ ョ ンブロック

core_rstDPHY_EN

(レジスタからのコア イネーブル)

SRST

(レジスタからのソフ ト  リセッ ト )s_axi_aresetn

TX/RX PCS あ り あ り あ り なし

TX/RX PHY あ り あ り なし なし

レジスタ あ り あ り あ り あ り

レーン初期化 あ り あ り なし なし

X-Ref Target - Figure 3-13

図 3‐13: MIPI D‐PHY TX および RX システム

core_rst (TX)

stopstate (TX)

core_rst (RX)

stopstate (RX)

Page 41: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 41

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

7. この時点で、 MIPI D-PHY TX IP コアは TX PPI インターフェイスからデータを受信できるよ うになり ます。

注記: MIPI D-PHY コアで core_rst をアサートするこ とは、 CONTROL レジスタの DPHY_EN ビッ ト をアサートするのと同じ効果があ り ます。

プロ ト コルの説明

HS 動作には、 クロ ッ ク レーンから生成した HS ク ロ ッ クを使用します。 ライン ステータスは LP 信号に基づいて検出します。 通常動作中、 レーン モジュールは常に制御モードまたは HS モードです。 HS 転送はバース トで実行され、 停止ステート (LP-11) から開始して停止ステートで終了します。

重要: MIPI D-PHY RX コアは 20ns 未満の LP ライン ステート を無視します。

このセクシ ョ ンでは、 MIPI D-PHY コアの機能について詳し く説明します。

初期化

電源投入後、 マスター PHY が停止ステート を駆動する期間が T_INIT を超える とスレーブ側の PHY が初期化されます。 T_INIT の設定値よ り も長い最初の停止ステート を初期化期間と呼びます。

注記: T_INIT はプロ ト コルごとに決まるパラ メーターで、 100μs よ り大きい値とする必要があ り ます。

HS (High Speed) 転送

高速データ ト ラフ ィ ッ クには HS シグナリ ングを使用します。 HS データ通信は、任意の数のペイロード データ バイト をバース ト転送して行います。

高周波数クロック送信

HS データ送信では、 クロ ッ ク レーンはマスターからスレーブへ小振幅差動高速 DDR ク ロ ッ クを送信します。 これはクロ ッ ク レーン PPI を経由してプロコ トルによって制御されます。 ク ロ ッ ク信号はデータ レーンで ト グルするビッ ト シーケンスに対して直交位相の関係にあ り ます。

エスケープ モード  

LP (Low-Power) 機能にはシングルエンド ト ランス ミ ッ ター (LP-TX)、 レシーバー (LP-RX)、 および LP 競合検出ロジッ ク (LP-CD) が含まれます。 このコアは一方向通信しかサポート していないため、 競合検出ロジッ クは不要です。LP 機能は 2 つのインターコネク ト ワイヤを個別に使用して動作するシングルエンド機能のため、 常にペアで存在します。

X-Ref Target - Figure 3-14

図 3‐14: MIP D‐PHY コアのリセッ ト  アサーシ ョ ン  シーケンス

Page 42: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 42

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

リモート  ト リガー

MIPI D-PHY では 4 種類のト リガー ・ コマンドが定義されています。 エスケープ モードでは、 MIPI D-PHY はスペースを挟んだワンホッ ト エンコードを使用して非同期通信を行います。 したがって、 このモードでのデータ レーンの動作はクロ ッ ク レーンに依存しません。

ト リガー シグナリ ングは、 送信側プロ ト コルの要求に応じて受信側プロ ト コルにフラグを送信するためのメカニズムです。 したがって、 コアはト リガー コマンドの後に受信したデータを解釈しません。

LP (Low‐Power) データ送信

LP データ送信 (LPDT) では、 LP モードのレーンのまま低速でプロ ト コルがデータ通信を実行します。 データはスペースを挟んだワンホッ ト エンコードでライン上に符号化されます。 符号化されたビッ トにはクロ ッ ク情報が含まれるため、 データはクロ ッ ク レーンに依存しません。 LP モードでは、 コアは最大 10Mb/s のデータ転送をサポートします。

注記: LPDT の最大クロ ッ ク周波数は 20MHz です。

ULP (Ultra Low‐Power) ステート

これはエスケープ モードの 1 種で、 クロ ッ ク レーンとデータ レーンの両方でサポート されます。 ULP ステートは、プロ ト コル タイ ミ ング パラ メーター T_WAKEUP で制御されるウェークアップ タイマーで終了できます。

インターフェイス

MIPI D-PHY コアには PPI インターフェイス と AXI4-Lite インターフェイスがあ り ます。

PPI インターフェイス

以降のセクシ ョ ンでは、 いくつかの例を挙げながら PPI のタイ ミ ングについて説明します。

例 1: D‐PHY TX (マスター ) 側からの HS 送信

このセクシ ョ ンでは、 D-PHY TX (マスター ) IP による HS 送信について説明します。 図 3-15 に、 これらの信号のタイ ミ ングを示します。

1. txrequesths が Low の間、 レーン モジュールは txdatahs[7:0] の値を無視します。 送信を開始するには、プロ ト コルが txdatahs 信号に最初のデータ バイ ト を駆動し、 txrequesths 信号をアサート します。

2. D-PHY は、 txreadyhs がアサート された後の最初の txbyteclkhs の立ち上がりエッジでこのデータ バイ トを取り込みます。 次に、 プロ ト コル ロジッ クが txdatahs に次のデータ バイ ト を駆動します。 txreadyhs がアクティブになった後、 すべての立ち上がり ク ロ ッ ク サイクルでプロ ト コルは新しい有効なデータ バイ ト を供給するか、 または送信を終了します。

3. 最後のデータ バイ トがレーン モジュールに転送される と txrequesths が Low に駆動され、 レーン モジュールは送信を終了して停止ステートに移行します。

4. txrequesths が Low に遷移した後、 txreadyhs 信号が Low に駆動されます。

送信されるバイ ト数は最小で 1 バイ トです。

注記: HS データ転送を開始するには TX ク ロ ッ ク レーンの txrequesths 信号をアサートする必要があ り ます。

Page 43: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 43

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

例 2: D‐PHY TX (マスター ) 側からの LP データ転送

このセクシ ョ ンでは、LP データ送信の動作について説明します。図 3-16 に、 これらの信号のタイ ミ ングを示します。

1. LP データ送信には txclkesc 信号を使用します。 PPI が txrequestesc をアサート し、 txlpdtesc を High にセッ ト し、 データ レーンに対して LP データ送信エスケープ モードに移行するよ うに指示します。

2. LP 送信データは、 txvalidesc と txreadyesc の両方がアクティブな txclkesc の立ち上がりエッジで txdataEsc[7:0] を使用して転送されます。 バイ トは MIPI D-PHY TX コアが txdataesc を受信 (txvalidesc と txreadyesc が High) 後に送信されるため、 最後のバイ トが送信された後もわずかな期間 txclkesc が動作を継続します。

3. バイ ト送信が完了する と、 txreadyesc がアサート され、 PPI に通知されます。

4. 最後のバイ トの送信が完了する と、 PPI は txrequestesc をディアサート して LP データ送信を終了します。これによ り txreadyesc は Low に戻り ます。 その後は、 txclkesc ク ロ ッ クは必要あ り ません。

例 3: D‐PHY TX (マスター ) 側からのト リガー コマンド送信

このセクシ ョ ンでは、 ト リ ガー送信の動作について説明します。 図 3-17 に、 これらの信号のタイ ミ ングを示します。

1. txtriggeresc[3:0] にト リガー値を駆動して txrequestesc をアサート します。

2. PPI にはシ リアル ラインに ト リガー コマンドが送信されたこ とを報告するハンドシェイ ク信号がないため、txclkesc の 30 ク ロ ッ ク サイクル経過後に txrequestesc が Low に駆動されます。 この 30 ク ロ ッ ク サイクルの間に、 MIPI D-PHY TX コアがシ リアル ラインに ト リガー コマンドを転送します。

X-Ref Target - Figure 3-15

図 3‐15: D‐PHY TX (マスター ) からの HS モード  データ転送

X-Ref Target - Figure 3-16

図 3‐16: D‐PHY TX (マスター ) からの LP データ転送

X-Ref Target - Figure 3-17

図 3‐17: D‐PHY TX (マスター ) からのト リガー コマンド送信

Page 44: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 44

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

例 4: D‐PHY TX (マスター ) データ  レーンの ULPS 動作

このセクシ ョ ンでは、 TX データ レーンの ULPS 動作について説明します。 図 3-18 に、 これらの信号のタイ ミ ングを示します。

1. PPI が txrequestesc を High に駆動して ULPS 開始要求を開始します。 txulpsesc 信号が txclkesc の 1 サイクルだけアサート されます。

2. ULPS コマンドがシ リ アル ラインに送信される と、MIPI D-PHY TX コアがデータ レーンの ulpsactivenot (アクティブ Low) を Low に駆動します。

3. PPI は txulpsexit パルスを駆動して ULPS 終了動作を開始します。

4. これに応答して、 MIPI D-PHY TX コアは ulpsactivenot 信号をディアサート し、 T_WAKEUP の期間だけラインに MARK-1 を送信します。

5. ulpsactivenot 信号がディアサート されてから T_WAKEUP の期間が経過する と、 PPI は txrequestesc をディアサート します。

例 5: D‐PHY TX (マスター ) クロック  レーンの ULPS 動作

このセクシ ョ ンでは、 TX ク ロ ッ ク レーンの ULPS 動作について説明します。 図 3-18 に、 これらの信号のタイ ミ ングを示します。

1. PPI が txulpsclk を駆動してクロ ッ ク レーンの ULPS モードを開始します。

2. ULPS 開始シーケンスがシ リ アル ラインに送信される と、 MIPI D-PHY TX コアがクロ ッ ク レーンの ulpsactivenot (アクティブ Low) を Low に駆動します。

3. PPI が txulpsexit 信号をアサート して ULPS を終了します。

4. MIPI D-PHY TX コアは ulpsactivenot を High に駆動し、 シ リ アル ラインに MARK-1 を駆動します。

5. ulpsactivenot 信号がディアサート されてから T_WAKEUP の期間が経過する と、 PPI は txrequestesc をディアサート します。

X-Ref Target - Figure 3-18

図 3‐18: D‐PHY TX (マスター ) データ  レーンの ULPS モード動作

X-Ref Target - Figure 3-19

図 3‐19: D‐PHY TX (マスター ) クロック  レーンの ULPS モード動作

Page 45: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 45

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

例 6: D‐PHY RX (スレーブ) 側の HS 受信

このセクシ ョ ンでは、 スレーブ側 PPI での HS 受信について説明します。 図 3-20 に、 これらの信号のタイ ミ ングを示します。

rxactivehs 信号は、 受信動作が継続中であるこ とを示します。 通常の受信は rxsynchs のパルスで開始し、 その後に rxbyteclkhs サイクルで有効受信データが続きます。 プロ ト コル側がすべてのデータを受信可能であるこ とを確認してください。 受信側プロ ト コルからデータ受信を一時停止したり受信速度を低下させたりする手段は用意されていません。

送信終了 (EoT) 処理は PHY では実行されないため、 最後の有効データ バイ トの後に 1 つ以上のバイ トが追加で現れます。 そのうちの最初のバイ ト (図 3-20 のバイ ト 「C」 ) はすべて 1 またはすべて 0 です。 それ以降のバイ トは現れるこ と も現れないこ と もあ り、 値は任意です。 バイ ト 「C」 を受信した後のいずれかの時点で、 rxactivehs 信号と rxvalidhs 信号が同時に Low に遷移します。 Low に遷移した後、 これらの信号は次の HS データ受信が開始するまで Low のままです。

注記: D-PHY RX データ レーンはそれぞれが独立して動作し、 シ リ アル ラ インから受信した HS データは PPI を経由して高次プロ ト コルに渡されます。 MIPI D-PHY RX IP は RX データ レーン間でのバイ ト アライ メン ト もスキュー調整も行いません。 これは高次プロコ トル コアで実行する必要があ り ます。 たとえばザイ リ ンクス MIPI CSI-2 サブシステムは、 PPI HS データに関して RX データ レーン間で最大 rxbyteclkhs の 2 ク ロ ッ ク サイ クルを補正します。

例 7: D‐PHY RX (スレーブ) 側の HS 受信 (エラーを含む SoT パターン)

MIPI D-PHY RX コアは 1 ビッ ト エラーを含む送信開始 (SoT) パターンを検出できます。 エラーがある と、rxerrsoths が rxbytehs の 1 ク ロ ッ ク サイクルだけアサート され、 rxsynchs がパルスして報告されます。図 3-21 に、 これらの信号のタイ ミ ングを示します。

X-Ref Target - Figure 3-20

図 3‐20: D‐PHY RX (スレーブ) での HS モード  データ受信

X-Ref Target - Figure 3-21

図 3‐21: D‐PHY RX (スレーブ) での HS モード  データ受信 (エラーを含む SoT パターン)

Page 46: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 46

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

例 8: D‐PHY RX (スレーブ) 側の HS 受信 (同期できない場合)

SoT パターンに複数ビッ トのエラーがある と、 MIPI D-PHY RX コアは rxerrsotsynchs を rxbyteclkhs の 1 クロ ッ ク サイ クルだけアサート して報告します。 この場合、 SoT パターンが破損しているこ とを意味します (図 3-22 参照)。 rxsynchs はアサート されません。 受信したペイロードは PPI に渡されます。

例 9: D‐PHY RX (スレーブ) 側の LP 受信

図 3-23 に、 LP モードにおける 1 バイ トのデータ受信を示します。

• MIPI D-PHY RX コアによってデータ レーン インターコネク トから rxclkesc 信号が生成されます。

• LPDT 開始コマンドを検出する と MIPI D-PHY RX コアは rxlpdtesc 信号をアサート します。 この信号は、LPDT 送信が終了してデータ レーンが停止ステートに戻るまで High のままです。

• rxvalidesc が High の間、 rxdataesc[7:0] は有効です。

例 10: D‐PHY RX (スレーブ) 側の LP 受信 (同期エラーあり )

LPDT 中に受信した有効ビッ トの数が 8 の倍数でない場合、 MIPI D-PHY RX コアは PPI にエラーを報告します。 これは、 errsyncesc と stopstate のアサートによって示されます。 これら信号はシ リ アル ラ インのステートが次に変化するまでアサート されたままです。 図 3-24 に、 これらの信号のタイ ミ ングを示します。

X-Ref Target - Figure 3-22

図 3‐22: D‐PHY RX (スレーブ) での HS モード  データ受信 (同期できない場合)

X-Ref Target - Figure 3-23

図 3‐23: D‐PHY RX (スレーブ) での LP データ受信

X-Ref Target - Figure 3-24

図 3‐24: D‐PHY RX (スレーブ) での LP データ受信 (同期エラーあり )

Page 47: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 47

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

例 11: D‐PHY RX (スレーブ) データ  レーンの ULPS 動作

RX データ レーンが ULPS モードに移行する と、 rxulpsesc 信号と ulpsactivenot (アクティブ Low) 信号がアサート されます。 ラインで MARK-1 を受信する と ULPS モードが終了し、 ulpsactivenot がディアサート されます。 MARK-1 の受信が T_WAKEUP の期間 (1ms 以上) 続く と、 rxulpsesc がディアサート されます。 図 3-25 に、 これらの信号のタイ ミ ングを示します。

例 12: D‐PHY RX (スレーブ) クロック  レーンの ULPS 動作

RX ク ロ ッ ク レーンが ULPS モードに移行する と、 rxulpsclknot (アクティブ Low) 信号と ulpsactivenot (アクティブ Low) 信号がアサート されます。 ラインで MARK-1 を受信する と ULPS モードが終了し、 ulpsactivenot がディアサート されます。 MARK-1 の受信が T_WAKEUP の期間 (1ms 以上) 続く と、 rxulpsclknot がディアサートされます。 図 3-26 に、 これらの信号のタイ ミ ングを示します。

例 13: forcerxmode を使用した RX データ  レーン初期化

RX データ レーンは forcerxmode 信号を使用して初期化できます。 図 3-27 に、 これらの信号のタイ ミ ングを示します。

1. forcerxmode は非同期信号で、 core_clk を使用してサンプルします。

2. forcerxmode をアサートする とレーン初期化ステータスがリセッ ト されます (波形の init_done 信号を参照)。

3. D-PHY TX (マスター ) は、 dp/dn シ リ アル ラインに LP-11 を少なく と も T_INIT の期間駆動する必要があ り ます。これで RX データ レーンが初期化されます。

4. レーンが初期化される と Stopstate が High に駆動されます。

5. forcerxmode は stopstate をサンプリ ングしてディアサートできます。

X-Ref Target - Figure 3-25

図 3‐25: D‐PHY RX (スレーブ) データ  レーンの ULPS モード動作

X-Ref Target - Figure 3-26

図 3‐26: D‐PHY RX (スレーブ) クロック  レーンの ULPS モード動作

Page 48: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 48

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

注記: MIPI D-PHY RX (スレーブ) から MIPI D-PHY TX (マスター ) へのバッ ク チャネル通信はサポート されません。したがって、 MIPI D-PHY RX コア モジュールで forcerxmode が駆動された後に MIPI D-PHY TX がシ リ アル ラインに LP-11 を駆動するよ うにユーザーが注意する必要があ り ます。 これに違反した場合、 MIPI D-PHY RX コアは初期化を完了しません。

AXI4‐Lite インターフェイス

レジスタ インターフェイスには、 シンプルであるこ とから AXI4-Lite インターフェイスを採用しています。 図 3-28 と図 3-29 に、 代表的な AXI4-Lite 書き込みおよび読み出し ト ランザクシ ョ ンのタイ ミ ング図を示します。

X-Ref Target - Figure 3-27

図 3‐27: forcerxmode を使用した RX データ  レーン初期化

X-Ref Target - Figure 3-28

図 3‐28: AXI4‐Lite 書き込みタイ ミング図

Page 49: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 49

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 3 章: コアを使用するデザイン

X-Ref Target - Figure 3-29

図 3‐29: AXI4‐Lite 読み出しタイ ミング図

Page 50: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 50

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 4章

デザイン  フローの手順この章では、 コアのカスタマイズと生成、 制約、 およびシ ミ ュレーシ ョ ン/合成/インプリ メンテーシ ョ ンの手順について説明します。 一般的な Vivado® デザイン フローおよび IP インテグレーターの詳細は、 次の Vivado Design Suite ユーザー ガイ ドを参照してください。

• 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994) [参照 4]

• 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 5]

• 『Vivado Design Suite ユーザー ガイ ド : 入門』 (UG910) [参照 6]

• 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900) [参照 7]

コアのカスタマイズおよび生成

こ こでは、 ザイ リ ンクス ツールを使用し、 Vivado® Design Suite でコアをカスタマイズおよび生成する方法について説明します。

Vivado IP インテグレーターでコアをカスタマイズおよび生成する場合は 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994) [参照 4] を参照して ください。 IP インテグレーターは、デザインの検証または生成時に一部のコンフ ィギュレーシ ョ ン値を自動的に計算する場合があ り ます。 値が変わるかど うかを確認するには、 この章のパラ メーターの説明を参照してください。 パラ メーター値を確認するには、 Tcl コンソールから validate_bd_design コマンドを実行して ください。

IP はユーザー デザインに合わせてカスタマイズできます。 それには、 IP コアに関連する各種パラ メーターの値を次の手順に従って指定します。

1. IP カタログから IP を選択します。

2. 選択した IP をダブルク リ ッ クするか、 ツールバーまたは右ク リ ッ ク メニューから [Customize IP] コマンドをクリ ッ ク します。

詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 5] および 『Vivado Design Suite ユーザー ガイ ド : 入門』 (UG910) [参照 6] を参照してください。

注記: この章の図には Vivado IDE のスク リーンシ ョ ッ トが使用されていますが、 現在のバージ ョ ンとはレイアウ トが異なる場合があ り ます。

次のパラ メーターを使用してコアをカスタマイズするこ と も、 パラ メーターをデフォルトのまま使用するこ と もできます。

Page 51: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 51

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 4 章:デザイン フローの手順

[Core Configuration] タブ

図 4-1 に示す [Core Configuration] タブで MIPI D-PHY コアをカスタマイズします。

[Component Name]

このコアに対して生成される出力ファイルのベース名です。

重要: 最初の 1 文字は必ず小文字アルファベッ ト と し、 2 文字目以降は a ~ z、 A ~ Z、 0 ~ 9、 アンダースコア (_) を自由に組み合わせるこ とができます。

[Core Parameters]

[D‐PHY Lanes]

コアで使用するデータ レーン数を選択します。 有効な設定範囲は 1 ~ 4 です。

[Line Rate (Mbps)]

ライン レートの値を Mb/s 単位で入力します。 有効な設定範囲は 80 ~ 1,500Mb/s です。 ライン レートは、 選択したデバイスのスピード グレード とパッケージに基づいて制限されます。 ラ イン レートの制限について、 詳細は各デバイス ファ ミ リのデータシート を参照してください。

X-Ref Target - Figure 4-1

図 4‐1: [Core Configuration] タブ

Page 52: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 52

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 4 章:デザイン フローの手順

[Data Flow]

データ転送の方向を選択します。 [TX] (マスターの場合) と [RX] (スレーブの場合) のどちらかを選択できます。

[Escape Clk (MHz)]

MIPI D-PHY マスター (TX) コアの場合、有効なエスケープ ク ロ ッ ク周波数を MHz 単位で入力します。有効な設定範囲は 10.000 ~ 20.000MHz です。 この項目は MIPI D-PHY TX コアの場合のみ有効です。

[LPX Period (ns)]

MIPI D-PHY マスター (TX) コアの場合、有効な LPX 期間を ns 単位で入力します。有効な設定範囲は 50 ~ 100ns です。

[Control and Debug]

[Enable Active lanes support]

TX データ レーンを制御するにはこのオプシ ョ ンをオンにします。アクティブ レーンを有効にする と、D-PHY TX は IP を構成するレーンよ り も下位のレーンを使用できます。 この場合、 active_lanes_in バス入力の対応するビット をディアサートする と任意の TX データ レーンを下位にして無効化できます。 active_lanes_in は、 すべてのデータ レーンが停止ステートのと きに更新するこ とを推奨します。 active_lanes_in 信号をユーザーが駆動した場合、 HS_TX_TIMEOUT は内部で無効にされます。

[Enable AXI4‐Lite Register I/F]

制御およびデバッグ用に AXI4-Lite ベースのレジスタ インターフェイスを選択します。

[Protocol Watchdog Timers]

[Enable HS and ESC Timeout Counters/Registers]

HS_TX_TIMEOUT/HS_RX_TIMEOUT および ESC_TIMEOUT カウンターを有効にします。 AXI-4 Lite レジスタ インターフェイスを有効にした場合、 このチェッ ク ボッ クスをオンにする と HS_TIMEOUT および ESC_TIMEOUT レジスタが有効になり ます。

[HS Timeout (Bytes)]

HS モードの最大送信または受信長さ (単位: バイ ト ) を入力します。 有効な設定範囲は 1,000 ~ 65,541 バイ トです。

[Escape Timeout (ns)]

LPDT エスケープ モードの最大送信または受信長さ (単位: ns) を入力します。有効な設定範囲は 800 ~ 25,600ns です。

[Calibration Mode]

7 シ リーズの D-PHY RX IP に対するキャ リブレーシ ョ ンを選択します。 使用可能なオプシ ョ ン:

• [None] (デフォルト )- IDELAYE2 プリ ミ ティブを追加しません。

• [Fixed] - [IDELAY Tap Value] で設定した値を IDELAYE2 タ ップ値と して使用します。

• [Auto] - IDELAYE2 プリ ミ ティブを追加します。 IDELAY タ ップ値は、 受信した ト ラフ ィ ッ クおよびキャ リブレーシ ョ ン アルゴ リズムに基づいて D-PHY RX IP によって設定されます。

[IDELAY Tap Value]

固定モードのキャ リブレーシ ョ ンで使用する IDELAY タ ップ値を入力します。 有効な設定範囲は 1 (デフォルト ) ~ 31 です。

Page 53: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 53

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 4 章:デザイン フローの手順

[Shared Logic] タブ

図 4-2 に、 [Customize IP] ダイアログ ボッ クスの [Shared Logic] タブを示します。

注記: 7 シ リーズの D-PHY RX 構成ではこのタブは利用できません。

このタブで、 MMCM と PLL をコアに含めるかサンプル デザインに含めるかを選択します。

使用可能なオプシ ョ ン:

• [Include Shared Logic in core]

• [Include Shared Logic in example design] (デフォルト )

X-Ref Target - Figure 4-2

図 4‐2: [Shared Logic] タブ

Page 54: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 54

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 4 章:デザイン フローの手順

[Pin Assignment] タブ

図 4-3 に、 このコアの I/O ピン パラ メーターを示します。

注記: 7 シ リーズの D-PHY RX 構成ではこのタブは利用できません。

[HP IO Bank Selection]

ク ロ ッ ク レーンおよびデータ レーンをインプ リ メン トする HP I/O バンクを選択します。

[Clock Lane]

ク ロ ッ ク レーンの LOC を選択します。 選択した HP I/O バンク内の I/O バイ ト グループを決定します。

[Data Lane 0/1/2/3]

ク ロ ッ ク レーンの選択内容に基づいてデータ レーン 0、 1、 2、 3 の LOC が表示されます。

X-Ref Target - Figure 4-3

図 4‐3: [Pin Assignment] タブ

Page 55: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 55

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 4 章:デザイン フローの手順

ユーザー パラメーター

表 4-1 に、 Vivado IDE の各パラ メーターとユーザー パラ メーターの対応関係を示します。 ユーザー パラ メーターは Tcl コンソールに表示できます。

出力の生成

詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 5] を参照してください。

コアへの制約

こ こでは、 Vivado Design Suite でコアに制約を指定する方法について説明します。

必須の制約

このセクシ ョ ンでは、 コアのその他の制約要件を定義します。 制約は、 ザイ リ ンクス デザイン制約 (XDC) ファ イルで与えます。 HDL サンプル デザインには XDC ファ イルが付属しており、 このファ イルを元に実際のデザインの制約を作成できます。

デバイス、 パッケージ、 スピード  グレードの選択

このセクシ ョ ンは、 この IP コアには適用されません。

表 4‐1: Vivado IDE のパラメーターとユーザー パラメーターの対応

Vivado IDE のパラメーター /値 ユーザー パラメーター /値 デフォルト値

[Core Parameters]

[D-PHY Lanes] C_DPHY_LANES 1

[Line Rate (Mbps)] C_LINE_RATE 1,000

[Dataflow Mode] C_DATA_FLOW Master (TX)

[Escape Clk (MHz)] C_ESC_CLK_PERIOD 20.000

[LPX Period (ns)] C_LPX_PERIOD 50

[Protocol Watchdog Timers]

[Enable HS and ESC Timeout Counters/Registers]

C_EN_TIMEOUT_REGS 0

[HS Timeout (Bytes)] C_HS_TIMEOUT 65,541

[Escape Timeout (ns)] C_ESC_TIMEOUT 25,600

[Debug and Control]

[Enable AXI4-Lite Register I/F] C_EN_REGIF 0

[Enable Active lanes support] C_EN_ACT_LANES 0

Page 56: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 56

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 4 章:デザイン フローの手順

クロック周波数

core_clk は次のよ うに指定します。

create_clock -name core_clk -period 5.000 [get_ports core_clk]

この制約は、 MMCM および PCS ロジッ クに供給する core_clk の周波数を定義します。

クロック管理

MIPI D-PHY コアは MMCM を使用して汎用インターコネク ト ク ロ ッ クを生成し、 PLL を使用して PHY のシ リアル ク ロ ッ ク とパラレル ク ロ ッ クを生成します。 MMCM への入力には、 「ク ロ ッ ク周波数」 に示した制約を与えます。ク ロ ッ ク管理には、 これ以上の制約は必要あ り ません。

クロック配置

このセクシ ョ ンは、 この IP コアには適用されません。

バンク設定

MIPI D-PHY コアは、 [Pin Assignment] タブのオプシ ョ ンを使用して HP I/O バンクを選択します。 ク ロ ッ ク レーンとデータ レーンは、 選択した I/O バンクの BITSLICE にインプリ メン ト されます。

注記: [Pin Assignment] タブは、 7 シ リーズ デバイスの D-PHY IP の設定では利用できません。

ト ランシーバーの配置

このセクシ ョ ンは、 この IP コアには適用されません。

I/O 規格と配置

UltraScale+™ ファ ミ リの XDC ファ イルでは、 MIPI 規格のシ リ アル I/O ポートは I/O 規格に MIPI_DPHY_DCI を使用します。 デザインのすべての入力/出力ポートに対して、 XDC ファ イルで LOC と I/O 規格を指定する必要があ り ます。 UltraScale+ MIPI D-PHY IP は、 IP カスタマイズ時に選択したピンに対して I/O ピン LOC を生成します。 7 シ リーズ MIPI D-PHY IP デザインの場合、 I/O ピン LOC は提供されません。 7 シ リーズの場合、 RX ク ロ ッ ク レーンに対してクロ ッ ク兼用 I/O を手動で選択し、 D-PHY TX IP および D-PHY RX IP 構成の両方で I/O 選択をその I/O バンク内に制限する必要があ り ます。

UltraScale+ の MIPI D-PHY TX IP コアの場合、VRP ピンが接続された I/O バンクを選択するこ とを推奨します。VRP ピンがデバイスの同じ I/O カラムのほかの I/O バンクに存在する場合は、 次の DCI_CASCADE XDC 制約を使用してください。 この例では、 I/O バンク 65 に VPR ピンがあり、 D-PHY TX IP が I/O バンク 66 を使用しているものと します。

set_property DCI_CASCADE {66} [get_iobanks 65]

Page 57: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 57

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 4 章:デザイン フローの手順

シ ミ ュレーシ ョ ン

Vivado シ ミ ュレーシ ョ ン コンポーネン トについて、 またサポート されているサードパーティ ツールについては、『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900) [参照 7] を参照してください。

合成およびインプリ メンテーシ ョ ン

合成およびインプ リ メンテーシ ョ ンの詳細は、 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896) [参照 5] を参照してください。

Page 58: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 58

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 5章

サンプル デザインこの章では、 Vivado® Design Suite で提供されているサンプル デザインについて説明します。

概要

最上位モジュールは、 このコアおよびサンプル デザインをハード ウェアに実装するために必要なすべてのコンポーネン ト をインスタンシエート しています (図 5-1)。 これには FRM_GEN、 DPHY TX IP、 FRM_CHK および DPHY RX IP モジュールが含まれます。

FRM_GEN モジュールは HS (High-Speed) モードおよび LPDT (Low-Power Data Transmission) のユーザー ト ラフ ィ ッ クを生成します。 このモジュールはリニア フ ィードバッ ク シフ ト レジスタ (LFSR) を使用した擬似乱数生成器を内蔵し、 予測可能なデータ シーケンスが生成される初期値を使用しています。

FRM_CHK モジュールは RX データの完全性をチェッ ク します。 このモジュールは FRM_GEN モジュールと同じ LFSR および初期値を使用し、 期待される RX データを生成します。 受信したユーザー データ と ローカルで生成したデータを比較し、 データが一致しない場合はエラーを報告します。

サンプル デザインを利用するこ とによって、 MIPI D-PHY コアのデザインを素早く構築し、 ボード上で動作させたり、 モジュールのシ ミ ュレーシ ョ ンを実行できます。 ボード上でサンプル デザインを使用する場合は、<component_name>_exdes.xdc ファ イルで適切なピン制約およびクロ ッ ク制約を与える必要があ り ます。

重要: このインプリ メンテーシ ョ ンは参考用であ り、 サンプル テス トベンチのデモ用と してのみ使用してください。

X-Ref Target - Figure 5-1

図 5‐1: MIPI D‐PHY コアのサンプル デザイン

X14606

Page 59: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 59

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 5 章:サンプル デザイン

サンプル デザインのシミ ュレーシ ョ ン

シ ミ ュレーシ ョ ンの詳細は、 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900) [参照 7] を参照してください。

シ ミ ュレーシ ョ ン スク リプ トは、 次の動作を実行します。

1. MIPI D-PHY サンプル デザインおよび必要なシ ミ ュレーシ ョ ン ファ イルをコンパイルする。

2. シ ミ ュレーシ ョ ンを実行する。

3. シ ミ ュレーシ ョ ンが正常に完了したかをチェッ クする。

テス トにパスする と、 次のメ ッセージが表示されます。

MIPI_D-PHY_TB : INFO: Test Completed Successfully

テス トに失敗する と、 次のメ ッセージが表示されます。

MIPI_D-PHY_TB : ERROR: Test Failed

テス トがハングする と、 次のメ ッセージが表示されます。

MIPI_D-PHY_TB : ERROR: Test did not complete (timed-out)

Page 60: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 60

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 6章

テストベンチこの章では、 Vivado® Design Suite で提供されているテス トベンチについて説明します。

MIPI D-PHY コアは、 サンプル デザイン用のデモ テス トベンチを提供します。 この章では、 MIPI D-PHY コアのテストベンチおよびその機能について説明します。 テス トベンチは、 次のモジュールで構成されます。

• 被試験デバイス (DUT)

• ク ロ ッ クおよびリセッ ト ジェネレーター

• ステータス モニター

サンプル デザイン用のデモ テス トベンチは、 サンプル デザインとコア自体を動作させるこ とを目的と したシンプルな Verilog モジュールです。MIPI D-PHY RX サンプル デザインに外部でループバッ クした MIPI D-PHY TX サンプル デザインのインスタンスをシ ミ ュレーシ ョ ンします。図 6-1 に MIPI D-PHY テス トベンチを示します。こ こでは DUT1 を D-PHY TX と して構成し、 DUT2 を D-PHY RX と して構成しています。

MIPI D-PHY テス トベンチは必要なすべてのクロ ッ クおよびリセッ ト信号を生成し、 データ パターン チェッ クが正し く完了するのを待ちます。 データ パターン チェッ クの成功が検出できない場合、 エラーを生成します。

Page 61: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 61

PG202 2016 年 10 月 5 日 japan.xilinx.com

第 6 章: テストベンチ

X-Ref Target - Figure 6-1

図 6‐1: MIPI D‐PHY テストベンチ

X14607-012716

Page 62: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 62

PG202 2016 年 10 月 5 日 japan.xilinx.com

付録 A

検証、 互換性、 相互運用性MIPI D-PHY コアは、 シ ミ ュレーシ ョ ンとハード ウェア テス トの両方で検証されています。 コアの検証には、 高度にパラ メーター指定可能な ト ランザクシ ョ ン ベースのシ ミ ュレーシ ョ ン テス ト手法が用いられています。 テス トの内容は次のとおりです。

• HS (High-Speed) データ送信

• HS データ受信

• LP (Low-Power) データ送信 (LPDT)

• LPDT データ受信

• ク ロ ッ ク レーンの ULPS (Ultra-Low Power State) 動作

• データ レーンの ULPS 動作

• ト リ ガーおよびエスケープ モード コマンド

• エラー状態からの回復

• レジスタ読み出し /書き込みアクセス

ハードウェア バリデーシ ョ ン

MIPI D-PHY コアは、 ザイ リ ンクス評価プラ ッ ト フォームを使用してハード ウェアで機能、 性能、 信頼性をテス ト しています。 すべてのモジュールを対象とする MIPI D-PHY コアの検証環境は、 個々のモジュールのパラ メーターすべてをテス トできるよ う、 常に変更されています。

MIPI D-PHY コアの一連のテス ト シナリオは、 Zynq® UltraScale+™ MPSoC ZCU102 開発ボードを使用してバリデーシ ョ ンしています。 このボードを使用する と、 MIPI D-PHY コアを使用して 2 つのボード間で高速シ リ アル通信を行うシステム デザインのプロ ト タイプを作成できます。

7 シ リーズ デバイスは MIPI IOB をネイティブにサポート していないため、 MIPI IP は HP バンク I/O をターゲッ トにしてインプ リ メン トする必要があ り ます。 MIPI IOB 準拠のソ リ ューシ ョ ンおよびガイダンスの詳細は、 『D-PHY ソリ ューシ ョ ン』 (XAPP894) [参照 10] を参照してください。

Page 63: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 63

PG202 2016 年 10 月 5 日 japan.xilinx.com

付録 B

デバッグこの付録では、 ザイ リ ンクス サポート ウェブサイ ト よ り入手可能な リ ソースおよびデバッグ ツールについて説明します。

ザイリンクス ウェブサイ ト

MIPI D-PHY を使用した設計およびデバッグでヘルプが必要な場合は、 ザイ リ ンクス サポート ウェブ ページから製品の資料、 リ リース ノート、 アンサーなどを参照するか、 テクニカル サポートでケースを開いてください。

資料

この製品ガイ ドは MIPI D-PHY に関する主要資料です。 このガイ ド、 並びに設計プロセスで使用する各製品の関連資料はすべて、 ザイ リ ンクス サポート ウェブ ページ (http://japan.xilinx.com/support) または Xilinx Documentation Navigator から入手できます。

Xilinx Documentation Navigator はダウンロード ページからダウンロードできます。 このツールの詳細および機能は、インス トール後にオンライン ヘルプを参照してください。

アンサー

アンサーには、 よ く発生する問題についてその解決方法、 およびザイ リ ンクス製品に関する既知の問題などの情報が記載されています。 アンサーは、 ユーザーが該当製品の最新情報にアクセスできるよ う作成および管理されています。

このコアに関するアンサーの検索には、 ザイ リ ンクス サポート ウェブ ページにある検索ボッ クスを使用します。 より的確な検索結果を得るには、 次のよ うなキーワードを使用してください。

• 製品名

• ツールで表示される メ ッセージ

• 問題の概要

検索結果は、 フ ィルター機能を使用してさ らに絞り込むこ とができます。

MIPI D‐PHY に関するマスター アンサー

AR: 54550

Page 64: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 64

PG202 2016 年 10 月 5 日 japan.xilinx.com

付録 B:デバッグ

テクニカル サポート

ザイ リ ンクスは、 製品資料の説明に従って使用されている LogiCORE™ IP 製品に対するテクニカル サポート をザイリ ンクス サポート ウェブ ページで提供しています。 ただし、 次のいずれかに該当する場合、 タイ ミ ング、 機能、 サポートは保証されません。

• 資料で定義されていないデバイスにソ リ ューシ ョ ンをインプリ メン ト した場合

• 資料で定義されている許容範囲を超えてカスタマイズした場合

• 「DO NOT MODIFY」 と されているデザイン セクシ ョ ンに変更を加えた場合

ザイ リ ンクス テクニカル サポートへのお問い合わせに関しては、 ザイ リ ンクス サポート ウェブ ページを参照してください。

Vivado Design Suite のデバッグ ツール

Vivado® Design Suite のデバッグ機能は、Logic Analyzer および Virtual I/O コアをユーザー デザインに直接挿入します。デバッグ機能を使用する と、 ト リガー条件を設定して、 アプリ ケーシ ョ ンおよび統合ブロッ クのポート信号をハード ウェアに取り込むこ とができます。 取り込まれた信号は、 その後解析できます。 Vivado IDE のこの機能は、 ザイリ ンクス デバイスで実行されるデザインの論理デバッグおよび検証に使用されます。

Vivado ロジッ ク解析は次の IP ロジッ ク デバッグ コアと共に使用されます。

• ILA 2.0 (およびそれ以降のバージ ョ ン)

• VIO 2.0 (およびそれ以降のバージ ョ ン)

詳細は、 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908) [参照 8] を参照してください。

Page 65: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 65

PG202 2016 年 10 月 5 日 japan.xilinx.com

付録 B:デバッグ

シ ミ ュレーシ ョ ン  デバッグ

図 B-1 に、 Mentor Graphics Questa Simulator (QuestaSim) のシ ミ ュレーシ ョ ン デバッグ フローを示します。 ほかのシミ ュレータについても、 同様の手法を使用できます。

X-Ref Target - Figure B-1

図 B‐1: QuestaSim のシミ ュレーシ ョ ン  デバッグ フロー

• • • • •• • •• • • • • • • • • •

• •• • •• ••• • •• • • • • • • • • • • • • • • • • • • • •

• • • • • ••• •••• • •••••••••••••••

•• •• • ••• • • • • ••• • ••••••••••••••••••

• • •• •• • •• ••• • • • •• •• •• ••• •••• • •••••••••••••••••••••••••••••••

• • •• ••• ••• • •• • •• • • • •• • •• • • • • • • • • • • • • • • • • • • • • • • • • • •••••

•• ••• •• •• • •• •• • •••••••••••••••••

• • •• • •• • • • • • • • • • • • • •• •

•••• • •••• • •• • ••• • •• • • • • • • • • • • • • • • • • • • • • • • •

• • • • •• •• •• • • • • • • • • • • • • • ••• • • • •• •• • •• ••• • ••••••••••••••••••

••• • • • • • • • • • • •• •

• • • • •• •• • •• ••• • ••• • •• • •• ••••••••••••••••••••••••••

• • • • • •• •• • • •• • •• •• • ••• • • • • • • • • • • • • • • • • • • • • • • • •

••• • • • • •• • •• • •• • •• • • • • • • • • • • • • • • • •

• • •• • • •• • ••• ••• •• • • • • • • • • • • • • • • • • •

•• •• •••• • ••• ••• ••• •• ••• •••••••••••••••••••••••••

• • • • • • •••• •• •• • • • • • • • • • • • • • •• •

• • • • •• ••• ••• •• •• • •• •• • ••••••••••••••••••••••••

••••• • •• •• • •• • ••• •• • •• •• • • •• • •• • • • •••• ••••••••••••••••••••••••••••••••••••••••••

• • • • •• •• • • • •• ••• ••• • •• •••• • •• • • • • •• • ••••••••••••••••••••••••••••••••••••••••

• • • • • •••••••••••• • • ••• • •• • • •• •• • • ••••• •• • • • •• •••• • ••••••••••••••••••••••••••••••••••••••

• •• • •• ••• • • • • • • • • • • •••• • •••• • •• • • •••• • • ••• ••• • • • ••• • ••••••••••••••••••••••••••••••••••

• • •••• •• • • •• • •• •• •• •• • • • • • • • • • • • • • • • • • • • • • • • • •••

• • •• •• •• •• •• • • •• • • • •• •• • •• ••• • •••••••••••••••

••• • • • • • • • • • • •••

• • • ••• • •• •• • • • •• • • •• • •• • ••• •• • • •••••••••••••••

• • •• • • • • • •••• • • •• • •• • •• ••• ••• ••• • • • • •••• •••••••••••••

•• •• •• • ••• • •• • •• •• • •• ••• • ••• • • • • • • • • •••

• • • •• • • • ••• •• • • • ••• •• • • •• • • ••• • ••••••••••••••

• •• • • ••••• •• ••• • • • • • • • • • • • • • • • • •••• • • ••• • ••• • • • •••• • •••••••••••••

• •• • •• ••• • •• •• •• •• ••• • • ••• • • • • • • • • • • • •••

• • • • • •

• • • • • •

• • • • • •

• • • • • •

• • • •

• • • •

• • • •

• • • •

• • • • • • •• • • • • •

Page 66: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 66

PG202 2016 年 10 月 5 日 japan.xilinx.com

付録 B:デバッグ

ハードウェア デバッグ

ハードウェアの問題は、 リ ンク立ち上げ時の問題から、 テス ト後に生じる問題までさまざまです。 こ こでは、 一般的な問題のデバッグ手順を説明します。 Vivado Design Suite のデバッグ機能は、 ハード ウェア デバッグに有益な リソースです。 次の各セクシ ョ ンに示す信号を Vivado のデバッグ機能でプローブするこ とで、 個々の問題をデバッグできます。

コアに対するタイ ミ ング制約がサンプル デザインからすべて適切に取り込まれているこ と、 さ らにインプリ メンテーシ ョ ン時にこれらの制約がすべて満たされているこ とを確認します。

• mmcm_lock_out ポート と pll_lock_out ポート を監視して、 MMCM と PLL がそれぞれロッ ク したかを確認します。

• enable 信号が接続されており、 コア動作中にアクティブ (High) であるこ とを確認します。

• コアが停止ステートのと きに HS およびエスケープ モードの ト ランザクシ ョ ンが開始するこ とを確認します。

図 B-2 に、 ハード ウェア デバッグの実行手順を示します。

X-Ref Target - Figure B-2

図 B‐2:デバッグのフロー図

START

HS Clock Transfer

Lane Initialization

HS Data Transfer

END

Page 67: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 67

PG202 2016 年 10 月 5 日 japan.xilinx.com

付録 B:デバッグ

レーン初期化

パワーオン リセッ トがアサート された後、 MMCM ロ ッ ク、 PLL ロ ッ クの順にコアがアサートする必要があ り ます。ロ ッ ク ステータスは、 mmcm_lock_out 信号と pll_lock_out 信号を監視して確認します。 ク ロ ッ ク レーンとデータ レーンのシ リ アル ラインには、 T_INIT の期間だけ LP-11 を駆動する必要があ り ます。 D-PHY RX の T_INIT の値は、 D-PHY TX の T_INIT の値の 50 ~ 80% と します。 初期化が完了したかど うかは、 CL_STATUS または DL_STATUS レジスタのビッ ト 3 で確認します。 D-PHY コアが初期化を完了する と、 PPI で stopstate がアサートされます。 Stop ステートかど うかは、 CL_STATUS レジスタのビッ ト 4 および DL_STATUS レジスタのビッ ト 6 で確認します。

HS クロック転送

HS ク ロ ッ クは D-PHY TX ク ロ ッ ク レーンで送信されます。 TX ク ロ ッ ク レーンで txrequesths がアサート されると、 ク ロ ッ ク送信が開始します。 CL_STATUS レジスタの MODE フ ィールドの値が 2’b01 の場合、 クロ ッ クは HS モードで転送されます。 D-PHY RX で HS ク ロ ッ クが受信されたかど うかは、 PPI の cl_rxclkactivehs 信号でも確認できます。

HS データ転送

HS ク ロ ッ ク送信が開始したら、 HS データをただちに転送できます。 TX データ レーンの txrequesths 信号により、 データ転送が開始します。 DL_STATUS レジスタの MODE フ ィールドの値が 2’b01 の場合、 データ レーンは HS モードで動作します。 DL_STATUS レジスタの PKT_CNT フ ィールドには、 データ レーンが送信または受信したパケッ トの数が格納されます。 HS データ転送が行われているかど うかは、 HS モードの PPI 信号でも確認できます。D-PHY TX では 1 回の txrequesths が 1 パケッ ト と してカウン ト され、D-PHY RX では rxsynchs パルスを伴う 1 回の rxactivehs が 1 パケッ ト と してカウン ト されます。D-PHY RX は、errsoths や errsotsynchs のエラーを含むト ランザクシ ョ ンもカウン ト します。

まず D-PHY TX から少数のパケッ ト を送信して、 D-PHY TX と D-PHY RX の PKT_CNT が一致するかを確認します。すべての制御モード シーケンスがエラーなしに取り込まれるこ と、 そしてエラーを含む制御シーケンスをシ リ アル ラインで受信した場合は PPI RX の errcontrol 信号がアサート されるこ とを確認します。D-PHY RX で受信したバイ ト数が HS_TIMEOUT の設定値を超える と、 DL_STATUS レジスタの HS_ABORT フ ィールドがアサート されます。

AXI4‐Lite インターフェイスのデバッグ

デフォルトがすべて 0 でないレジスタから読み出して、 インターフェイスが機能しているこ とを確認します。 読み出しタイ ミ ング図は、 図 3-29 を参照して ください。 読み出しアドレスが有効になる と出力 s_axi_arready がアサート され、 読み出しデータ /応答が有効になる と s_axi_rvalid がアサート されます。 インターフェイスが応答しない場合は、 次を確認します。

• s_axi_aclk および aclk 入力が接続されており、 ト グルしているこ とを確認します。

• インターフェイスが リセッ ト状態に保持されておらず、 s_axi_areset がアクティブ Low のリセッ トであることを確認します。

• インターフェイスが有効になっており、 s_axi_aclken がアクティブ High であるこ とを確認します (使用されている場合)。

• メ インのコア ク ロ ッ クが ト グルしており、 イネーブル信号がアサート されているこ とを確認します。

• シ ミ ュレーシ ョ ンが実行されている場合はシ ミ ュレーシ ョ ン、 または Vivado Design Suite のデバッガーのキャプチャ機能を使用して、 波形が AXI4-Lite インターフェイスへのアクセスに適しているこ とを確認します。

Page 68: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 68

PG202 2016 年 10 月 5 日 japan.xilinx.com

付録 C

その他のリソースおよび法的通知

ザイリンクス リソース

アンサー、 資料、 ダウンロード、 フォーラムなどのサポート リ ソースは、 ザイ リ ンクス サポート サイ ト を参照してください。

参考資料

次の資料は、 この製品ガイ ドの補足資料と して役立ちます。

注記:日本語版のバージ ョ ンは、 英語版よ り古い場合があ り ます。

1. MIPI Alliance D-PHY 仕様

2. 『Vivado Design Suite: AXI リ ファレンス ガイ ド』 (UG1037: 英語版、 日本語版)

3. 『UltraScale アーキテクチャ SelectIO リ ソース ユーザー ガイ ド』 (UG571: 英語版、 日本語版)

4. 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG994: 英語版、日本語版)

5. 『Vivado Design Suite ユーザー ガイ ド : IP を使用した設計』 (UG896: 英語版、 日本語版)

6. 『Vivado Design Suite ユーザー ガイ ド : 入門』 (UG910: 英語版、 日本語版)

7. 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900: 英語版、 日本語版)

8. 『Vivado Design Suite ユーザー ガイ ド : プログラムおよびデバッグ』 (UG908: 英語版、 日本語版)

9. 『Vivado Design Suite ユーザー ガイ ド : インプリ メンテーシ ョ ン』 (UG904: 英語版、 日本語版)

10. 『D-PHY ソ リ ューシ ョ ン』 (XAPP894: 英語版、 日本語版)

Page 69: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 69

PG202 2016 年 10 月 5 日 japan.xilinx.com

付録 C: その他のリソースおよび法的通知

改訂履歴

次の表に、 この文書の改訂履歴を示します。

日付 バージョ ン 内容

2016 年 10 月 5 日 3.0 • 7 シ リーズのサポート を追加。

• 図 3-12 の波形を更新。

• 第 4 章に [Enable Active lanes support] を追加。

2016 年 4 月 6 日 2.0 • D-PHY RX レイテンシの値を更新。

• PKT_CNT フ ィールドを追加し、 HS_TIMEOUT/ESC_TIMEOUT レジスタを更新。

• 共有ロジッ クの機能を追加。

• I/O プランニングの機能を更新。

• 「ク ロ ッキング」 のセクシ ョ ンを更新。

• システム内の D-PHY の推奨リセッ ト手順を追加。

• 例 6: HS 受信の rxvalidhs 信号の動作を更新。

• 付録 A に 「ハード ウェア バリデーシ ョ ン」 を追加。

• 付録 B に 「デバッグのフロー図」 を追加。

2015 年 11 月 18 日 1.0 初版

Page 70: MIPI D-PHY v3 - ザイリンクス - All Programmable€¢ データ レーンは ULP モード、HS モード、エスケープ モードをサポート • PPI (PHY-Protocol Interface)

MIPI D‐PHY v3.0 70

PG202 2016 年 10 月 5 日 japan.xilinx.com

付録 C: その他のリソースおよび法的通知

法的通知本通知に基づいて貴殿または貴社 (本通知の被通知者が個人の場合には 「貴殿」、 法人その他の団体の場合には 「貴社」。 以下同じ ) に開示される情報 (以下 「本情報」 といいます) は、 ザイ リ ンクスの製品を選択および使用するこ とのためにのみ提供されます。 適

用される法律が許容する最大限の範囲で、 (1) 本情報は 「現状有姿」、 およびすべて受領者の責任で (with all faults) という状態で提

供され、 ザイ リ ンクスは、 本通知をもって、 明示、 黙示、 法定を問わず (商品性、 非侵害、 特定目的適合性の保証を含みますがこ

れらに限られません)、 すべての保証および条件を負わない (否認する ) ものと します。 また、 (2) ザイ リ ンクスは、 本情報 (貴殿ま

たは貴社による本情報の使用を含む) に関係し、 起因し、 関連する、 いかなる種類 ・ 性質の損失または損害についても、 責任を負

わない (契約上、 不法行為上 (過失の場合を含む)、 その他のいかなる責任の法理によるかを問わない) ものと し、 当該損失または損

害には、 直接、 間接、 特別、 付随的、 結果的な損失または損害 (第三者が起こした行為の結果被った、 データ、 利益、 業務上の信

用の損失、 その他あらゆる種類の損失や損害を含みます) が含まれるものと し、 それは、 たとえ当該損害や損失が合理的に予見可

能であったり、 ザイ リ ンクスがそれらの可能性について助言を受けていた場合であったと しても同様です。 ザイ リ ンクスは、 本情

報に含まれるいかなる誤り も訂正する義務を負わず、 本情報または製品仕様のアップデート を貴殿または貴社に知らせる義務も負

いません。 事前の書面による同意のない限り、 貴殿または貴社は本情報を再生産、 変更、 頒布、 または公に展示してはなり ませ

ん。 一定の製品は、 ザイ リ ンクスの限定的保証の諸条件に従う こ と となるので、 https://japan.xilinx.com/legal.htm#tos で見られるザ

イ リ ンクスの販売条件を参照して ください。 IP コアは、 ザイ リ ンクスが貴殿または貴社に付与したライセンスに含まれる保証と補

助的条件に従う こ とにな り ます。 ザイ リ ンクスの製品は、 フェイルセーフと して、 または、 フェイルセーフの動作を要求するアプ

リ ケーシ ョ ンに使用するために、 設計されたり意図されたり していません。 そのよ う な重大なアプリ ケーシ ョ ンにザイ リ ンクスの

製品を使用する場合のリ スク と責任は、 貴殿または貴社が単独で負う ものです。 https://japan.xilinx.com/legal.htm#tos で見られるザ

イ リ ンクスの販売条件を参照して ください。

自動車用のアプリケーシ ョ ンの免責条項

オートモーティブ製品 (製品番号に 「XA」 が含まれる ) は、 ISO 26262 自動車用機能安全規格に従った安全コンセプ ト または余剰性

の機能 ( 「セーフティ設計」 ) がない限り、 エアバッグの展開における使用または車両の制御に影響するアプリ ケーシ ョ ン ( 「セー

フティ アプリ ケーシ ョ ン」 ) における使用は保証されていません。 顧客は、 製品を組み込むすべてのシステムについて、 その使用

前または提供前に安全を目的と して十分なテス ト を行う ものと します。 セーフティ設計なしにセーフティ アプリ ケーシ ョ ンで製品

を使用する リ スクはすべて顧客が負い、 製品の責任の制限を規定する適用法令および規則にのみ従う ものと します。

© Copyright 2015-2016 Xilinx, Inc. Xilinx、 Xilinx のロゴ、 Artix、 ISE、 Kintex、 Spartan、 Virtex、 Vivado、 Zynq、 およびこの文書に含

まれるその他の指定されたブランドは、 米国およびその他各国のザイ リ ンクス社の商標です。 すべてのその他の商標は、 それぞれ

の保有者に帰属します。

この資料に関するフ ィードバッ クおよびリ ンクなどの問題につきましては、 [email protected] まで、 または各ページ

の右下にある [フ ィードバッ ク送信] ボタンをク リ ッ クする と表示されるフォームからお知らせください。 フ ィードバッ クは日本語

で入力可能です。 いただきましたご意見を参考に早急に対応させていただきます。 なお、 このメール アドレスへのお問い合わせは

受け付けており ません。 あらかじめご了承ください。