345
PlanAhead ソフトウェア Tcl コマンド リファ レンス ガイド UG789 2011 年 7 月 6 日

PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

  • Upload
    others

  • View
    12

  • Download
    0

Embed Size (px)

Citation preview

Page 1: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日

Page 2: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

Xilinx is disclosing this user guide, manual, release note, and/or specification (the “Documentation”) to you solely for

use in the development of designs to operate with Xilinx hardware devices. You may not reproduce, distribute, republish,

download, display, post, or transmit the Documentation in any form or by any means including, but not limited to, electronic,

mechanical, photocopying, recording, or otherwise, without the prior written consent of Xilinx. Xilinx expressly disclaims

any liability arising out of your use of the Documentation. Xilinx reserves the right, at its sole discretion, to change

the Documentation without notice at any time. Xilinx assumes no obligation to correct any errors contained in the

Documentation, or to advise you of any corrections or updates. Xilinx expressly disclaims any liability in connection with

technical support or assistance that may be provided to you in connection with the Information.

THE DOCUMENTATION IS DISCLOSED TO YOU “AS-IS” WITH NO WARRANTY OF ANY KIND. XILINX

MAKES NO OTHER WARRANTIES, WHETHER EXPRESS, IMPLIED, OR STATUTORY, REGARDING THE

DOCUMENTATION, INCLUDING ANY WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR

PURPOSE, OR NONINFRINGEMENT OF THIRD-PARTY RIGHTS. IN NO EVENT WILL XILINX BE LIABLE FOR

ANY CONSEQUENTIAL, INDIRECT, EXEMPLARY, SPECIAL, OR INCIDENTAL DAMAGES, INCLUDING ANY LOSS

OF DATA OR LOST PROFITS, ARISING FROM YOUR USE OF THE DOCUMENTATION.

© Copyright 2002-2011 Xilinx Inc. All Rights Reserved. XILINX, the Xilinx logo, the Brand Window and other designated

brands included herein are trademarks of Xilinx, Inc. All other trademarks are the property of their respective owners.

The PowerPC name and logo are registered trademarks of IBM Corp., and used under license. All other trademarks are

the property of their respective owners.

本資料は英語版 (v.13.2) を翻訳したもので、内容に相違が生じる場合には原文を優先します。

資料によっては英語版の更新に対応していないものがあります。

日本語版は参考用としてご使用の上、最新情報につきましては、必ず最新英語版をご参照ください。

この資料に関するフィードバックおよびリンクなどの問題につきましては、[email protected]

までお知らせください。いただきましたご意見を参考に早急に対応させていただきます。なお、このメール アドレスへ

のお問い合わせは受け付けておりません。あらかじめご了承ください。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド2 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 3: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 1 章

カテゴリ別 SDC および Tcl コマンド

カテゴリ

• SDC コマンド

• XDC コマンド - サポートされる SDC コマンドすべてを含む

• オブジェクト コマンド

• プロジェクト コマンド

• ファイル入力および出力コマンドァイル

• フロアプラン コマンド

• ピン配置コマンド

• 電力コマンド

• パーシャル リコンフィギュレーション コマンド

• プロパティおよびパラメータ コマンド

• パーティション コマンド

• GUI 制御コマンド

• ツール起動コマンド

• レポート コマンド

• CORE Generator コマンド

• ChipScope コマンド

SDC コマンド

• all_clocks : 現在のデザインのクロックすべてのリストを表示します。

• all_inputs : 現在のデザインの入力ポートすべてのリストを表示します。

• all_outputs : 現在のデザインの出力ポートすべて のリストを表示します。

• all_registers : 現在のデザインのレジスタ セルおよびピンすべてのリストを表示します。

• create_clock : クロック オブジェクトを作成します。

• create_generated_clock : 生成済みのクロック オブジェクトを作成します。

• current_design : 現在のデザインを設定または表示します。

• current_instance : 現在のインスタンスを設定または表示します。

• get_cells : 現在のデザインのセルすべてのリストを表示します。

• get_clocks : 現在のデザインのクロックのリストを表示します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 3

Page 4: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 1 章 : カテゴリ別 SDC および Tcl コマンド

• get_lib_cells : ライブラリ セルのリストを表示します。デフォルトでは、現在のデザインの

パーツに関するすべてのライブラリ セルが表示されます。

• get_lib_pins : ライブラリ セル ピンのリストを作成します。

• get_libs : ライブラリのリストを作成します。

• get_nets : 現在のデザインのネットのリストを表示します。

• get_pins : 現在のデザインのピンのリストを表示します。

• get_ports : 現在のデザインのポートのリストを表示します。

• group_path : コスト ファンクション計算のパスをグループ化します。

• set_case_analysis : 入力を 1、0、rising、falling のいずれかに指定します。

• set_clock_gating_check : クロック ゲート付きチェックをキャプチャします。

• set_clock_groups : 専用または非同期のクロック グループを設定します。

• set_clock_latency : 実際または予測済みのクロック レイテンシをキャプチャします。

• set_clock_sense : ポートまたはピンのクロック エッジを設定します。

• set_clock_transition : 予測済みクロック遷移をキャプチャします。

• set_clock_uncertainty : False パスを定義します。

• set_data_check : データ間チェックを作成します。

• set_disable_timing : タイミング アークをディスエーブルにします。

• set_false_path : False パスを定義します。

• set_hierarchy_separator : 階層区切り文字を設定します。

• set_ideal_latency : 理想的なレイテンシを指定します。

• set_ideal_network : 理想的なネットワークを指定します。

• set_input_delay : ポートまたはピンの入力遅延を設定します。

• set_load : ポートおよびネットのキャパシタンスを設定します。

• set_logic_dc : ポート/ピンのロジック DC を設定します。

• set_logic_one : ポート/ピンのロジック 1 を設定します。

• set_logic_zero : ポート/ピンのロジック 0 を設定します。

• set_max_delay : タイミング パスの最大遅延を指定します。

• set_max_fanout : ポートまたはセルの最大ファンアウトを設定します。

• set_max_time_borrow : ラッチ用に借りることのできる時間を制限します。

• set_min_delay : タイミング パスの最小遅延を指定します。

• set_multicycle_path : マルチサイクル パスを定義します。

• set_operating_conditions : 電力概算の動作条件を設定します。

• set_output_delay : ポートまたはピンの出力遅延を設定します。

• set_propagated_clock : 伝搬されるクロック レイテンシを指定します。

• set_timing_derate : 定数遅延のディレーティング係数を指定します。

• set_units : チェックするユニットを設定します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

4 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 5: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 1 章 : カテゴリ別 SDC および Tcl コマンド

XDC コマンド - サポートされる SDC コマンドすべてを含む

• all_clocks : 現在のデザインのクロックすべてのリストを表示します。

• all_cpus : 現在のデザインの CPU セルのリストを表示します。

• all_dsps : 現在のデザインの DSP セルのリストを表示します。

• all_fanin : 指定したシンクのファンインのピンまたはセルのリストを表示します。

• all_fanout : 指定したソースのファンアウトのピンまたはセルのリストを表示します。

• all_hsios : 現在のデザインの HSIO セルのリストを表示します。

• all_inputs : 現在のデザインの入力ポートすべてのリストを表示します。

• all_mults : 現在のデザインの MULT セルのリストを表示します。

• all_outputs : 現在のデザインの出力ポートすべて のリストを表示します。

• all_rams : 現在のデザインの RAM セルのリストを表示します。

• all_registers : 現在のデザインのレジスタ セルおよびピンすべてのリストを表示します。

• config_timing_corners : シングル/マルチ コーナーのタイミング解析を設定します。

• config_timing_pessimism : 共通ノード パーミッション解析を設定します。

• create_clock : クロック オブジェクトを作成します。

• create_generated_clock : 生成済みのクロック オブジェクトを作成します。

• create_operating_conditions : ライブラリの動作条件の新しい設定を作成します。

• create_pblock : 新規 Pblock を作成します。

• current_design : 現在のデザインを設定または表示します。

• current_instance : 現在のインスタンスを設定または表示します。

• get_cells : 現在のデザインのセルすべてのリストを表示します。

• get_clocks : 現在のデザインのクロックのリストを表示します。

• get_debug_cores : 現在のデザインの ChipScope デバッグ コアのリストを表示します。

• get_debug_ports : 現在のデザインの ChipScope デバッグ ポートのリストを表示します。

• get_designs : 現在のデザインに含まれるデザインのリストを表示します。

• get_generated_clocks : 現在のデザインの生成済みクロックのリストを表示します。

• get_interfaces : 現在のデザインの I/O ポート インターフェイスのリストを表示します。

• get_iobanks : IO バンクのリストを表示します。

• get_lib_cells : ライブラリ セルのリストを表示します。デフォルトでは、現在のデザインの

パーツに関するすべてのライブラリ セルが表示されます。

• get_lib_pins : ライブラリ セル ピンのリストを作成します。

• get_libs : ライブラリのリストを作成します。

• get_nets : 現在のデザインのネットのリストを表示します。

• get_package_pins : パッケージ ピンのリストを表示します。

• get_path_groups : 現在のデザインのパス グループのリストを表示します。

• get_pins : 現在のデザインのピンのリストを表示します。

• get_ports : 現在のデザインのポートのリストを表示します。

• get_property : オブジェクトのプロパティを表示します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 5

Page 6: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 1 章 : カテゴリ別 SDC および Tcl コマンド

• get_sites : サイトのリストを表示します。

• group_path : コスト ファンクション計算のパスをグループ化します。

• remove_disable_timing : タイミング アークをイネーブルにします。

• set_case_analysis : 入力を 1、0、rising、falling のいずれかに指定します。

• set_clock_gating_check : クロック ゲート付きチェックをキャプチャします。

• set_clock_groups : 専用または非同期のクロック グループを設定します。

• set_clock_latency : 実際または予測済みのクロック レイテンシをキャプチャします。

• set_clock_sense : ポートまたはピンのクロック エッジを設定します。

• set_clock_transition : 予測済みクロック遷移をキャプチャします。

• set_clock_uncertainty : False パスを定義します。

• set_data_check : データ間チェックを作成します。

• set_delay_model : タイミング遅延モデル

• set_disable_timing : タイミング アークをディスエーブルにします。

• set_false_path : False パスを定義します。

• set_hierarchy_separator : 階層区切り文字を設定します。

• set_ideal_latency : 理想的なレイテンシを指定します。

• set_ideal_network : 理想的なネットワークを指定します。

• set_input_delay : ポートまたはピンの入力遅延を設定します。

• set_input_jitter : クロック オブジェクトの入力ジッタを設定します。

• set_load : ポートおよびネットのキャパシタンスを設定します。

• set_logic_dc : ポート/ピンのロジック DC を設定します。

• set_logic_one : ポート/ピンのロジック 1 を設定します。

• set_logic_zero : ポート/ピンのロジック 0 を設定します。

• set_max_delay : タイミング パスの最大遅延を指定します。

• set_max_fanout : ポートまたはセルの最大ファンアウトを設定します。

• set_max_time_borrow : ラッチ用に借りることのできる時間を制限します。

• set_min_delay : タイミング パスの最小遅延を指定します。

• set_multicycle_path : マルチサイクル パスを定義します。

• set_operating_conditions : 電力概算の動作条件を設定します。

• set_output_delay : ポートまたはピンの出力遅延を設定します。

• set_propagated_clock : 伝搬されるクロック レイテンシを指定します。

• set_property : オブジェクトのプロパティを設定します。

• set_switching_activity : 指定したオブジェクトまたはデフォルトのタイプのスイッチ動

作を設定します。

• set_system_jitter : システム ジッタを設定します。

• set_timing_derate : 定数遅延のディレーティング係数を指定します。

• set_units : チェックするユニットを設定します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

6 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 7: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 1 章 : カテゴリ別 SDC および Tcl コマンド

オブジェクト コマンド

• filter : リストにフィルタをかけて、新しいリストを表示します。

• get_cells : 現在のデザインのセルすべてのリストを表示します。

• get_clocks : 現在のデザインのクロックのリストを表示します。

• get_debug_ports : 現在のデザインの ChipScope デバッグ ポートのリストを表示します。

• get_designs : 現在のデザインに含まれるデザインのリストを表示します。

• get_files : ソース ファイルのリストを表示します。

• get_filesets : 現在のプロジェクトのファイルセットのリストを表示します。

• get_generated_clocks : 現在のデザインの生成済みクロックのリストを表示します。

• get_interfaces : 現在のデザインの I/O ポート インターフェイスのリストを表示します。

• get_iobanks : IO バンクのリストを表示します。

• get_lib_cells : ライブラリ セルのリストを表示します。デフォルトでは、現在のデザインの

パーツに関するすべてのライブラリ セルが表示されます。

• get_lib_pins : ライブラリ セル ピンのリストを作成します。

• get_libs : ライブラリのリストを作成します。

• get_msg_limit : メッセージ数の制限を表示します。

• get_nets : 現在のデザインのネットのリストを表示します。

• get_package_pins : パッケージ ピンのリストを表示します。

• get_param : パラメータ値を表示します。

• get_parts : ソフトウェアで使用可能なパーツのリストを表示します。

• get_path_groups : 現在のデザインのパス グループのリストを表示します。

• get_pblocks : 現在のデザインの Pblock のリストを表示します。

• get_pins : 現在のデザインのピンのリストを表示します。

• get_ports : 現在のデザインのポートのリストを表示します。

• get_projects : プロジェクトのリストを表示します。

• get_property : オブジェクトのプロパティを表示します。

• get_reconfig_modules : 現在のプロジェクトのリコンフィギャブル モジュールのリストを

表示します。

• get_runs : run (実行パターン) のリストを表示します。

• get_selected_objects : 選択したオブジェクトを表示します。

• get_sites : サイトのリストを表示します。

• list_property : オブジェクトのプロパティをリストします。

• report_property : オブジェクトのプロパティをレポートします。

• set_property : オブジェクトのプロパティを設定します。

プロジェクト コマンド

• add_files : アクティブなファイルセットへソースを追加します。

• archive_project : 現在のプロジェクトを圧縮します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 7

Page 8: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 1 章 : カテゴリ別 SDC および Tcl コマンド

• close_design : 現在のデザインを閉じます。

• close_project : 現在開いているプロジェクトを閉じます。

• config_run : run の各プログラム オプションを設定します。

• create_fileset : 新規ファイルセットを作成します。

• create_project : プロジェクトを新規作成します。

• create_run : 現在のプロジェクトの合成またはインプリメンテーション run を定義します。

• current_fileset : 現在のファイルセットを表示します。

• current_project : 現在のプロジェクトを設定または表示します。

• current_run : 現在の run を設定または表示します。

• delete_fileset : ファイルセットを削除します。

• delete_run : 既存の run を削除します。

• get_files : ソース ファイルのリストを表示します。

• get_filesets : 現在のプロジェクトのファイルセットのリストを表示します。

• get_parts : ソフトウェアで使用可能なパーツのリストを表示します。

• get_projects : プロジェクトのリストを表示します。

• get_runs : run (実行パターン) のリストを表示します。

• help : 1 つまたは複数の項目に対するヘルプを表示します。

• import_as_run : NCD とオプションの TWX を run としてインポートします。

• import_files : ファイル/ディレクトリをアクティブなファイルセットにインポートします。

• import_ip : IP ファイルをインポートしてファイルセットに追加します。

• import_synplify : 指定した Synplify プロジェクト ファイルをインポートします。

• import_xise : 作成したプロジェクトに XISE プロジェクト ファイルの設定をインポートします。

• import_xst : 指定した XST プロジェクト ファイルをインポートします。

• launch_runs : run のセットを起動します。

• open_impl_design : インプリメント済みデザインを開きます。

• open_io_design : IO デザインを開きます。

• open_netlist_design : 合成またはネットリスト デザインを開きます。

• open_project : PlanAhead プロジェクト ファイル (.ppr) を開きます。

• open_rtl_design : RTL デザインを開きます。

• refresh_design : 現在のデザインを最新情報に更新します。

• reimport_files : 期限切れのファイルがあれば、それをインポートし直します。

• remove_files : ファイルセットからファイルまたはディレクトリを削除します。

• reorder_files : アクティブなファイルセットでソース ファイルの順序を変更します。

• reset_run : 既存の run をリセットします。

• save_design : 現在のデザインを保存します。

• save_design_as : 現在のデザインを新しい制約セットとして保存します。

• save_project_as : 現在のプロジェクトを新しい名前で保存します。

• set_speed_grade : タイミング スピード グレード

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

8 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 9: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 1 章 : カテゴリ別 SDC および Tcl コマンド

• update_file : リモート ファイルの内容でインポートされたファイルをアップデートします。

• upgrade_ip : コンフィギャブル IP を最新 IP にアップデートします。

• wait_on_run : 指定した run が終了するまで Tcl コマンドの実行を停止します。

• write_bitstream : 現在のデザインのビットストリームを書き出します。

ファイル入力および出力コマンドァイル

• read_chipscope_cdc : ChipScope Core Inserter の CDC ファイルをインポートします。

• read_csv : パッケージ ピンとポート配置情報をインポートします。

• read_pxml : PXML ファイルからパーティション定義をインポートします。

• read_twx : Trace STA ツールからタイミング結果を読み込みます。

• read_ucf : ファイルから物理制約をインポートします。

• read_verilog : 1 つまたは複数の Verilog ファイルを読み込みます。

• read_vhdl : 1 つまたは複数の VHDL ファイルを読み込みます。

• read_xdl : ファイルから配置情報をインポートします。

• write_bitstream : 現在のデザインのビットストリームを書き出します。

• write_chipscope_cdc : デバッグ ポートに接続されているネットをエクスポートします。

• write_csv : パッケージ ピンとポート配置情報をエクスポートします。

• write_edif : 現在のネットリストを EDIF ファイルとしてエクスポートします。

• write_ibis : 現在のフロアプランの IBIS モデルを書き出します。

• write_ncd : 配置を NCD ファイルにエクスポートします。

• write_pcf : 変換された制約を物理制約ファイル (.pcf) にエクスポートします。

• write_sdf : イベント シミュレーションで平坦な SDF 遅延ファイルを生成します。

• write_timing : タイミング結果のセットをファイルにエクスポートします。

• write_ucf : UCF 情報をファイルまたはディレクトリにエクスポートします。

• write_verilog : 現在のネットリストを Verilog 形式でエクスポートします。

• write_vhdl : 現在のネットリストを VHDL 形式でエクスポートします。

• write_xdc : XDC 情報をファイルまたはディレクトリにエクスポートします。

フロアプラン コマンド

• add_cells_to_pblock : Pblock へセルを追加します。

• create_pblock : 新規 Pblock を作成します。

• delete_pblock : Pblock を削除します。

• delete_rpm : RPM を削除します。

• get_pblocks : 現在のデザインの Pblock のリストを表示します。

• place_pblocks : Pblock 配置ツールを実行します。

• remove_cells_from_pblock : Pblock からセルを削除します。

• resize_pblock : UCF の範囲を移動、サイズ変更、追加、削除します。

• swap_locs : 2 つの位置を入れ替えます。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 9

Page 10: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 1 章 : カテゴリ別 SDC および Tcl コマンド

ピン配置コマンド

• create_interface : I/O ポート インターフェイスを新規作成します。

• create_port : スカラー ポートまたはバス ポートを作成します。

• delete_interface : I/O ポート インターフェイスをプロジェクトから削除します。

• delete_port : ポートまたはポート バスのリストを削除します。

• make_diff_pair_ports : 差動ペアを 2 ポートにします。

• place_ports : ポートのセットを自動的に配置します。

• set_package_pin_val : 1 つまたは複数のパッケージ ピンのユーザー コラムを設定します。

• split_diff_pair_ports : 2 ポート間の差動ペア関係を削除します。

電力コマンド

• delete_power_results : 指定したタイプのデフォルトのスイッチ動作を設定します。

• get_default_switching_activity : 指定したデフォルト タイプのデフォルト スイッチ動作を

取得します。

• get_operating_conditions : 電力概算の動作条件の値を表示します。

• get_switching_activity : 指定したオブジェクトのスイッチ動作を取得します。

• report_power : 電力概算を実行し、レポートを表示します。

• reset_default_switching_activity : デフォルト タイプのスイッチ動作をリセットします。

• reset_operating_conditions : 動作条件を電力概算のデフォルトにリセットします。

• reset_switching_activity : 指定したオブジェクトのスイッチ動作をリセットします。

• set_default_switching_activity : 指定したタイプのデフォルトのスイッチ動作を設定します。

• set_switching_activity : 指定したオブジェクトまたはデフォルトのタイプのスイッチ動

作を設定します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

10 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 11: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 1 章 : カテゴリ別 SDC および Tcl コマンド

パーシャル リコンフィギュレーション コマンド

• config_partition : 指定 run のモジュール変数とステートを設定します。

• create_reconfig_module : 新しいリコンフィギャラブル モジュールを作成してセルに追加し

ます。セルは、リコンフィギャラブル パーティションとして表示されます。

• delete_reconfig_module : リコンフィギャブル モジュールを削除します。

• demote_run : 前にプロモートしたパーティションのプロモートを解除して、インポート

に使用できないようにします。

• get_reconfig_modules : 現在のプロジェクトのリコンフィギャラブル モジュールのリストを

表示します。

• load_reconfig_modules : 指定 run から特定のリコンフィギャブル モジュールまたはすべて

のモジュールを読み込みます。

• promote_run : 前にインプリメントしたパーティションをプロモートして、インポートおよび

この run やその他の run で再利用できるようにします。

• set_property : オブジェクトのプロパティを設定します。

• verify_config : インプリメントされた run を解析して、パーシャル リコンフィギュレーション

に必要な規則にしたがっているかどうかを解析します。

プロパティおよびパラメータ コマンド

• create_property : オブジェクトのクラスのプロパティを作成します。

• filter : リストにフィルタをかけて、新しいリストを表示します。

• get_param : パラメータ値を表示します。

• get_property : オブジェクトのプロパティを表示します。

• list_param : すべてのパラメータ名を表示します。

• list_property : オブジェクトのプロパティをリストします。

• report_param : すべてのパラメータに関する情報を表示します。

• report_property : オブジェクトのプロパティをレポートします。

• set_param : パラメータ値を設定します。

• set_property : オブジェクトのプロパティを設定します。

パーティション コマンド

• config_partition : 指定 run のモジュール変数とステートを設定します。

• demote_run : 前にプロモートしたパーティションのプロモートを解除して、インポート

に使用できないようにします。

• promote_run : 前にインプリメントしたパーティションをプロモートして、インポートおよび

この run やその他の run で再利用できるようにします。

• set_property : オブジェクトのプロパティを設定します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 11

Page 12: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 1 章 : カテゴリ別 SDC および Tcl コマンド

GUI 制御コマンド

• endgroup : グループ単位で前/次の動作を実行できるコマンド セットを終了します。

• get_selected_objects : 選択したオブジェクトを表示します。

• highlight_objects : オブジェクトを別の色でハイライトします。

• mark_objects : GUI でオブジェクトをマークします。

• redo : 前のコマンドを再実行します。

• select_objects : GUI でオブジェクトを選択します。

• start_gui : PlanAhead の GUI を起動します。

• startgroup : グループ単位で前/次の動作を実行できるコマンド セットを開始します。

• stop_gui : PlanAhead の GUI を閉じます。

• undo : 前のコマンドの実行を取り消します。

• unhighlight_objects : 現在ハイライトされているオブジェクトのハイライトを解除します。

• unmark_objects : 現在マークされているアイテムのマークを解除します。

• unselect_objects : 現在選択されているアイテムの選択を解除します。

ツール起動コマンド

• compxlib : シミュレーション ライブラリをコンパイルします。

• crossprobe_fed : BEL およびネットのパスを FPGA Editor にクロスプローブします。

• launch_chipscope_analyzer : run に対して ChipScope Analyzer ツールを起動します。

• launch_fpga_editor : run に対して FPGA Editor ツールを起動します。

• launch_impact : run に対して iMPACT コンフィギュレーション ツールを起動します。

• launch_isim : ISim シミュレータを使用してシミュレーションを実行します。

• launch_xpa : XPower Analyzer ツールを起動します。

レポート コマンド

• check_timing : 可能性のあるタイミング問題のタイミングをチェックします。

• config_timing_analysis : タイミング解析を設定します。

• create_slack_histogram : ヒストグラムを作成します。

• delete_timing_results : メモリからタイミング結果のセットを一掃します。

• get_msg_count : メッセージ カウントを表示します。

• get_msg_limit : メッセージ数の制限を表示します。

• get_operating_conditions : 電力概算の動作条件の値を表示します。

• list_property_value : オブジェクトの有効なプロパティ値をリストします。

• remove_propagated_clock : 伝播されたクロックを削除します。

• report_clock : クロックをレポートします。

• report_clock_interaction : 内部クロック タイミング パスとクロックなしのレジスタについ

てレポートします。

• report_clock_utilization : デザインのクロック ネットに関する情報をレポートします。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

12 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 13: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 1 章 : カテゴリ別 SDC および Tcl コマンド

• report_constraint : デザインの制約に関連する情報を表示します。

• report_control_sets : デザイン特有の制御セットについてレポートします。

• report_debug_core : ChipScope デバッグ コアの詳細をレポートします。

• report_delay_calculation : セルまたはネット アークの遅延計算の詳細をレポートします。

• report_disable_timing : ディスエーブルにしたタイミング アークをレポートします。

• report_drc : DRC を実行します。

• report_io : デバイスのすべての IO サイトに関する情報を表示します。

• report_min_pulse_width : 最小パルス幅チェックをレポートします。

• report_param : すべてのパラメーターに関する情報を表示します。

• report_power : 電力概算を実行し、レポートを表示します。

• report_property : オブジェクトのプロパティをレポートします。

• report_resources : リソース概算を実行し、レポートを表示します。

• report_route_status : 配線のステータスをレポートします。デフォルトでは、物理ネットの

総数、および配線済みネット、未配線のネット、部分的に配線済みのネットの数がレ

ポートされます。配線オブジェクトを指定すると、各ノードの名前と接続を含むその配線

オブジェクトの接続がレポートされます。

• report_ssn : 現在のパッケージおよびピン配置で SSN 解析を実行します。

• report_sso : 現在のパッケージおよびピン配置で WASSO 解析を実行します。

• report_stats : 統計をレポートします。

• report_timing : タイミング パスをレポートします。

• report_transformed_primitives : Unisim プリミティブ変換の詳細をレポートします。

• report_ucf_timing : タイミング パスをレポートします。

• report_utilization : デバイス使用率を計算し、レポートを表示します。

• reset_drc : DRC を削除します。

• reset_msg_limit : メッセージ数制限をリセットします。

• reset_msg_severity : メッセージの重要度を ID 別にリセットします。

• reset_operating_conditions : 動作条件を電力概算のデフォルトにリセットします。

• reset_path : 1 サイクルのビヘイビアに指定パスをリセットします。

• reset_ssn : メモリから SSN 結果を一掃します。

• reset_sso : メモリから WASSO 結果を一掃します。

• reset_timing : 現在のデザインのタイミング情報をリセットします。

• reset_ucf : ファイルから読み込んだフロアプラン制約を一掃します。

• set_msg_limit : メッセージ数制限を設定します。

• set_msg_severity : メッセージの重要度を ID 別にセットします。

• version : PlanAhead のバージョンおよびバージョンの日付を表示します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 13

Page 14: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 1 章 : カテゴリ別 SDC および Tcl コマンド

CORE Generator コマンド

• create_ip : コンフィギャブル IP のインスタンスを作成して、ファイルセットに追加します。

• create_ip_catalog : 最新バージョンの IP カタログを作成して、指定ディレクトリに保存

します。

• generate_ip : コンフィギャブル IP を生成します。

• import_ip : IP ファイルをインポートしてファイルセットに追加します。

• reset_ip : コンフィギャブル IP をリセットします。

ChipScope コマンド

• connect_debug_port : ネットとピンをデバッグ ポート チャネルに接続します。

• create_debug_core : ChipScope デバッグ コアを新規作成します。

• create_debug_port : ChipScope デバッグ ポートを新規作成します。

• delete_debug_core : ChipScope デバッグ コアを削除します。

• delete_debug_port : ChipScope デバッグ ポートを削除します。

• disconnect_debug_port : デバッグ ポート チャネルからのネットとピンの接続を解除します。

• get_debug_cores : 現在のデザインの ChipScope デバッグ コアのリストを表示します。

• get_debug_ports : 現在のデザインの ChipScope デバッグ ポートのリストを表示します。

• implement_debug_core : ChipScope デバッグ コアをインプリメントします。

• launch_chipscope_analyzer : run に対して ChipScope Analyzer ツールを起動します。

• report_debug_core : ChipScope デバッグ コアの詳細をレポートします。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

14 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 15: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章

アルファベット別 SDC および Tcl コマンド

この章では、アルファベット順にすべての PlanAhead™ ソフトウェアの SDC および Tcl コマン

ドをリストしています。

add_cells_to_pblock

Pblock へセルを追加します。

構文

add_cells_to_pblock [-add_primitives] [-clear_locs][-quiet] pblock cells ...

表示結果

なし

使用法

名前 オプション デフォルト 説明

-add_primitives-add_primitives-add_primitives ○ 指定したインスタンスのすべてのプリミティブを Pblock へ割り当てます。

-clear_locs-clear_locs-clear_locs ○ インスタンスのロケーション制約を削除します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

pblock × セルを追加する Pblock を指定します。

cells × 追加するセルを指定します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 15

Page 16: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

add_files

アクティブなファイルセットへソースを追加します。

構文

add_files [-fileset arg] [-norecurse] [-scan_for_includes][-quiet] [files ...]

表示結果

追加されたファイル オブジェクトのリスト

使用法

名前 オプション デフォルト 説明

-fileset-fileset-fileset ○ ファイルセット名を指定します。

-norecurse-norecurse-norecurse ○ 指定ディレクトリで繰り返し検索します。

-scan_for_includes-scan_for_includes-scan_for_includes ○ ファイルセットの RTL ソースに含まれるファイルすべてをスキャンして追加します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

files ○ 追加するファイルおよびディレクトリ名を指定します。-scan_for_includes が使用されない場合に指定する必要があります。

説明

このコマンドを使用すると、1 つ以上のソース ファイルかディレクトリのソース ファイルの内容

を指定したファイルセットに追加します。

このコマンドは、ファイルをローカルのプロジェクト フォルダーにコピーして指定したファイル

セットに追加する import_files コマンドとは異なり、指定したファイルセットを参照することによっ

てのみファイルを追加します。

引数

-fileset-fileset-fileset name - どのファイルセットに指定したソース ファイルを追加するか指定します。指定

したファイルセットが存在しない場合は、PlanAhead でエラーが表示されます。ファイルセット

を指定しなかった場合は、デフォルトでソースのファイルセットに追加されます。

files - 指定したファイルセットに追加するファイルまたはディレクトリ名のリストを指定します。

ディレクトリ名を指定した場合は、そのディレクトリとそれに含まれる下位ディレクトリの有効な

ソース ファイルすべてが追加されます。

メモ : パスがファイル名の一部として指定されない場合は、現在の作業ディレクトリまたは

PlanAhead が起動されたディレクトリで指定ファイルが検索されます。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

16 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 17: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

-norecurse-norecurse-norecurse - 指定したディレクトリの下位ディレクトリではコマンドが実行されないようになりま

す。デフォルトでは、このオプションは指定されないので、プロジェクトに追加できるその他の

ソース ファイルすべての下位ディレクトリが検索されます。

-search_for_includes-search_for_includes-search_for_includes - すべての ’include’include’include 文の Verilog ソース ファイルをスキャンし、これらの

参照ファイルも指定したファイルセットに追加します。デフォルトでは、’include’include’include ファイルは追

加されません。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次の例では、rtl.v というファイルを現在のプロジェクトに追加しています。

add_files rtl.v

この例の場合、パスが指定されていないので、PlanAhead は rtl..v ファイルを検索します。 ファ

イルセットは指定されていないので、ファイルはデフォルトでソース ファイルセットに追加され

ます。

次の例は、top.ucftop.ucftop.ucf というファイルを constrs_1constrs_1constrs_1 制約ファイルセットに追加し、project_1 ディレク

トリとその下位ディレクトリで該当するソース ファイルを追加します。

add_files -fileset constrs_1 -quiet c:/Design/top.ucf c:/Design/project_1

この例では、指定どおりに C:/Design ディレクトリの top.ucftop.ucftop.ucf ファイル、および project_1project_1project_1 ディ

レクトリとその下位ディレクトリの制約ファイルが検出され、指定したファイルセット (この場合

は constrs_1constrs_1constrs_1 制約セット) に追加されています。

また、-quiet-quiet-quiet オプションが使用されているので、コマンド ライン エラーは無視されています。

-norecurse-norecurse-norecurse オプションが指定されていれば、project_1project_1project_1 ディレクトリの制約ファイルのみが追加

され、下位ディレクトリは検索されません。

関連文書

import_files

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 17

Page 18: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

all_clocks

現在のデザインのクロックすべてのリストを表示します。

構文

all_clocks [-quiet]

表示結果

クロックのリスト

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

説明

現在のデザインのクロックすべてのリストを表示します。

現在のデザインで宣言されたすべてのクロックのリストを作成します。これらのクロックは変数

に代入するか、別のコマンドに渡すことができます。

次の例は、サンプル CPU ネットリスト プロジェクトの表示結果を示しています。

% all_clockscpuClk wbClk usbClk phy_clk_pad_0_i phy_clk_pad_1_i fftClk

リストされるクロックはすべて UCF または XDC ファイルで定義されています。

次の例では、表示されるリストを別のコマンドに渡しています。

% set_propagated_clock [all_clocks]

これにより、set_propagated_clock コマンドがデザインのすべてのクロックに適用されます。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

18 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 19: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

all_cpus

現在のデザインの CPU セルのリストを表示します。

構文

all_cpus [-quiet]

表示結果

CPU セル オブジェクトのリスト

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 19

Page 20: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

all_dsps

現在のデザインの DSP セルのリストを表示します。

構文

all_dsps [-quiet]

表示結果

DSP セル オブジェクトのリスト

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

20 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 21: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

all_fanin

指定したシンクのファンインのピンまたはセルのリストを表示します。

構文

all_fanin [-startpoints_only] [-flat] [-only_cells] [-levels arg][-pin_levels arg] [-trace_arcs arg] [-quiet] [to]

表示結果

セルまたはピン オブジェクトのリスト

使用法

名前 オプション デフォルト 説明

-startpoints_only-startpoints_only-startpoints_only ○ タイミング開始点のみを検出します。

-flat-flat-flat ○ 階層は無視されます。

-only_cells-only_cells-only_cells ○ セルのみを検出します。

-levels-levels-levels ○ 0 処理されるセル レベルの最大数を指定します。 値 = 0です。

-pin_levels-pin_levels-pin_levels ○ 0 処理されるピン レベルの最大数を指定します。 値 = 0です。

-trace_arcs-trace_arcs-trace_arcs ○ トレースするネットワーク アークのタイプを指定します。

値 : timing、enabled、all

-quiet-quiet-quiet ○ コマンド エラーを無視します。

to ○ シンク ピン、ポート、またはネットのリスト

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 21

Page 22: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

all_fanout

指定したソースのファンアウトのピンまたはセルのリストを表示します。

構文

all_fanout [-endpoints_only] [-flat] [-only_cells] [-levels arg][-pin_levels arg] [-trace_arcs arg] [-quiet] [from]

表示結果

セルまたはピン オブジェクトのリスト

使用法

名前 オプション デフォルト 説明

-endpoints_only-endpoints_only-endpoints_only ○ タイミング終了点のみを検出します。

-flat-flat-flat ○ 階層は無視されます。

-only_cells-only_cells-only_cells ○ セルのみを検出します。

-levels-levels-levels ○ 0 処理されるセル レベルの最大数を指定します。 値 = 0です。

-pin_levels-pin_levels-pin_levels ○ 0 処理されるピン レベルの最大数を指定します。 値 = 0です。

-trace_arcs-trace_arcs-trace_arcs ○ トレースするネットワーク アークのタイプを指定します。値 :timing、enabled、all

-quiet-quiet-quiet ○ コマンド エラーを無視します。

from ○ ソース ピン、ポート、またはネットのリスト

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

22 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 23: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

all_hsios

現在のデザインの HSIO セルのリストを表示します。

構文

all_hsios [-quiet]

表示結果

HSIO セル オブジェクトのリスト

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 23

Page 24: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

all_inputs

現在のデザインの入力ポートすべてのリストを表示します。

構文

all_inputs [-quiet]

表示結果

ポート オブジェクトのリスト

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

24 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 25: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

all_mults

現在のデザインの MULT セルのリストを表示します。

構文

all_mults [-quiet]

表示結果

MULT セル オブジェクトのリスト

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 25

Page 26: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

all_outputs

現在のデザインの出力ポートすべて のリストを表示します。

構文

all_outputs [-quiet]

表示結果

ポート オブジェクトのリスト

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

26 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 27: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

all_rams

現在のデザインの RAM セルのリストを表示します。

構文

all_rams [-quiet]

表示結果

RAM セル オブジェクトのリスト

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 27

Page 28: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

all_registers

現在のデザインのレジスタ セルおよびピンすべてのリストを表示します。

構文

all_registers [-clock args] [-rise_clock args] [-fall_clock args][-cells] [-data_pins] [-clock_pins] [-async_pins] [-output_pins][-level_sensitive] [-edge_triggered] [-quiet]

表示結果

セルまたはピン オブジェクトのリスト

使用法

名前 オプション デフォルト 説明

-clock-clock-clock ○ このクロックのレジスタを考慮します。

-rise_clock-rise_clock-rise_clock ○ クロックの立ち上がりエッジでトリガされるレジスタを考慮します。

-fall_clock-fall_clock-fall_clock ○ クロックの立ち下がりエッジでトリガされるレジスタを考慮します。

-cells-cells-cells ○ セルのリストを表示します (デフォルト)。

-data_pins-data_pins-data_pins ○ レジスタ データ ピンのリストを表示します。

-clock_pins-clock_pins-clock_pins ○ レジスタ クロック ピンのリストを表示します。

-async_pins-async_pins-async_pins ○ 非同期プリセット/クリア ピンのリストを表示します。

-output_pins-output_pins-output_pins ○ レジスタ出力ピンのリストを表示します。

-level_sensitive-level_sensitive-level_sensitive ○ レベル センシティブ ラッチのみを考慮します。

-edge_triggered-edge_triggered-edge_triggered ○ エッジ トリガのフリップフロップのみを考慮します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

28 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 29: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

archive_project

現在のプロジェクトを圧縮します。

構文

archive_project [-force] [-exclude_run_results] [-quiet] [file]

表示結果

true

使用法

名前 オプション デフォルト 説明

-force-force-force ○ 既存の圧縮ファイルを上書きします。

-exclude_run_results-exclude_run_results-exclude_run_results ○ 圧縮に run 結果を含めません。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

file ○ 圧縮ファイル名を指定します。

説明

このコマンドは、PlanAhead プロジェクトのアーカイブを作成して、プロジェクトのバックアップ

を作成したり、リモート サイトに送信したりするために使用します。

PlanAhead ソフトウェアはデザイン階層を解析し、必要なソース ファイル、インクルード ファイ

ル、リモート ファイルをライブラリ ディレクトリからコピーし、制約ファイルをコピーし、さまざま

な合成、シミュレーション、インプリメンテーション run の結果をコピーしてから、プロジェクトの

ZIP ファイルを作成します。

引数

-force-force-force - 既存の同名の ZIP ファイルを上書きします。ZIP ファイルが存在する場合、-force-force-force オ

プションが指定されていないと、エラー メッセージが表示されます。

-exclude_run_results-exclude_run_results-exclude_run_results - 合成またはインプリメンテーション run の結果を除外します。このコマ

ンドにより、プロジェクト アーカイブのサイズをかなり減らすことができます。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

file - archive_projectarchive_projectarchive_project コマンドで作成する ZIP ファイルの名前を指定します。file を指定しない

場合、プロジェクトと同じ名前の ZIP ファイルが作成されます。

次のコマンドにより、現在のプロジェクトがアーカイブされます。

archive_project

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 29

Page 30: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

ファイル名が指定されていないので、プロジェクト アーカイブの名前は project_name.zip に

なっています。

次の例は、現在のプロジェクトとして project_3 を指定し、proj3.zip というファイルにこ

のプロジェクトをアーカイブしています。

current_project project_3archive_project -force -exclude_run_results proj3.zip

-force-force-force オプションを使用しているので、proj3.zip ファイルは既に存在している場合上書き

されます。-exclude_run_results-exclude_run_results-exclude_run_results オプションを使用しているので、合成 run またはインプリメン

テーション run はアーカイブに含まれません。アーカイブには、プロジェクトで定義されたさま

ざまな run が含まれますが、その結果は含まれません。

関連文書

current_project

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

30 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 31: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

check_timing

可能性のあるタイミング問題のタイミングをチェックします。

構文

check_timing [-override_defaults args] [-include args][-exclude args] [-verbose] [-quiet] [check_list]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-override_defaults-override_defaults-override_defaults ○ check_list を使用してtiming_check_defaults 変数のチェックを上書きします。

-include-include-include ○ timing_check_defaults 変数に対して実行されるチェックのリストを追加します。

-exclude-exclude-exclude ○ timing_check_defaults 変数に対して実行されるチェックのリストを除外します。

-verbose-verbose-verbose ○ より詳細な出力になります。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

check_list ○ {unconstrained_endpointsmultiple_clockno_clockno_input_delayloopsgenerated_clocks}

実行されるチェックのリストで、有効な値はunconstrained_endpoints、multiple_clock、no_clock,no_input_delay、loops、generated_clocks になります。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 31

Page 32: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

close_design

現在のデザインを閉じます。

構文

close_design [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

説明

PlanAhead で現在アクティブなデザインを閉じます。

デザインが変更されている場合でも、閉じる前にデザインを保存するかどうか尋ねるメッセー

ジは表示されません。close_design コマンドを使用する前に save_design または save_design_as

コマンドを実行して、変更を保存しておく必要があります。

引数

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次のコマンドにより、現在のプロジェクトが閉じられます。

close_design

PlanAhead で複数デザインが開いている場合、close_designclose_designclose_design.コマンドを実行する前に

current_designcurrent_designcurrent_design コマンドで現在のデザインを指定しておくことができます。

次の例では、現在のデザインを設定してから、それを閉じています。

current_design rtl_1close_design

rtl_1rtl_1rtl_1 デザインがアクティブ デザインとして設定され、close_designclose_designclose_design コマンドで閉じられています。

関連文書

• current_design

• save_design

• save_design_as

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

32 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 33: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

close_project

現在開いているプロジェクトを閉じます。

構文

close_project [-delete] [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-delete-delete-delete ○ ディスクからもプロジェクトを削除します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

説明

PlanAhead で開いているプロジェクトを閉じます。

引数

-delete-delete-delete - プロジェクトを閉じた後、ハード ディスクからプロジェクト データが削除されます。

メモ : このオプションを使用する際には、注意が必要です。close_projectclose_projectclose_project コマンドに -delete-delete-delete

オプションを使用した場合、その選択で問題ないかどうかを確認するメッセージは表示され

ません。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次のコマンドにより、アクティブ プロジェクトが閉じられます。

close_project

現在開いているプロジェクトが閉じられます。複数のプロジェクトが開いている場合は、

current_projectcurrent_projectcurrent_project コマンドで定義した現在のプロジェクトに対してのみ close_projectclose_projectclose_project コマンドが

実行されます。

次の例では、project_1 をアクティブ プロジェクトとして設定してから閉じ、コンピューター

のハード ディスクから削除しています。

current_project project_1close_project -delete

メモ : コンピューターのハード ディスクからプロジェクト ファイルを削除する前に、そのコマン

ドを確認するメッセージは表示されません。-delete-delete-delete オプションを指定する前に、問題がない

かどうか確認してください。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 33

Page 34: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

関連文書

current_project

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

34 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 35: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

compxlib

シミュレーション ライブラリをコンパイルします。

構文

compxlib [-arch arg] [-cfg] [-cfgopt arg] [-dir arg] [-e arg][-exclude_sublib] [-exclude_superseded] [-info arg] [-l arg][-lib arg] [-log arg] [-p arg] -s arg [-source_lib arg][-verbose] [-w] [-64bit] [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-arch-arch-arch ○ all デバイス アーキテクチャを選択します。

-cfg-cfg-cfg ○ compxlib.cfg コンフィギュレーション ファイルをデフォルト設定で生成します。

-cfgopt-cfgopt-cfgopt ○ simulator:language:library:optionsの形式でオプションを設定します。

-dir-dir-dir ○ . コンパイルした結果を保存するディレクトリ パスを指定します。

-e-e-e ○ 前に compxlib でコンパイルしたライブラリのある既存ディレクトリを指定します。

-exclude_sublib-exclude_sublib-exclude_sublib ○ EDK の .pao ファイルで定義されるサブライブラリをコンパイルで除外します (EDK ライブラリのみ)。

-exclude_superseded-exclude_superseded-exclude_superseded ○ 使用されていない EDK のライブラリをコンパイルで除外します (EDK ライブラリのみ)。

-info-info-info ○ コンパイル済みライブラリの情報を表示します。

-l-l-l ○ all この言語のライブラリをコンパイルします。

-lib-lib-lib ○ all コンパイルするライブラリを選択します。

-log-log-log ○ compxlib.log ユーザー独自のログ ファイルを作成します。

-p-p-p ○ このディレクトリのシミュレータの実行ファイルを使用します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 35

Page 36: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

名前 オプション デフォルト 説明

-s-s-s × このシミュレータ用のライブラリをコンパイルします。

-source_lib-source_lib-source_lib ○ 指定した場合は、環境変数 XILINX (ISE 用) またはXILINX_EDK (EDK 用) で指定されているデフォルト パスを検索する前に、このディレクトリでライブラリ ソース ファイルが検索されます。

-verbose-verbose-verbose ○ プログラム実行中にさらに多くのメッセージが表示されます。

-w-w-w ○ コンパイル済みライブラリが上書きされます。

-64bit-64bit-64bit ○ 64 ビットのコンパイルが実行されます。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

36 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 37: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

config_partition

指定 run のモジュール変数とステートを設定します。

構文

config_partition [-cell arg] [-reconfig_module arg] [-import][-implement] [-import_dir arg] [-preservation arg] [-quiet] run

表示結果

なし

使用法

名前 オプション デフォルト 説明

-cell-cell-cell ○ 指定した run でコンフィギュレーションするパーティションインスタンスを指定します。最上位のパーティションを変更する場合は、このオプションは指定しないでください。

-reconfig_module-reconfig_module-reconfig_module ○ この run のこのインスタンスに適用するさまざまなリコンフィギャラブル モジュールを指定します。

-import-import-import ○ この run に対してインポートを実行するために、インスタンス (またはスタティック ロジック) を設定します。

-implement-implement-implement ○ この run に対してインプリメントを実行するために、インスタンス (またはスタティック ロジック) を設定します。

-import_dir-import_dir-import_dir ○ 前にインプリメントされたモジュールのインポート元のディレクトリを指定します。

-preservation-preservation-preservation ○ パーティションの保持レベルを設定します。 有効な値は、routing (デフォルト)、placement、synthesis のいずれかです。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

run × 変更する run を指定します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 37

Page 38: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

config_run

run の各プログラム オプションを設定します。

構文

config_run [-quiet] run program option value

結果

なし

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

run × 変更する run を指定します。

program × オプションを設定するプログラムの名前を指定します。

option × 設定するオプションの名前を指定します。

value × オプションに対して設定する引数の値を指定します。

説明

このコマンドは、PlanAhead で使用されるさまざまな設定および合成 run またはインプリメン

テーション run のオプションを設定します。run の作成には create_run コマンドを、起動には

launch_runs コマンドを使用できます。

config_run コマンドでは、指定した合成またはインプリメンテーション プログラムの 1 つのオプ

ションの値を定義できます。合成の XST またはインプリメンテーションの NGDBuild、MAP、

PAR、TRACE を設定するのに必要なさまざまなオプションを定義するには、複数の config_run

文が必要です。

これらのツール、さまざまな必須またはオプション設定については、『XST ユーザー ガイド』

(UG627 または UG687) および『コマンド ライン ツール ユーザー ガイド』 (UG628) を参照し

てください。

引数

run - 設定する合成 run またはインプリメンテーション run の名前を指定します。

program - プログラム名に option および value を付けます。プログラム名には大文字/小文字

の区別があり、合成 run の場合は xstxstxst、インプリメンテーション run の場合は ngdbuildngdbuildngdbuild、mapmapmap、

parparpar、trcetrcetrce のいずれかにする必要があります。

option - 指定したプログラムの 1 つのコマンド ライン オプションを指定します。サポートされ

る合成オプションについては『XST ユーザー ガイド』 (UG627 または UG687) を、サポートさ

れるインプリメンテーション オプションについては『コマンド ライン ツール ユーザー ガイド』

(UG628) を参照してください。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

38 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 39: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

value - 定義したツール オプションの値を指定します。指定した合成オプションに使用できる値

については『XST ユーザー ガイド』 (UG627 または UG687) を、指定したインプリメンテーショ

ン オプションに使用できる値については『コマンド ライン ツール ユーザー ガイド』 (UG628)

を参照してください。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次の例では、NGDBuild、MAP、PAR の設定オプションを使用し、impl_1 run をコンフィギュレー

ションしています。

config_run impl_1 -program ngdbuild -option -aul -value trueconfig_run impl_1 -program map -option -pr -value bconfig_run impl_1 -program map -option -cm -value speedconfig_run impl_1 -program map -option -ignore_keep_hierarchy -value trueconfig_run impl_1 -program par -option -pl -value highconfig_run impl_1 -program par -option -r -value trueconfig_run impl_1 -program ngdbuild -option -aut -value true

関連文書

• create_run

• current_run

• launch_runs

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 39

Page 40: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

config_timing_analysis

タイミング解析を設定します。

構文

config_timing_analysis [-disable_paths_between_unrelated_ucf_clocks arg][-enable_input_delay_default_clock arg] [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-disable_paths_between_-disable_paths_between_-disable_paths_between_unrelated_ucf_clocksunrelated_ucf_clocksunrelated_ucf_clocks

○ 関連のないクロック間のタイミング パスをディスエーブルにします。

値 : true、false

-enable_input_delay_default_-enable_input_delay_default_-enable_input_delay_default_clockclockclock

○ クロックの付いていない入力遅延を内部定義済みのクロックでイネーブルにします。

値 : true、false

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

40 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 41: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

config_timing_corners

シングル/マルチ コーナーのタイミング解析を設定します。

構文

config_timing_corners [-corner arg] [-delay_type arg] [-setup][-hold] [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-corner-corner-corner ○ コーナー名を指定します。使用できる値は、Slow、Fast です。

-delay_type-delay_type-delay_type ○ 指定したタイミング コーナーに対して解析されるパス遅延を指定します。有効な値は、none、max、min、min_max です。

-setup-setup-setup ○ セットアップ解析のコーナーをイネーブルにします(-delay_type max と同じ)

-hold-hold-hold ○ ホールド解析のコーナーをイネーブルにします(-delay_type min と同じ)

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 41

Page 42: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

config_timing_pessimism

共通ノード パーミッション解析を設定します。

構文

config_timing_pessimism [-enable] [-disable] [-transition arg][-threshold arg] [-common_node arg] [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-enable-enable-enable ○ CRPR (CommonReconvergence PessimismRemoval) をイネーブルにします。

-disable-disable-disable ○ CRPR (CommonReconvergence PessimismRemoval) をディスエーブルにします。

-transition-transition-transition ○ 指定した遷移を削除します。有効な値は、any_transition、same_transition です。

-threshold-threshold-threshold ○ 共通ノードのしきい値を設定します。

-common_node-common_node-common_node ○ 配線ネットワークの共通ノードに対して CRPR を実行します。有効な値は、on、off です。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

42 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 43: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

connect_debug_port

ネットとピンをデバッグ ポート チャネルに接続します。

構文

connect_debug_port [-channel_start_index arg][-quiet] port nets ...

表示結果

なし

使用法

名前 オプション デフォルト 説明

-channel_start_index-channel_start_index-channel_start_index ○ チャネル インデックスからネットを接続します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

port × デバッグ ポート名を指定します。

nets × ネットまたはピンのリストを指定します。

説明

ネットリスト デザインからの信号を ChipScope デバッグ コアのポートに接続します。この信号

は、ポートの特定のチャネル インデックスに接続するか、単にポートで使用可能なチャネル

に接続できます。

ポートに接続する信号が多すぎたり、接続をサポートするだけのチャネルがない場合は、エ

ラー メッセージが表示されます。

コアをデバッグするためのほかのポートは、create_debug_port コマンドで追加でき、既存ポー

トの使用可能なチャネルは set_property port_width で増加できます。例を参照してください。

ポートからの信号の接続は、disconnect_debug_port コマンドで解除できます。

引数

-channel_start_index-channel_start_index-channel_start_index - その接続に対して使用するチャネル インデックスを指定します。複数

の信号が指定される場合は、この値が接続が追加され始めるチャネル インデックス番号にな

ります。チャネル インデックスの番号は 0 から開始されます。

メモ :このオプションを指定しない場合、最初に使用可能なチャネル インデックスに設定され

ます。

port - 信号を接続するポートの名前を指定します。ポートは、core_name/port_name で参照さ

れる必要があります。

nets - 指定したデバッグ ポートに接続するために、ネットリスト デザインから 1 つ以上のネッ

ト名のリストを指定します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 43

Page 44: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次の例では、myCore デバッグ コアに新しい TRIG ポートを作成し、ポートの port_width を増

加して、接続される信号数を受信する準備し、その信号を 3 つ目のチャネル位置 (インデック

ス 2) で開始するポートへ信号を接続しています。

create_debug_port myCore TRIGset_property port_width 8 [get_debug_ports myCore/TRIG0]connect_debug_port myCore/TRIG0 [get_nets [list m0_ack_o m0_cyc_i m0_err_o m0_rty_o \m0_stb_i m0_we_i ]] -channel_start_index 2

メモ :ポートで使用可能なチャネルへ接続されるネットを多く指定しすぎると、エラー メッセー

ジが表示され、ポートは接続されません。

関連文書

• create_debug_port

• disconnect_debug_port

• get_debug_ports

• get_nets

• set_property

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

44 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 45: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

create_clock

クロック オブジェクトを作成します。

構文

create_clock [-period arg] [-name arg] [-waveform args] [-add][-quiet] [objects]

表示結果

クロック名

使用法

名前 オプション デフォルト 説明

-period-period-period ○ 10.0 クロック周期 : 値 0

-name-name-name ○ クロック名を指定します。

-waveform-waveform-waveform ○ クロック エッジを指定します。

-add-add-add ○ source_objects の既存クロックに追加します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

objects ○ クロック ソース ポート、ピンまたはネットを指定します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 45

Page 46: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

create_debug_core

ChipScope デバッグ コアを新規作成します。

構文

create_debug_core [-quiet] name type

表示結果

new debug_core object

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

name × 新しいデバッグ コア インスタンスの名前を指定します。

type × 新しいデバッグ コア インスタンスのタイプを指定します。

説明

現在のプロジェクトの開いている [Netlist Design] ビューに追加する新しい ChipScope デバッ

グ コアを定義します。デバッグ コアでは、デバッグ目的にデザインからのネットを接続する

ポートを定義します。

デフォルトで作成されるコアには、CLK ポートとトリガー (TRIG) ポートが含まれます。CLK

ポートでサポートされるクロック信号は 1 つだけなので、クロック ドメインごとに別のデバッグ

コアを作成する必要があります。

コアが作成されたら、create_debug_port コマンドを使用してそのデバッグ コアに新しいポート

を追加し、connect_debug_port コマンドを使用してそのポートに信号を接続できます。

メモ : デバッグ コアは、PlanAhead の開いた [Netlist Design] ビューにのみ追加できます。

引数

name - プロジェクトに追加する ChipScope デバッグ コアの名前を指定します。

type - 挿入する ChipScope デバッグ コアのタイプを指定します。現在 PlanAhead でサポー

トされるコアのタイプは、chipscope_ila_v1 だけです。この場合、ILA デバッグ コアが接続され

たネットに別のロードを単に追加します。詳細は、次を参照してください。 『ChipScope Pro ソ

フトウェアおよびコア ユーザー ガイド』 (UG029) デバッグ コアのタイプおよび目的に関する

詳細が記述されています。

メモ : ILA がプロジェクトに追加されると、PlanAhead は 1 つ以上の ILA コアのコンテナとし

て ICON コントローラー コアを追加します。ただし、ICON コアはプロジェクトに直接追加する

ことはできません。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

46 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 47: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

次の例は、[Netlist Design] ビューを開き、新しい ChipScope デバッグ コアを作成しています。

open_netlist_design -name netlist_1create_debug_core myCore chipscope_ila_v1

メモ : 現在 PlanAhead でサポートされるコアのタイプは、chipscope_ila_v1 だけです。

次の例は、myCore という新しいデバッグ コアを作成し、そのコアのプロパティを表示します。

report_property [create_debug_core myCore chipscope_ila_v1]

デバッグ コアのプロパティは、次の例のように set_property コマンドを使用するとカスタマイ

ズできます。

set_property enable_storage_qualification false [get_debug_cores myCore]

関連文書

• connect_debug_port

• create_debug_port

• delete_debug_core

• get_debug_cores

• read_chipscope_cdc

• report_debug_core

• report_property

• set_property

• write_chipscope_cdc

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 47

Page 48: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

create_debug_port

ChipScope デバッグ ポートを新規作成します。

構文

create_debug_port [-quiet] name type

表示結果

新しい debug_port オブジェクト

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

name × デバッグ コア インスタンスの名前を指定します。

type × デバッグ コア インスタンスのタイプを指定します。

説明

既存の ChipScope デバッグ コアに追加する新しいポートを定義します。このポートは、デバッグ

コアへの接続ポイントで、デバッグ目的にデザインからネットを接続するために使用されます。

create_debug_core コマンドを使用して新しいデバッグ コアが作成されると、デフォルトで CLK

とトリガー (TRIG) ポートが含まれますが、DATA および trigger_output (TRIG_OUT) ポート、そ

の他の TRIC ポートを追加して、コアをデバッグすることもできます。

ポートには、デバッグ用ネットを 1 つ以上サポートするための接続ポイントが 1 つ以上ありま

す。デフォルトでは、新しいポートの幅は 1 で定義されるので、接続できるネットは 1 つだけ

です。TRIG および DATA ポートの幅は、set_property port_width コマンドを使用して複数の

信号をサポートするように変更できます (例を参照)。

メモ : CLK および TRIG_OUT ポートの幅は 1 にしかできません。

connect_debug_port コマンドを使用すると信号をポートに接続でき、disconnect_debug_port コ

マンドを使用すると接続を解除できます。

引数

name - 新しいポートを接続する ChipScope デバッグ コアの名前を指定します。デバッグ コ

アは、create_debug_port で既に作成されているか、read_chipscope_cdc でインポートされ、既

に存在しているはずです。

type - 挿入するデバッグ ポートのタイプを指定します。サポートされるポート タイプは、次

の 4 つです。CLK、DATA、TRIG、TRIG_OUTポート タイプおよび目的に関する詳細は、

『ChipScope Pro ソフトウェアおよびコア ユーザー ガイド』 (ug029.pdf) を参照してください。

メモ :各 ILA デバッグ コアには、1 つの CLK、DATA、TRIG_OUT ポートしか含めることはで

きませんが、複数のトリガー (TRIG) ポートを作成することができます。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

48 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 49: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次の例では、新しい ChipScope デバッグ コアを作成してから、そのコアに DATA ポートを追

加しています。

create_debug_core myCore chipscope_ila_v1create_debug_port myCore DATA

次の例は、myCore デバッグ コアに新しいポートを作成し、そのポート幅を 8 に設定し、その

ポートへ信号を接続しています。

create_debug_port myCore TRIGset_property PORT_WIDTH 8 [get_debug_ports myCore/TRIG0]connect_debug_port -channel_start_index 1 myCore/TRIG0 {m1_cyc_i \

m1_ack_o m1_err_o m1_rty_o}

メモ : デバッグ コアは名前で、デバッグ ポートは core_name/port_name で参照されます。

関連文書

• connect_debug_port

• create_debug_core

• disconnect_debug_port

• read_chipscope_cdc

• set_property

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 49

Page 50: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

create_fileset

新規ファイルセットを作成します。

構文

create_fileset [-constrset] [-simset] [-quiet] name

表示結果

新しいファイルセット オブジェクト

使用法

名前 オプション デフォルト 説明

-constrset-constrset-constrset ○ ファイルセットを制約ファイルセットとして作成します (デフォルト)。

-simset-simset-simset ○ ファイルセットをシミュレーション ソース ファイルセットとして作成します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

name × 作成されるファイルセットの名前を指定します。

説明

create_fileset コマンドは、PlanAhead プロジェクト内で新しいファイルセットを定義するために

使用します。

ファイルセットは、プロジェクト内の特定ファンクションを使用したファイルのコレクションです。

1 つ以上の制約ファイルは制約ファイルセット (-constrset)、1 つ以上のシミュレーション テス

トベンチはシミュレーション ファイルセット (-simset) で指定できます。create_fileset コマンドを

使用する際に指定できるファイルセット オプションは 1 つだけです。タイプが指定されない場

合、デフォルトで制約ファイルセットが作成されます。

create_fileset コマンドを実行すると、新しく作成されたファイルセットの名前が表示されるか、

-quiet オプションが指定されていなければエラー メッセージが表示されます。

引数

-constrset-constrset-constrset - 1 つ以上の制約ファイルを維持する制約ファイルセットが作成されます。-constrset-constrset-constrset

および -simset-simset-simset オプションのどちらも指定されていなければ、このファイルセットがデフォルト

で作成されます。

-simset-simset-simset - 1 つ以上のシミュレーション ソース ファイルを維持するシミュレーション ファイルセッ

トが作成されます。ファイルセットのタイプには、-constrset-constrset-constrset または -simset-simset-simset のいずれか 1 つし

か設定できません。両方とも指定した場合は、エラー メッセージが表示されます。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

50 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 51: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

name - 作成するファイルセット名前を指定します。

次の例では、constraints2 という名前の新しい制約ファイルセットを作成しています。

create_fileset -constrset -quiet constraints2

-quiet-quiet-quiet オプションが指定されているので、指定したファイルセットが作成されないエラーがあっ

ても、エラー メッセージは表示されません。

次の例では、sim_1 という名前の新しいシミュレーション ファイルセットを作成しています。

create_fileset -simset sim_1

ファイルは、add_filesadd_filesadd_files コマンドで新しく作成したファイルセットに追加できます。

関連文書

current_fileset

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 51

Page 52: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

create_generated_clock

生成済みのクロック オブジェクトを作成します。

構文

create_generated_clock [-name arg] [-source args] [-edges args][-divide_by arg] [-multiply_by arg] [-combinational][-duty_cycle arg] [-invert] [-edge_shift args] [-add][-master_clock arg] [-quiet] [objects]

表示結果

クロック名

使用法

名前 オプション デフォルト 説明

-name-name-name ○ 生成されたクロックの名前を指定します。

-source-source-source ○ マスター クロック ソース オブジェクト ピン/ポートを指定します。

-edges-edges-edges ○ エッジを指定します。

-divide_by-divide_by-divide_by ○ 0 周波数除算係数 : 値 = 1

-multiply_by-multiply_by-multiply_by ○ 0 周波数乗算係数 : 値 = 1

-combinational-combinational-combinational ○ 組み合わせロジックを介して1 クロックで分周されます。

-duty_cycle-duty_cycle-duty_cycle ○ 0.0 周波数乗算のデューティ サイクル範囲は、0.0 ~ 100.0

-invert-invert-invert ○ 信号を反転します。

-edge_shift-edge_shift-edge_shift ○ エッジ シフトを指定します。

-add-add-add ○ source_objects の既存クロックに追加します。

-master_clock-master_clock-master_clock ○ マスター ピンに複数のクロックがある場合は、このクロックを使用します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

objects ○ 生成されたクロック ソース オブジェクト

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

52 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 53: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

create_interface

I/O ポート インターフェイスを新規作成します。

構文

create_interface [-parent arg] [-quiet] name

表示結果

新しいインターフェイス オブジェクト

使用法

名前 オプション デフォルト 説明

-parent-parent-parent ○ この親インターフェイスに新しいインターフェイスを割り当てます。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

name × 新しい I/O ポート インターフェイスの名前を指定します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 53

Page 54: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

create_ip

コンフィギャブル IP のインスタンスを作成して、ファイルセットに追加します。

構文

create_ip [-srcset arg] -module_name arg -vendor arg -library arg-name arg -version arg [-quiet]

表示結果

追加されたファイル オブジェクトのリスト

使用法

名前 オプション デフォルト 説明

-srcset-srcset-srcset ○ ソース セット名を指定します。

-module_name-module_name-module_name × プロジェクトに追加される新しい IP の名前を指定します。

-vendor-vendor-vendor × IP ベンダーの名前を指定します。

-library-library-library × IP ライブラリの名前を指定します。

-name-name-name × IP 名

-version-version-version × IP のバージョン番号を指定します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

54 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 55: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

create_ip_catalog

最新バージョンの IP カタログを作成して、指定ディレクトリに保存します。

構文

create_ip_catalog -dir arg [-repositories args] [-quiet]

表示結果

新しい IP カタログ ファイルへのパス

使用法

名前 オプション デフォルト 説明

-dir-dir-dir × 新しい IP カタログを書き込むディレクトリを指定します。

-repositories-repositories-repositories ○ 新しい IP カタログに含まれる IP レポジトリのリストを指定します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 55

Page 56: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

create_operating_conditions

ライブラリの動作条件の新しい設定を作成します。

構文

create_operating_conditions -name arg [-library arg][-process arg] [-temperature arg] [-voltage arg] [-tree_type arg][-calc_mode arg] [-airflow arg] [-rail_voltages args] [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-name-name-name × 動作状態名を指定します。

-library-library-library ○ ライブラリ名

-process-process-process ○ 0.0 プロセス乗数 : 0 ~ 100

-temperature-temperature-temperature ○ ファミリによって異なる

周囲温度 (C) : -55 ~ 125

-voltage-voltage-voltage ○ 0.0 電圧 (V) : 0 ~ 1000

-tree_type-tree_type-tree_type ○ balanced_tree ツリー タイプ

-calc_mode-calc_mode-calc_mode ○ nominal 計算モード : nominal またはworst_case

-airflow-airflow-airflow ○ ファミリによって異なる

エアフロー (LFM) : 0 ~ 750

-rail_voltages-rail_voltages-rail_voltages ○ レール電圧の名前と値の組み合わせリスト (サポートされる値 : vccint、vccaux、vcco33、vcco25、vcco18、vcco15、vcco12)

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

56 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 57: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

create_pblock

新規 Pblock を作成します。

構文

create_pblock [-parent arg] [-quiet] name

表示結果

新しい Pblock オブジェクト

使用法

名前 オプション デフォルト 説明

-parent-parent-parent ○ 新しい Pblock の親を指定します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

name × 新しい Pblock の名前を指定します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 57

Page 58: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

create_port

スカラー ポートまたはバス ポートを作成します。

構文

create_port -direction arg [-from arg] [-to arg] [-diff_pair][-interface arg] [-quiet] name

表示結果

作成されたポート オブジェクトのリスト

使用法

名前 オプション デフォルト 説明

-direction-direction-direction × ポートの方向を指定します。有効な引数は、in、out、inoutです。

-from-from-from ○ 新しいバスのインデックスの始まりを指定します。

-to-to-to ○ 新しいバスのインデックスの終わりを指定します。

-diff_pair-diff_pair-diff_pair ○ ポートの差動ペアを作成します。

-interface-interface-interface ○ このインターフェイスに新しいインターフェイスを割り当てます。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

name × ポート名

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

58 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 59: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

create_project

プロジェクトを新規作成します。

構文

create_project [-part arg] [-force] [-quiet] name dir

表示結果

新しいプロジェクト オブジェクト

使用法

名前 オプション デフォルト 説明

-part-part-part ○ プロジェクトのデフォルトのザイリンクス パーツを設定します。

-force-force-force ○ 既存のプロジェクト ディレクトリを上書きします。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

name × プロジェクト名

dir × プロジェクト ファイルが保存されるディレクトリ

説明

このコマンドは、指定したディレクトリに PlanAhead のプロジェクト ファイルを作成します。

引数

name - この引数はパラメーター名を必要としませんが、指定した dir よりも前に記述する必要

があります。 パラメーターがないので、最初の引数は name、2 つ目の引数は dir として認識さ

れます。 プロジェクト ファイル name.ppr およびプロジェクト データ フォルダー name.data が

作成され、両方とも指定したディレクトリ dir に書き込まれます。

PlanAheadで作成されるプロジェクトファイルはデフォルトでRTLソースコードです。set_property

コマンドを使用して design_mode プロパティを設定し、RTL ソース プロジェクトから、たとえば

I/O ピン配置などの別のプロジェクト タイプに変更する必要があります。

dir - 新しいプロジェクト ファイルを書き込む先のディレクトリ名を指定します。指定したディレ

クトリが存在しない場合は、その名前の新しいディレクトリが作成されます。ディレクトリを完全

なパスで指定すると、その指定したパス名が使用されます。ただし、dir がパスなしに指定さ

れると、現在の作業ディレクトリ内か PlanAhead の起動ディレクトリでそのディレクトリが検索さ

れるか、作成されます。

メモ : プロジェクトを GUI モードで作成すると、ファイル名 name にディレクトリ名 dir が付き、

dir/name という名前のプロジェクト ディレクトリが作成され、新しいプロジェクト ファイルとプロ

ジェクト データ フォルダーがこのプロジェクト ディレクトリに保存されます。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 59

Page 60: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

-part-part-part partName - プロジェクトで使用されるザイリンクス パーツを指定します。これは、プロジェ

クトの作成後に変更できます。-part-part-part オプションが指定されないと、デフォルトのパーツが使用

されます。現在のところ、デフォルトのパーツは xc6vlx75tff484-1 です。

-force-force-force - 既存のプロジェクトを上書きする場合に必要なオプションです。指定した dir でプロ

ジェクト名が既に定義されている場合は、-force-force-force オプションを使用して既存のプロジェクトを上

書きする必要があります。

メモ : 既存プロジェクトを現在 PlanAhead で開いている場合、新しいプロジェクトでディスクの

既存プロジェクトが上書きされますが、両方のプロジェクトとも PlanAhead で開いたままにでき

ます。この場合、create_projectcreate_projectcreate_project を実行する前に close_projectclose_projectclose_project コマンドを実行しておく必要の

あることがあります。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次の例では、dum というディレクトリに dee というプロジェクトを作成しています。

create_project dee dum

メモ : dir はフォルダー名としてのみ指定されているので、プロジェクトは現在の作業ディレク

トリか PlanAhead の起動ディレクトリに作成されます。

次の例では、C:/Designs の dum というディレクトリに dee というプロジェクトを作成しています。

また、指定したディレクトリに同名のプロジェクトが既に存在する場合は、それが上書きされま

す。2 行目と 3 行目では、-force の位置を変更して、位置を変更しても問題ないことを示して

います。

create_project dee C:/Designs/dum -force-or-create_project dee -force C:/Designs/dum-or-create_project -force dee C:/Designs/dum

メモ : どの例でも、キーワードのない最初の引数は name 変数として、2 つ目の引数は dir 変

数として認識されます。

次の例では、pin_project という新規プロジェクトを作成し、design_modedesign_modedesign_mode プロパティを I/O

ピン配置プロジェクト用に設定し、最後に IO デザインを開いています。

create_project pin_project C:/Designs/PinPlanningset_property design_mode PinPlanning [current_fileset]open_io_design -name io_1

関連文書

• current_project

• set_property

• open_io_design

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

60 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 61: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

create_property

オブジェクトのクラスのプロパティを作成します。

構文

create_property [-type arg] [-quiet] name [class]

表示結果

問題のない場合はプロパティ、エラーのあった場合は ""

使用法

名前 オプション デフォルト 説明

-type-type-type ○ string 作成するプロパティのタイプ。 有効な値は string、int、double、bool です。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

name × 作成するプロパティの名前を指定します。

class ○ string 作成するプロパティのオブジェクト タイプ。有効な値は、design、net、cell、pin、port、pblock です。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 61

Page 62: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

create_reconfig_module

新しいリコンフィギャラブル モジュールを作成してセルに追加します。セルは、リコンフィギャ

ラブル パーティションとして表示されます。

構文

create_reconfig_module [-force] [-blackbox] [-quiet] name cell

表示結果

新しい reconfig_module オブジェクト

使用法

名前 オプション デフォルト 説明

-force-force-force ○ 未決定の制約変更があっても、コマンドを実行します。 変更は失われます。

-blackbox-blackbox-blackbox ○ ブラック ボックス リコンフィギャラブル モジュールを作成します。ソース ファイルと制約ファイルはブラック ボックス リコンフィギャラブル モジュールには追加されない可能性があります。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

name × 新しいリコンフィギャラブル モジュールの名前

cell × 新しいリコンフィギャラブル モジュールを受信するセル

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

62 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 63: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

create_run

現在のプロジェクトの合成またはインプリメンテーション run を定義します。

構文

create_run [-constrset arg] [-parent_run arg][-part arg] -flow arg [-strategy arg] [-quiet] name

表示結果

run オブジェクト

使用法

名前 オプション デフォルト 説明

-constrset-constrset-constrset ○ run の制約ファイルセット

-parent_run-parent_run-parent_run ○ 新しいインプリメンテーションrun に関連する合成 run

-part-part-part ○ ターゲット パーツ

-flow-flow-flow × DesignAhead フロー名

-strategy-strategy-strategy ○ run に適用するストラテジ

-quiet-quiet-quiet ○ コマンド エラーを無視します。

name × 新規 run の名前

説明

このコマンドは、PlanAhead で使用する合成またはインプリメンテーション run を作成します。

run は、config_run コマンドを使用してコンフィギュレーションできます。

引数

-srcset-srcset-srcset arg - run で使用するソース ファイルセットを指定します。デフォルトは sources_1 です。

-constrset-constrset-constrset arg - 合成またはインプリメンテーション run で使用する制約ファイルセットを指定

します。

-parent_run-parent_run-parent_run arg - RTL ソース プロジェクトの場合、インプリメンテーション run 用に parent_run

を指定する必要があります。 合成 run には必要ありません。parent_run の引数には、インプリ

メンテーション run でどの合成 run をインプリメントするか指定します。ネットリスト ベースのプロ

ジェクトの場合、インプリメンテーション run を定義するのに parent_run 引数は必要ありません。

-part-part-part partName - run で使用されるザイリンクス パーツを指定します。-part-part-part オプションを指定

しない場合は、プロジェクトに定義されているデフォルト パーツが使用されるパーツとして割り

当てられます。

-flow-flow-flow arg - 合成ツール ({XST 13} または {RDS 13}) またはインプリメンテーション ツール ({ISE

13} または {RDI 13}) のツール フローおよびリリース バージョンを指定します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 63

Page 64: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

-strategy-strategy-strategy arg - 合成またはインプリメンテーション run で使用するストラテジを指定します。

PlanAhead には、ユーザーが定義したカスタム ストラテジも含め、多くのストラテジが含まれま

す。使用可能な合成およびインプリメンテーション ストラテジについては、『PlanAhead ユー

ザー ガイド』を参照してください。ストラテジの引数を指定しない場合、[Synthesis Defaults] ま

たは [Implementation Defaults] が使用されます。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

name - 設定する合成 run またはインプリメンテーション run の名前を指定します。

次の例では、XST 13 フローを参照する first_pass という名前の run を作成しています。

create_run -flow {XST 13} first_pass

この場合、デフォルトの sources_1、constrs_1、プロジェクトのデフォルト パーツが合成 run で

は使用されます。また、これは合成 run なので、parent_run 引数は必要ありません。

次の例では、ISE 13 ツール フローを基にインプリメンテーション run を作成し、前に作成した

first_pass という合成 run を適用しています。

create_run -flow {ISE 13} -parent_run first_pass imp_1

この場合、プロジェクトが RTL ソース ファイルで、定義されるのがインプリメンテーション run

なので、parent_run 引数を使用する必要があります。

関連文書

• config_run

• current_run

• launch_runs

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

64 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 65: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

create_slack_histogram

ヒストグラムを作成します。

構文

create_slack_histogram [-to args] [-delay_type arg][-num_bins arg] [-slack_less_than arg] [-slack_greater_than arg][-group args] [-report_unconstrained] [-significant_digits arg][-scale arg] [-name arg] [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-to-to-to ○ 範囲の終わりのクロックを指定します。

-delay_type-delay_type-delay_type ○ max パス遅延のタイプ。使用できる値は、max、min、min_maxです。

-num_bins-num_bins-num_bins ○ 10 ビンの最大数値 = 1 です。

-slack_less_than-slack_less_than-slack_less_than ○ 1e+30 これよりも少ないスラックのパスを表示します。

-slack_greater_than-slack_greater_than-slack_greater_than ○ -1e+30 これよりも多いスラックのパスを表示します。

-group-group-group ○ このグループのパスに対するレポートを制限します。

-report_unconstrained-report_unconstrained-report_unconstrained ○ 制約の付いていないエンドポイントをレポートします。

-significant_digits-significant_digits-significant_digits ○ 3 表示する桁数。範囲は、0 ~13

-scale-scale-scale ○ linear ヒストグラムを描画する尺度のタイプを指定します。有効な値は、linear か logarithmicです。

-name-name-name ○ この名前で GUI パネルへ結果を出力します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 65

Page 66: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

crossprobe_fed

BEL およびネットのパスを FPGA Editor にクロスプローブします。

構文

crossprobe_fed [-run arg] [-path args] [-objects args] [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-run-run-run ○ 実行

-path-path-path ○ プリミティブ セルおよびネットに接続されるパス

-objects-objects-objects ○ クロスプローブするセルおよびネットのリスト

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

66 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 67: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

current_design

現在のデザインを設定または表示します。

構文

current_design [-quiet] [design]

表示結果

デザイン オブジェクト

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

design ○ 設定される現在のデザイン名

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 67

Page 68: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

current_fileset

現在のファイルセットを表示します。

構文

current_fileset [-constrset] [-quiet]

表示結果

現在のファイルセット (デフォルトは現在の srcset)

使用法

名前 オプション デフォルト 説明

-constrset-constrset-constrset ○ 現在の制約ファイルセットを表示します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

説明

current_filesetcurrent_filesetcurrent_fileset コマンドを使用すると、PlanAhead プロジェクト内でアクティブな制約ファイル

セットの名前が表示されます。

このコマンドにより、現在アクティブなソースまたは制約ファイルセットの名前が表示されます。

引数

-constrset-constrset-constrset - 現在アクティブな制約ファイルセットの名前が表示されます。このオプションを指

定しない場合、デフォルトでアクティブなソース ファイルセットが表示されます。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次の例では、アクティブな制約ファイルセット名が表示されます。

current_fileset -constrset -quiet

-quiet-quiet-quiet オプションが指定されているので、コマンドが実行されないエラーがあっても、エラー

メッセージは表示されません。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

68 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 69: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

current_instance

現在のインスタンスを設定または表示します。

構文

current_instance [-quiet] [instance]

表示結果

インスタンス名

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

instance ○ インスタンス名

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 69

Page 70: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

current_project

現在のプロジェクトを設定または表示します。

構文

current_project [-quiet] [project]

表示結果

現在のまたは新しく設定されたプロジェクト オブジェクト

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

project ○ 現在のプロジェクトとして設定されるプロジェクト (オプション)

説明

このコマンドは、current_project を指定するか、プロジェクトが指定されていない場合は

current_project を表示するのに使用します。

引数

projectprojectproject - 現在のプロジェクトとして設定する PlanAhead プロジェクトの名前を指定します。こ

のコマンドは close_project よりも前に使用し、特定のプロジェクトをアクティブにしてから、プ

ロジェクトを閉じます。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次の例では、project_2 を現在のプロジェクトとして設定しています。

current_project project_2

このコマンドにより、現在のプロジェクトがすべての PlanAhead コマンドの対象となります。GUI

モードでは、プロジェクト間の GUI を切り替えると current_project が自動的に定義されます。

次の例では、PlanAhead の現在のプロジェクト名が表示されます。

current_project

表示される値は、プロジェクト ファイルの名前やパスではなく、PlanAhead のプロジェクト名で

す。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

70 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 71: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

current_run

現在の run を設定または表示します。

構文

current_run [-synthesis] [-implementation] [-quiet] [run]

表示結果

run オブジェクト

使用法

名前 オプション デフォルト 説明

-synthesis-synthesis-synthesis ○ 現在の合成 run を設定または表示します。

-implementation-implementation-implementation ○ 現在のインプリメンテーションrun を設定または表示します(synthesis が指定されない限り、これがデフォルト)。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

run ○ 現在の run として設定するrun (オプション)

説明

このコマンドを使用すると、現在の合成またはインプリメンテーション run を定義するか、現在

の run の名前を表示できます。現在の run とは、合成またはインプリメント コマンドが実行さ

れると自動的に選択される run のことです。

引数

-synthesis-synthesis-synthesis - current_run コマンドで現在の合成 run の名前を表示するかどうか指定します。

-implementation-implementation-implementation - current_run コマンドで現在のインプリメンテーション run の名前を表示す

るかどうか指定します。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

run - 現在の run として設定する合成 run またはインプリメンテーション run の名前を指定し

ます。

次の例では、first_pass という run を current_run として定義しています。

current_run first_pass

この場合、PlanAhead で特定の run 名が認識されるので、-synthesis および -implementation

オプションは必要ありません。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 71

Page 72: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

次のコマンドでは、現在のインプリメンテーション run の名前が表示されます。

current_run -implementation -quiet

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

72 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 73: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

delete_debug_core

ChipScope デバッグ コアを削除します。

構文

delete_debug_core [-quiet] cores ...

表示結果

なし

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

コア × 削除する ChipScope デバッグ コアを指定します。

説明

このコマンドは、現在のプロジェクトから ChipScope デバッグ コアを削除します。デバッグ コ

アは create_debug_core コマンドで追加し、read_chipscope_cdc コマンドでインポートできます。

どちらの場合も、コアは現在のプロジェクトから削除されます。

引数

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

cores - 現在のプロジェクトから削除する ChipScope デバッグ コア名をリストします。

次のコマンドは、myCore デバッグ コアを現在のプロジェクトから削除しています。

delete_debug_core myCore

次のコマンドは、すべてのデバッグ コアを現在のプロジェクトから削除しています。

delete_debug_core [get_debug_cores]

メモ :get_debug_cores を実行すると、すべてのコアがデフォルトで表示されます。

関連文書

• create_debug_core

• get_debug_cores

• read_chipscope_cdc

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 73

Page 74: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

delete_debug_port

ChipScope デバッグ ポートを削除します。

構文

delete_debug_port [-quiet] ports ...

表示結果

なし

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

ports × 削除する ChipScope デバッグ ポートを指定します。

説明

現在のプロジェクトに含まれる ChipScope デバッグ コアからポートを削除します。デバッグ

ポートからの信号は、disconnect_debug_port で削除するか、このコマンドで一気に削除するこ

とができます。

引数

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

ports - コアから削除されるデバッグ ポートの core_name/port_name を指定します。

次の例では、myCore デバッグ コアから DATA ポートを削除しています。

delete_debug_port myCore/DATA

ILA ポートには、最低 1 つの CLK ポートと 1 つの TRIG ポートが必要とされるので、削除で

きないポートがあります。

次の例では、myCore デバッグ コアからトリガー ポート (TRIG) が削除されます。

delete_debug_port [get_debug_ports myCore/TRIG*]

メモ : この例の場合、ILA コアには少なくとも 1 つの TRIG ポートが必要なので、myCore から

すべての TRIG ポートが削除されるわけではありません。このコマンドでは、最後のポート以

外の TRIG0 から残りすべての TRIG ポートが削除されます。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

74 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 75: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

関連文書

• disconnect_debug_port

• get_debug_ports

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 75

Page 76: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

delete_fileset

ファイルセットを削除します。

構文

delete_fileset [-quiet] fileset

表示結果

なし

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

fileset × 削除するファイルセットを指定します。

説明

指定したファイルセットを削除します。ただし、ファルセットが削除できない場合でも、それを

示すメッセージは表示されません。

引数

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

fileset - 削除するファイルセットの名前を指定します。PlanAhead では、可能であればファイル

セットを削除しますが、最後の制約またはシミュレーション ファイルセットは削除されません。

次の例では、sim_2 というファイルセットを現在のプロジェクトから削除しています。

delete_fileset sim_2

フファイルセットとそのファイルすべてがプロジェクトから削除されます。ファイルは、ハード ド

ライブからは削除されません。

関連文書

• create_fileset

• current_fileset

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

76 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 77: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

delete_interface

I/O ポート インターフェイスをプロジェクトから削除します。

構文

delete_interface [-all] [-quiet] interfaces ...

表示結果

なし

使用法

名前 オプション デフォルト 説明

-all-all-all ○ インターフェイスに付属するすべてのポートおよびバスも削除します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

interfaces × 削除する I/O ポート インターフェイスを指定します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 77

Page 78: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

delete_pblock

Pblock を削除します。

構文

delete_pblock [-hier] [-quiet] pblocks ...

表示結果

なし

使用法

名前 オプション デフォルト 説明

-hier-hier-hier ○ Pblock の子ブロックすべても削除します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

Pblock × 削除する Pblock を指定します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

78 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 79: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

delete_port

ポートまたはポート バスのリストを削除します。

構文

delete_port [-quiet] ports ...

表示結果

なし

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

ports × 削除するポートを指定します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 79

Page 80: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

delete_power_results

指定したタイプのデフォルトのスイッチ動作を設定します。

構文

delete_power_results -name arg [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-name-name-name × 削除する結果のセット名

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

80 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 81: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

delete_reconfig_module

リコンフィギャブル モジュールを削除します。

構文

delete_reconfig_module [-quiet] reconfig_module

表示結果

なし

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

reconfig_module × 削除するリコンフィギャブルモジュールを指定します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 81

Page 82: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

delete_rpm

RPM を削除します。

構文

delete_rpm [-quiet] rpm

表示結果

なし

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

rpm × 削除する RPM を指定します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

82 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 83: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

delete_run

既存の run を削除します。

構文

delete_run [-noclean_dir] [-quiet] run

表示結果

なし

使用法

名前 オプション デフォルト 説明

-noclean_dir-noclean_dir-noclean_dir ○ ディスクからすべての出力ファイルおよびディレクトリを削除しません。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

run × 変更する run を指定します。

説明

このコマンドでは、プロジェクトから run が削除され、指定されない限り、ハード ドライブのプロ

ジェクト ディレクトリからも run の結果すべてが削除されます。

引数

-nocleandir-nocleandir-nocleandir - ハード ドライブからの run 結果を削除しないように指定します。run はプロジェ

クトからは削除されますが、run ファイルはプロジェクト ディレクトリに残ったままになります。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

run - プロジェクトから削除する合成 run またはインプリメンテーション run の名前を指定しま

す。

次の例では、first_pass という run を プロジェクトから削除しています。

delete_run first_pass

この例の場合、run 結果もハード ドライブのプロジェクト ディレクトリから削除されます。

次のコマンドの場合、first_pass という run は削除されますが、run 結果はハード ドライブに残

ります。

delete_run -nocleandir first_pass

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 83

Page 84: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

関連文書

• create_run

• current_run

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

84 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 85: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

delete_timing_results

メモリからタイミング結果のセットを一掃します。

構文

delete_timing_results [-type arg] [-quiet] name

表示結果

なし

使用法

名前 オプション デフォルト 説明

-type-type-type ○ 削除するタイミング結果のタイプ。有効な値は、timing_path、slack_histogram、clock_interaction です。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

name × 削除する結果のセット名

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 85

Page 86: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

demote_run

前にプロモートしたパーティションのプロモートを解除して、インポートに使用できないように

します。

構文

demote_run [-run arg] [-partition_names args] [-promote_dir arg][-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-run-run-run ○ デモートされるプロモート済み run

-partition_names-partition_names-partition_names ○ プロモートされるパーティションのリスト

-promote_dir-promote_dir-promote_dir ○ デモートされるディレクトリ

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

86 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 87: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

disconnect_debug_port

デバッグ ポート チャネルからのネットとピンの接続を解除します。

構文

disconnect_debug_port [-channel_index arg] [-quiet] port

表示結果

なし

使用法

名前 オプション デフォルト 説明

-channel_index-channel_index-channel_index ○ チャネル インデックスのネット接続を解除します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

port × デバッグ ポート名を指定します。

説明

ネットリスト デザインからの信号は connect_debug_port コマンドを使用して ChipScope デバッ

グ コアのポートに接続されます。この disconnect_debug_port コマンドを使用すると、ポートか

らの信号の接続を解除できます。

ポートの接続は単に解除するだけでなく、delete_debug_port コマンドを使用して デバッグ コ

アから削除することもできます。

デバッグ コアのポートの名前を取得する必要がある場合は、get_debug_ports コマンドを使用

するとコアのポートすべてをリストできます。プロジェクト内のコアと特定のパラメーターをすべ

てリストするには、report_debug_core コマンドを使用することもできます。

引数

channel_indexchannel_indexchannel_index value - 接続を解除するポートのチャネル インデックスを指定します。

メモ : channel_index が指定されない場合は、ポートすべての接続が解除されます。

port - 接続を解除するデバッグコアのポート名を指定します。ポート名は、core_name/port_name

として指定する必要があります。例を参照してください。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次の例では、myCore の TRIG0 ポートから指定したチャネル インデックスのみの接続を解除

しています。

disconnect_debug_port -channel_index 2 myCore/TRIG0

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 87

Page 88: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

次の例のように channel_index を指定しない場合、指定したポートのすべてのチャネルの接続

が解除されます。

disconnect_debug_port myCore/TRIG0

関連文書

• connect_debug_port

• delete_debug_port

• get_debug_ports

• report_debug_core

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

88 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 89: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

endgroup

グループ単位で前/次の動作を実行できるコマンド セットを終了します。

構文

endgroup [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 89

Page 90: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

filter

リストにフィルタをかけて、新しいリストを表示します。

構文

filter [-regexp] [-nocase] [-quiet] [objects] [filter]

表示結果

新しいリスト

使用法

名前 オプション デフォルト 説明

-regexp-regexp-regexp ○ =~ および !~ 演算子で正規表現が使用されます。

-nocase-nocase-nocase ○ -regexp を使用した場合に、大文字/小文字が関係なくなります。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

objects ○ フィルタするオブジェクトのリスト

filter ○ 式を使用してリストをフィルタします。

説明

このコマンドでは、オブジェクトのリストを指定します。 表示結果は、指定したフィルター検索

パターンに一致するオブジェクトのリストになります。デフォルトでは、開いているプロジェクト

すべてのリストになります。

引数

-regexp-regexp-regexp - 正規表現で指定されたフィルター パターンを示します。

-nocase-nocase-nocase - パターンを指定すると、大文字/小文字に関係なく検索されます。このオプション

は、-regexp を使用した場合にのみ適用されます。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

<objects> - フィルターをかけて表示結果を削減するオブジェクトのリストを指定します。オブ

ジェクトのリストは、get_parts のような get_*get_*get_* コマンドのいずれかを使用すると表示されます。

<filter> - 指定した表現でオブジェクトにフィルターをかけます。指定したパターンで、オブジェ

クトのプロパティ値に基づいたオブジェクトのリストがフィルターされます。どのプロパティがオ

ブジェクトに付いているかは、report_property または list_property コマンドで確認できます。

フィルターには、プロパティと値の組み合わせを使用できます。part オブジェクトの場合、結

果をフィルターできるプロパティには DEVICE、FAMILY、SPEED などがあります。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

90 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 91: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

フィルター表記に使用できる演算子は、==、!=、=~ のほか、フィルター表記間では && およ

び || も使用できます。

次の例では、指定したスピード グレードでパーツのリストがフィルターされます。

filter [get_parts] {speed == -3}filter [get_parts] {speed == -3 || speed == -2}

2 つ目のコマンド例では、スピード グレード -3 か -2 によってパーツがフィルターされます。

どちらかのスピード グレードに一致するパーツがすべて表示されます。

関連文書

get_parts

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 91

Page 92: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

generate_ip

コンフィギャブル IP を生成します。

構文

generate_ip [-srcset arg] -files args [-quiet]

表示結果

生成されたファイルのリスト

使用法

名前 オプション デフォルト 説明

-srcset-srcset-srcset ○ ソース セット名を指定します。

-files-files-files × 生成される IP ソース ファイルを指定します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

92 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 93: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

get_cells

現在のデザインのセルすべてのリストを表示します。

構文

get_cells [-hsc arg] [-hierarchical] [-regexp] [-nocase][-filter arg] [-of_objects args] [-match_style arg] [-quiet][patterns]

表示結果

セル オブジェクトのリスト

使用法

名前 オプション デフォルト 説明

-hsc-hsc-hsc ○ / 階層区切り文字

-hierarchical-hierarchical-hierarchical ○ 現在のインスタンスでレベルごとに検索します。

-regexp-regexp-regexp ○ パターンは完全な正規表現になります。

-nocase-nocase-nocase ○ 大文字/小文字に関係なく実行します (-regexp が指定されたときのみ有効)。

-filter-filter-filter ○ 式を使用してリストをフィルタします。

-of_objects-of_objects-of_objects ○ これらのピンまたはネットのセルを表示します。

-match_style-match_style-match_style ○ sdc パターンの一致するスタイル。有効な値は ucf、sdc です。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

patterns ○ * 指定したパターンと一致するセル名を検索します。

説明

このコマンドを実行すると、指定した検索パターンに一致する現在のデザインに含まれるセル

のリストが表示されます。デフォルトでは、デザインのすべてのセルのリストが表示されます。

引数

-hsc-hsc-hsc arg - デフォルトの階層区切り文字は / です。それ以外の階層区切り文字を指定する

場合は、このオプションを使用します。

-hierarchical-hierarchical-hierarchical - デザイン階層のすべてのレベルからセルを取得します。このオプションを指定

しない場合、デザイン階層の最上位レベルのセルのみが表示されます。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 93

Page 94: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

-regexp-regexp-regexp - 正規表現の検索パターンを指定します。

-nocase-nocase-nocase - パターンを指定すると、大文字/小文字に関係なく検索されます。このオプション

は、-regexp を使用した場合にのみ適用されます。

-filter-filter-filter args- 指定した表現で結果のリストにフィルターをかけます。この -filter オプションを使

用すると、セルのプロパティ値に基づいて get_cells で表示されるオブジェクトのリストにフィル

ターをかけることができます。どのプロパティがオブジェクトに付いているかは、report_property

または list_property コマンドで確認できます。cell オブジェクトの場合、結果をフィルターでき

るプロパティには IS_PARTITION、IS_PRIMITIVE、IS_LOC_FIXED などがあります。

フィルター パターンには、==、!=、=~ のほか、フィルター表記間では && および || などの演

算子も使用できます。

-of_objects-of_objects-of_objects arg - 指定したピンまたはネット オブジェクトへ接続されるセルを取得します。

-match_style-match_style-match_style [sdc[sdc[sdc ||| ucf]ucf]ucf] - 検索パターンが UCF 制約または SDC 制約に一致することを示し

ます。デフォルトは SDC です。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

patterns - 指定したパターンと一致するセルを検索します。デフォルトのパターンはワイルド

カード (*) で、プロジェクトのすべてのセルが表示されます。複数のパターンを指定して、異

なる検索条件のセルを複数検索することができます。

メモ : 複数の検索パターンは { } で囲んで、1 つのエレメントとしてリストを指定します。

次の例では、特定のセル オブジェクトに付いているプロパティとその値がリストされます。

report_property [lindex [get_cells] 1]

メモ : パターンに一致するセルがなかった場合、指定したパターンに一致するセルがないこ

とを示す警告メッセージが表示されます。

次の例では、階層の全レベルでデザインにインスタンシエートされるライブラリ セルのリストが

表示されます。 リストは名前ごとに分類されるので、それぞれのセルが表示されるのは 1 度

だけです。

foreach cell [lsort -unique [get_property LIB_CELL [get_cells -hier -filter \{IS_PRIMITIVE==1}]]][[SDLENTITYREF[nbsp]]][[SDLENTITYREF[nbsp]]]{puts[[SDLENTITYREF[nbsp]]]$cell}

関連文書

• get_lib_cells

• list_property

• report_property

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

94 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 95: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

get_clocks

現在のデザインのクロックのリストを表示します。

構文

get_clocks [-regexp] [-nocase] [-filter arg] [-of_objects args][-match_style arg] [-quiet] [patterns]

表示結果

クロックのリスト

使用法

名前 オプション デフォルト 説明

-regexp-regexp-regexp ○ パターンは完全な正規表現になります。

-nocase-nocase-nocase ○ 大文字/小文字に関係なく実行します (-regexp が指定されたときのみ有効)。

-filter-filter-filter ○ 式を使用してリストをフィルタします。

-of_objects-of_objects-of_objects ○ これらのピンまたはネットのクロックを表示します。

-match_style-match_style-match_style ○ sdc パターン一致のスタイル。有効な値は ucf、sdc です。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

patterns ○ * パターンに一致するクロック名を検索します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 95

Page 96: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

get_debug_cores

現在のデザインの ChipScope デバッグ コアのリストを表示します。

構文

get_debug_cores [-filter arg] [-regexp] [-nocase] [-quiet][patterns]

表示結果

debug_core オブジェクトのリスト

使用法

名前 オプション デフォルト 説明

-filter-filter-filter ○ 式を使用してリストをフィルタします。

-regexp-regexp-regexp ○ パターンは正規表現になります。

-nocase-nocase-nocase ○ パターン一致は大文字/小文字に関係なくなります。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

patterns ○ デバッグ ポートを検出するパターンを指定します。

説明

このコマンドを実行すると、指定した検索パターンに一致する現在のプロジェクトの ChipScope

デバッグ コアのリストが表示されます。デフォルトでは、プロジェクトのデバッグ コアすべての

リストが表示されます。

デバッグ コアは、create_debug_core または read_chipscope_cdc コマンドを使用するとプロジェ

クトに追加できます。ChipScope デバッグ コアがプロジェクトに追加される場合は、ICON コン

トローラー コア内に含まれ、CLK ポートとトリガー (TRIG) ポートがデフォルトで含まれます。

create_debug_port コマンドでほかのポートをデバッグ コアに追加することもできます。

引数

-regexp-regexp-regexp - 正規表現のパターンを指定します。

-nocase-nocase-nocase - パターンを指定すると、大文字/小文字に関係なく検索されます。このオプション

は、-regexp を使用した場合にのみ適用されます。

-filter-filter-filter args- 指定した表現で結果のリストにフィルターをかけます。この -filter オプションを

使用すると、パーツのプロパティ値に基づいて get_debug_cores で表示されるオブジェクトの

リストにフィルターをかけることができます。どのプロパティがオブジェクトに付いているかは、

report_property または list_property コマンドで確認できます。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

96 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 97: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

フィルター表記に使用できる演算子は、==、!=、=~ のほか、フィルター表記間では && およ

び || も使用できます。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

patterns - 指定したパターンと一致するパーツを検索します。デフォルトのパターンはワイル

ドカード (*) で、すべてのパーツが表示されます。複数のパターンを指定して、異なる検索条

件のパーツを複数検索することができます。

メモ : 複数の検索パターンは { } で囲んで、1 つのエレメントとしてリストを指定します。

次のコマンドでは、現在のプロジェクトの ChipScope デバッグ コアのリストが表示されます。

get_debug_cores

メモ : ICON コアがプロジェクトのデバッグ コアの 1 つとして表示されています。このコアは直

接作成できませんが、ILA コアをプロジェクトに追加すると自動的に追加されます。

次の例では、指定したデバッグ コアのプロパティが表示されます。

report_property [get_debug_cores myCore]

表示されるプロパティの値は、コアのコンフィギュレーション方法によって異なります。特定コ

アのプロパティをコンフィギュレーションするには、次の例のように set_property コマンドを使

用します。

set_property enable_storage_qualification false [get_debug_cores myCore]

関連文書

• create_debug_core

• create_debug_port

• get_debug_cores

• read_chipscope_cdc

• report_property

• set_property

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 97

Page 98: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

get_debug_ports

現在のデザインの ChipScope デバッグ ポートのリストを表示します。

構文

get_debug_ports [-filter arg] [-regexp] [-nocase] [-quiet][patterns]

表示結果

debug_port オブジェクトのリスト

使用法

名前 オプション デフォルト 説明

-filter-filter-filter ○ 式を使用してリストをフィルタします。

-regexp-regexp-regexp ○ パターンは正規表現になります。

-nocase-nocase-nocase ○ パターン一致は大文字/小文字に関係なくなります。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

patterns ○ デバッグ ポートを検出するパターンを指定します。

説明

このコマンドを実行すると、指定した検索パターンに一致する現在のプロジェクトの ChipScope

デバッグ コアで定義したポートのリストが表示されます。デフォルトでは、プロジェクトのデバッ

グ ポートすべてのリストが表示されます。

デバッグ ポートは、ChipScope デバッグ コアが read_chipscope_cdc コマンドまたは

create_debug_core コマンドで作成されると定義されます。また、create_debug_port コマンドで

ポートを既存のデバッグ コアに追加することもできます。

引数

-regexp-regexp-regexp - 正規表現のパターンを指定します。

-nocase-nocase-nocase - パターンを指定すると、大文字/小文字に関係なく検索されます。このオプション

は、-regexp を使用した場合にのみ適用されます。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

98 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 99: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

-filter-filter-filter args- 指定した表現で結果のリストにフィルターをかけます。この -filter オプションを

使用すると、ポートのプロパティ値に基づいて get_debug_ports で表示されるオブジェクトのリ

ストにフィルターをかけることができます。どのプロパティがオブジェクトに付いているかは、

report_property または list_property コマンドで確認できます。フィルターには、プロパティと値

の組み合わせを使用できます。debug_port オブジェクトの場合、結果をフィルターするのに使

用できるプロパティには PORT_WIDTH、MATCH_TYPE などがあります。

フィルター表記に使用できる演算子は、==、!=、=~ のほか、フィルター表記間では && および

|| も使用できます。例を参照してください。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

patterns - 指定したパターンと一致するパーツを検索します。デフォルトのパターンはワイル

ドカード (*) で、すべてのパーツが表示されます。複数のパターンを指定して、異なる検索条

件のパーツを複数検索することができます。

メモ : 複数の検索パターンは { } で囲んで、1 つのエレメントとしてリストを指定します。

次のコマンドでは、PORT_WIDTH プロパティ 8 を使用して現在のプロジェクトの ChipScope

デバッグ コアのポートをリストします。

get_debug_ports -filter {PORT_WIDTH==8}

次の例では、指定したデバッグ ポートに適用されたプロパティが表示されます。

report_property [get_debug_ports myCore/TRIG0]

メモ : デバッグ ポートは core_name/port_name の組み合わせて定義されます。

関連文書

• create_debug_core

• create_debug_port

• read_chipscope_cdc

• report_property

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 99

Page 100: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

get_default_switching_activity

指定したデフォルト タイプのデフォルト スイッチ動作を取得します。

構文

get_default_switching_activity [-static_probability][-toggle_rate] -type args [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-static_probability-static_probability-static_probability ○ スタティックの可能性をレポートします。

-toggle_rate-toggle_rate-toggle_rate ○ トグル レートをレポートします。

-type-type-type × ベクターなしの伝播エンジンで指定したタイプのデフォルトのスピード値をレポートします。有効なデフォルト タイプの値 :input、input_set、input_reset、input_enable、register、dsp、bram_read_enable、bram_write_enable、output_enable、clock、all

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

100 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 101: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

get_designs

現在のデザインに含まれるデザインのリストを表示します。

構文

get_designs [-regexp] [-nocase] [-filter arg] [-quiet] [patterns]

表示結果

デザイン オブジェクトのリスト

使用法

名前 オプション デフォルト 説明

-regexp-regexp-regexp ○ パターンは完全な正規表現になります。

-nocase-nocase-nocase ○ 大文字/小文字に関係なく実行します (-regexp が指定されたときのみ有効)。

-filter-filter-filter ○ 式を使用してリストをフィルタします。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

patterns ○ * デザイン名と一致するパターンを指定します。

説明

このコマンドを実行すると、指定した検索パターンに一致する現在のプロジェクトで開いてい

るデザインがリストされます。デフォルトでは、プロジェクトの開いているデザインすべてのリス

トが表示されます。

引数

-regexp-regexp-regexp - 正規表現のパターンを指定します。

-nocase-nocase-nocase - パターンを指定すると、大文字/小文字に関係なく検索されます。このオプション

は、-regexp を使用した場合にのみ適用されます。

-filter-filter-filter args- 指定した表現で結果のリストにフィルターをかけます。この -filter オプションを

使用すると、デザインのプロパティ値に基づいて get_designs で表示されるオブジェクトのリ

ストにフィルターをかけることができます。どのプロパティがオブジェクトに付いているかは、

report_property コマンドで確認できます。design オブジェクトの場合、結果をフィルターする

のに使用できるプロパティには CONSTRSET、PART などがあります。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 101

Page 102: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

patterns - 指定したパターンと一致するデザインを検索します。デフォルトのパターンはワイ

ルドカード (*) で、すべてのデザインが表示されます。複数のパターンを指定して、異なる検

索条件のデザインを複数検索することができます。

次の例では、現在のプロジェクトの開いているデザインすべてのリストが表示されます。

get_designs

次の例では、検索パターンに一致する開いているデザインで割り当てられたプロパティが表

示されます。

report_property [get_designs r*]

メモ : パターンに一致するデザインがなかった場合、指定したパターンに一致するデザイン

がないことを示す警告メッセージが表示されます。

関連文書

report_property

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

102 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 103: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

get_files

ソース ファイルのリストを表示します。

構文

get_files [-regexp] [-nocase] [-filter arg] [-of_objects args][-quiet] [patterns]

表示結果

ファイル オブジェクトのリスト

使用法

名前 オプション デフォルト 説明

-regexp-regexp-regexp ○ パターンは完全な正規表現になります。

-nocase-nocase-nocase ○ 大文字/小文字に関係ないパターン一致を実行します。

-filter-filter-filter ○ 式を使用してリストをフィルタします。

-of_objects-of_objects-of_objects ○ これらのファイルセットのファイルを表示します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

patterns ○ * ファイル名と一致するパターンを指定します。

説明

このコマンドを実行すると、指定した検索パターンに一致する現在のプロジェクトに含まれるファ

イルがリストされます。デフォルトでは、プロジェクトのファイルすべてのリストが表示されます。

引数

-regexp-regexp-regexp - 正規表現のパターンを指定します。

-nocase-nocase-nocase - パターンを指定すると、大文字/小文字に関係なく検索されます。このオプション

は、-regexp を使用した場合にのみ適用されます。

-filter-filter-filter arg- 指定した表現で結果のリストにフィルターをかけます。この -filter オプションを使用

すると、ファイルのプロパティ値に基づいて get_files で表示されるオブジェクトのリストにフィル

ターをかけることができます。どのプロパティがオブジェクトに付いているかは、report_property

コマンドで確認できます。フィルターには、プロパティと値の組み合わせを使用できます。

file オブジェクトの場合、結果をフィルターするのに使用できるプロパティには FILE_TYPE、

IS_ENABLED などがあります。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 103

Page 104: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

-of_objects-of_objects-of_objects args - ファイルを検索するファイルセットを 1 つまたは複数指定します。デフォル

トでは、すべてのファイルセットが検索されます。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

patterns - 指定したパターンと一致するファイルを検索します。デフォルトのパターンはワイ

ルドカード (*) で、プロジェクトのすべてのファイルが表示されます。複数のパターンを指定し

て、異なる検索条件のファイルを複数検索することができます。

次の例では、現在のプロジェクトのファイルすべてのリストが表示されます。

get_files

次の例では、constrs_1 および sim_1 ファイルセットで検出された Verilog ファイル (*.v) のリス

トが表示されます。

get_files -of_objects {constrs_1 sim_1} *.v

パターンに一致するファイルがなかった場合、指定したパターンに一致するファイルがないこ

とを示す警告メッセージが表示されます。

関連文書

report_property

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

104 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 105: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

get_filesets

現在のプロジェクトのファイルセットのリストを表示します。

構文

get_filesets [-regexp] [-nocase] [-filter arg] [-quiet][patterns]

表示結果

ファイルセット オブジェクトのリスト

使用法

名前 オプション デフォルト 説明

-regexp-regexp-regexp ○ パターンは完全な正規表現になります。

-nocase-nocase-nocase ○ 大文字/小文字に関係ないパターン一致を実行します。

-filter-filter-filter ○ 式を使用してリストをフィルタします。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

patterns ○ * ファイルセット名と一致するパターンを指定します。

説明

このコマンドを実行すると、指定した検索パターンに一致する現在のプロジェクトに含まれる

ファイルセットのリストが表示されます。デフォルトでは、プロジェクトのファイルセットすべての

リストが表示されます。

引数

-regexp-regexp-regexp- パターンは完全な正規表現になります。

-nocase-nocase-nocase - パターンを指定すると、大文字/小文字に関係なく検索されます。このオプション

は、-regexp を使用した場合にのみ適用されます。

-filter - 指定した表現で結果のリストにフィルターをかけます。この -filter オプションを使用

すると、ファイルセットのプロパティ値に基づいて get_filesets で表示されるオブジェクトのリ

ストにフィルターをかけることができます。どのプロパティがオブジェクトに付いているかは、

report_property コマンドで確認できます。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 105

Page 106: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

patterns - 指定したパターンと一致するファイルセットを検索します。デフォルトのパターンは

ワイルドカード (*) で、すべてのファイルセットが表示されます。複数のパターンを指定して、

異なる検索条件のファイルセットを複数検索することができます。

次の例では、現在のプロジェクトのファイルセットすべてのリストが表示されます。

get_filesets

次の例では、project_2 をアクティブ プロジェクトにしてから、s または r で始まるファイルセット

のリストを取得します。

current_project project_2get_filesets s* r* -quiet

パターンに一致するファイルセットがなかった場合、指定したパターンに一致するファイルセッ

トがないことを示す警告メッセージが表示されます。ただし、上記の例では -quiet オプション

が指定されているので、警告メッセージは表示されません。

次の例では、大文字/小文字を区別しないオプションを使用して、C で始まるファイルセットを

検索しています。

get_filesets C.* -regexp -nocase

この例では、constrs_1 および constrs_2 制約セットが現在のプロジェクトで定義されていれば

表示されます。

関連文書

report_property

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

106 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 107: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

get_generated_clocks

現在のデザインの生成済みクロックのリストを表示します。

構文

get_generated_clocks [-regexp] [-nocase] [-filter arg] [-quiet][patterns]

表示結果

クロックのリスト

使用法

名前 オプション デフォルト 説明

-regexp-regexp-regexp ○ パターンは完全な正規表現になります。

-nocase-nocase-nocase ○ 大文字/小文字に関係なく実行します (-regexp が指定されたときのみ有効)。

-filter-filter-filter ○ 式を使用してリストをフィルタします。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

patterns ○ * 生成したクロックの名前と一致するパターンを指定します。

説明

このコマンドを実行すると、指定した検索パターンに一致する現在のプロジェクトの生成済み

クロックがリストされます。デフォルトでは、プロジェクトの生成済みクロックすべてのリストが表

示されます。

生成済みクロックは、create_generated_clock を使用するとデザインに追加できます。

引数

-regexp-regexp-regexp - 正規表現の検索パターンを指定します。

-nocase-nocase-nocase - パターンを指定すると、大文字/小文字に関係なく検索されます。このオプション

は、-regexp を使用した場合にのみ適用されます。

-filter-filter-filter args- 指定した表現で結果のリストにフィルターをかけます。この -filter オプションを使

用すると、クロックのプロパティ値に基づいて get_generated_clocks で表示されるオブジェクト

のリストにフィルターをかけることができます。どのプロパティがオブジェクトに付いているかは、

report_property コマンドで確認できます。generated_clock オブジェクトの場合、結果をフィル

ターするのに使用できるプロパティには DUTY_CYCLE、MASTER_CLOCK などがあります。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 107

Page 108: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

patterns - 指定したパターンと一致する生成済みクロックを検索します。デフォルトのパター

ンはワイルドカード (*) で、プロジェクトのすべての生成済みクロックが表示されます。

次の例では、現在のプロジェクトの生成済みクロックすべてのリストが表示されます。

get_generated_clocks

関連文書

• create_generated_clock

• report_property

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

108 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 109: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

get_interfaces

現在のデザインの I/O ポート インターフェイスのリストを表示します。

構文

get_interfaces [-regexp] [-nocase] [-quiet] [patterns]

表示結果

インターフェイス オブジェクトのリスト

使用法

名前 オプション デフォルト 説明

-regexp-regexp-regexp ○ パターンは正規表現になります。

-nocase-nocase-nocase ○ パターン一致は大文字/小文字に関係なくなります。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

patterns ○ I/O ポート インターフェイスと一致するパターンを指定します。

説明

このコマンドを実行すると、指定した検索パターンに一致する現在のプロジェクトの IO イン

ターフェイスのリストが表示されます。デフォルトでは、プロジェクトの IO インターフェイスすべ

てのリストが表示されます。

引数

-regexp-regexp-regexp - 正規表現の検索パターンを指定します。

-nocase-nocase-nocase - パターンを指定すると、大文字/小文字に関係なく検索されます。このオプション

は、-regexp を使用した場合にのみ適用されます。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

patterns - 指定したパターンと一致するインターフェイスデフォルトのパターンはワイルドカー

ド (*) で、プロジェクトのすべてのインターフェイスが表示されます。

次の例では、プロジェクトのインターフェイスすべてのリストが表示されます。

get_interfaces

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 109

Page 110: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

関連文書

• create_interface

• delete_interface

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

110 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 111: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

get_iobanks

IO バンクのリストを表示します。

構文

get_iobanks [-filter arg] [-regexp] [-nocase] [-quiet] [patterns]

表示結果

iobank オブジェクトのリスト

使用法

名前 オプション デフォルト 説明

-filter-filter-filter ○ 式を使用してリストをフィルタします。

-regexp-regexp-regexp ○ パターンは正規表現になります。

-nocase-nocase-nocase ○ パターン一致は大文字/小文字に関係なくなります。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

patterns ○ IO バンクと一致するパターンを指定します。

説明

このコマンドを実行すると、指定した検索パターンに一致する現在のプロジェクトのターゲッ

ト デバイスの I/O バンクがリストされます。デフォルトでは、ターゲット デバイスの I/O バンク

すべてのリストが表示されます。

引数

-regexp-regexp-regexp - 正規表現の検索パターンを指定します。

-nocase-nocase-nocase - パターンを指定すると、大文字/小文字に関係なく検索されます。このオプション

は、-regexp を使用した場合にのみ適用されます。

-filter-filter-filter args- 指定した表現で結果のリストにフィルターをかけます。この -filter オプションを

使用すると、I/O バンクのプロパティ値に基づいて get_iobanks で表示されるオブジェクトのリ

ストにフィルターをかけることができます。どのプロパティがオブジェクトに付いているかは、

report_property コマンドで確認できます。iobank オブジェクトの場合、結果をフィルターする

のに使用できるプロパティには DCI_CASCADE、INTERNAL_VREF などがあります。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

patterns - 指定したパターンと一致する I/O バンクを検索します。デフォルトのパターンはワ

イルドカード (*) で、プロジェクトのすべての I/O バンクが表示されます。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 111

Page 112: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

次の例では、ターゲット デバイスの I/O バンクすべてのリストが表示されます。

get_iobanks

関連文書

report_property

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

112 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 113: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

get_lib_cells

ライブラリ セルのリストを表示します。デフォルトでは、現在のデザインのパーツに関するす

べてのライブラリ セルが表示されます。

構文

get_lib_cells [-regexp] [-nocase] [-filter arg][-of_objects args] [-quiet] [patterns]

表示結果

ライブラリ セルのリスト

使用法

名前 オプション デフォルト 説明

-regexp-regexp-regexp ○ パターンは完全な正規表現になります。

-nocase-nocase-nocase ○ 大文字/小文字に関係なく実行します (-regexp が指定されたときのみ有効)。

-filter-filter-filter ○ 式を使用してリストをフィルタします。

-of_objects-of_objects-of_objects ○ cells/insts、または libpins のライブラリ セルを取得します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

patterns ○ * ライブラリ セル名と一致するパターンを指定します。 パターンは、libname /* のようにライブラリ名を指定する必要があります。 ライブラリ名は、get_libs コマンドで検索できます。

説明

現在のデザインのターゲット パーツのライブラリのセルをリストします。このコマンドを使用する

と、特定のライブラリ セルやセル タイプを検索して、そのセルのプロパティを表示できます。

メモ :このコマンドを実行するには、ライブラリ名とセル名 (lib_name/cell_name) を含んだ階層

名が必要です。

引数

-regexp-regexp-regexp - 正規表現の検索パターンを指定します。

-nocase-nocase-nocase - パターンを指定すると、大文字/小文字に関係なく検索されます。このオプション

は、-regexp を使用した場合にのみ適用されます。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 113

Page 114: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

-filter-filter-filter args- 指定した表現で結果のリストにフィルターをかけます。この -filter オプションを使

用すると、セルのプロパティ値に基づいて get_cells で表示されるオブジェクトのリストにフィル

ターをかけることができます。どのプロパティがオブジェクトに付いているかは、report_property

または list_property コマンドで確認できます。

フィルター表記に使用できる演算子は、==、!=、=~ のほか、フィルター表記間では && およ

び || も使用できます。

-of_object-of_object-of_object arg - 特定インスタンス (cells/insts) またはライブラリ ピン (get_lib_pins) のライブラ

リ セルを取得します。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

patterns - 指定したパターンと一致するライブラリ セルを検索します。パターンには、ライブラ

リ名とセル名の両方を指定する必要があります。

次の例では、現在のデザインのターゲット パーツのライブラリのセル数を表示し、そのライブ

ラリの AND タイプのセル数を表示しています。

llength [get_lib_cells [get_libs]/*]795llength [get_lib_cells [get_libs]/AND*]18

次の例では、指定したセル オブジェクトのライブラリ セルが表示されます。

get_lib_cells -of_objects [lindex [get_cells] 1]

関連文書

• get_cells

• get_libs

• get_lib_pins

• list_property

• report_property

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

114 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 115: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

get_lib_pins

ライブラリ セル ピンのリストを作成します。

構文

get_lib_pins [-regexp] [-nocase] [-filter arg] [-of_objects args][-quiet] [patterns]

表示結果

ライブラリ セル ピンのリスト

使用法

名前 オプション デフォルト 説明

-regexp-regexp-regexp ○ パターンは完全な正規表現になります。

-nocase-nocase-nocase ○ 大文字/小文字に関係なく実行します (-regexp が指定されたときのみ有効)。

-filter-filter-filter ○ 式を使用してリストをフィルタします。

-of_objects-of_objects-of_objects ○ これらのピンまたはライブラリセルのライブラリ セル ピンを取得します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

patterns ○ * ライブラリ セル名と一致するパターンを指定します。

説明

このコマンドでは、現在のデザインのターゲット パーツのセル ライブラリの指定したセルのピ

ンがリストされます。

メモ : このコマンドを実行するには、ライブラリ名、セル名、ピン (lib_name/cell_name/pins) を

含んだ階層名が必要です。

引数

-regexp-regexp-regexp - 正規表現の検索パターンを指定します。

-nocase-nocase-nocase - パターンを指定すると、大文字/小文字に関係なく検索されます。このオプション

は、-regexp を使用した場合にのみ適用されます。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 115

Page 116: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

-filter-filter-filter args- 指定した表現で結果のリストにフィルターをかけます。この -filter オプションを使

用すると、ピンのプロパティ値に基づいて get_lib_pins で表示されるオブジェクトのリストにフィル

ターをかけることができます。どのプロパティがオブジェクトに付いているかは、report_property

または list_property コマンドで確認できます。

フィルター表記に使用できる演算子は、==、!=、=~ のほか、フィルター表記間では && およ

び || も使用できます。

-of_object-of_object-of_object arg - 指定したピン オブジェクトまたはライブラリ セル (get_lib_cells) のライブラリ セ

ル ピンを取得します。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

patterns - 指定したパターンと一致するピンを検索します。パターンには、ライブラリ名、セル

名、およびピンを指定する必要があります。

次の例では、すべてのライブラリ セル ピンが表示されます。

get_lib_pins xt_virtex6/AND2/*

次の例では、ターゲット デバイスのセル ライブラリのすべてのセルのすべてのピンが表示さ

れます。

get_lib_pins [get_libs]/*/*

関連文書

• get_libs

• get_lib_cells

• list_property

• report_property

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

116 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 117: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

get_libs

ライブラリのリストを作成します。

構文

get_libs [-regexp] [-nocase] [-filter arg] [-of_objects args][-quiet] [patterns]

表示結果

ライブラリのリスト

使用法

名前 オプション デフォルト 説明

-regexp-regexp-regexp ○ パターンは完全な正規表現になります。

-nocase-nocase-nocase ○ 大文字/小文字に関係なく実行します (-regexp が指定されたときのみ有効)。

-filter-filter-filter ○ 式を使用してリストをフィルタします。

-of_objects-of_objects-of_objects ○ これらのライブラリ セルのライブラリを表示します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

patterns ○ * ライブラリ名と一致するパターンを指定します。

説明

現在のデザインのターゲット デバイスのセル ライブラリを表示します。デバイス ファミリによっ

て使用できるプリミティブは異なるので、ライブラリはデバイス ファミリごとに異なります。

引数

-regexp-regexp-regexp - 正規表現の検索パターンを指定します。

-nocase-nocase-nocase - パターンを指定すると、大文字/小文字に関係なく検索されます。このオプション

は、-regexp を使用した場合にのみ適用されます。

-filter-filter-filter args- 指定した表現で結果のリストにフィルターをかけます。この -filter オプションを使

用すると、ピンのプロパティ値に基づいて get_libs で表示されるオブジェクトのリストにフィル

ターをかけることができます。どのプロパティがオブジェクトに付いているかは、report_property

または list_property コマンドで確認できます。

-of_object-of_object-of_object arg - 指定したオブジェクトのライブラリを取得します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 117

Page 118: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

patterns - 指定したパターンと一致するライブラリを検索します。デフォルトのパターンはワイ

ルドカード (*) で、プロジェクトのすべてのライブラリが表示されます。

次の例では、ターゲット パーツのセル ライブラリが表示されます。

get_libs

関連文書

• get_lib_cells

• get_lib_pins

• list_property

• report_property

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

118 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 119: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

get_msg_count

メッセージ カウントを表示します。

構文

get_msg_count [-type arg] [-id arg] [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-type-type-type ○ ALL 「ERROR」または「CRITICALWARNING」など、表示するメッセージ タイプを指定します。

-id-id-id ○ common-99 などの表示するメッセージ ID を指定します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

説明

このコマンドを実行すると、PlanAhead を起動してから表示されたメッセージ数を取得できま

す。これにより、既に表示されているメッセージ数がメッセージ制限にどれくらい近いかが確

認できます。現在のメッセージ制限は、get_msg_limit コマンドで確認できます。メッセージ制

限数は、set_msg_limit コマンドで変更できます。

デフォルトでは、すべてのメッセージに対するメッセージ カウントが表示されます。指定したタ

イプのメッセージや、指定したメッセージ ID のカウントのみを表示することもできます。

引数

-id-id-id value - 指定した ID のメッセージが PlanAhead のメッセージ ビューやほかのレポートに表

示されます。このコマンドの値には、特定のメッセージ ID を使用します。

-type-type-type value - メッセージのタイプまたは重要度を指定します。これは、次の 5 つのメッセージ

タイプのいずれかになります。

ERRORERRORERROR - ユーザーの操作がないとデザインが使用できなくなったり、回避できないような問題

が発生していることを示すエラー メッセージです。

{CRITICAL{CRITICAL{CRITICAL WARNING}WARNING}WARNING} - 入力や制約に使用されないものがあったり、FPGA ファミリには適

していないものがあることを示すクリティカル警告メッセージです。ユーザーの修正が強く推

奨されます。

メモ : これは 2 単語の値なので、{ } で囲む必要があります。

WARNINGWARNINGWARNING - 制約または仕様が指定どおりに適用されないために、デザインが最適な結果に

ならない可能性を示す警告メッセージです。修正してもしなくてもかまいません。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 119

Page 120: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

INFOINFOINFO - STATUS メッセージと同じですが、重要度とメッセージ ID タグが含まれる点が異な

ります。INFO メッセージには、必要であればアンサー データベースで確認できるようにメッ

セージ ID が含まれます。

STATUSSTATUSSTATUS - デザインのプロセスに関するプロセスおよびフィードバックのステータスをユーザー

に伝達するために表示されるステータス メッセージです。STATUS メッセージには、メッセー

ジ ID が含まれません。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次の例では、すべてのメッセージ タイプのメッセージ カウントが表示されます。

get_msg_count -type ALLget_msg_count

メモ : -type や -id を指定しないと、デフォルトですべてのメッセージ タイプの制限数が表示

されるので、どちらの行も同じ表示結果になります。

次の例では、指定したメッセージ ID のメッセージ カウントが表示されます。

get_msg_count -id Netlist-1129

関連文書

• get_msg_limit

• set_msg_limit

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

120 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 121: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

get_msg_limit

メッセージ数の制限を表示します。

構文

get_msg_limit [-type arg] [-id arg] [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-type-type-type ○ ALL 「ERROR」または「CRITICALWARNING」など、表示するメッセージ タイプを指定します。

-id-id-id ○ common-99 などの表示するメッセージ ID を指定します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

説明

このコマンドを実行すると、PlanAhead で表示されるメッセージ数を取得できます。定義した

メッセージの制限数に到達すると、メッセージのレポートが停止されます。デフォルト値は

4,294,967,295 です。このデフォルト値は、set_msg_limit コマンドで変更できます。

デフォルトでは、すべてのメッセージに対するメッセージ制限数が表示されます。指定したタ

イプのメッセージのみや、指定したメッセージ ID のみの制限数を表示することもできます。た

とえば、次は PlanAhead で表示されるメッセージの例です。

INFO: [common-99] This is an example INFO message

CRITICAL WARNING: [Netlist-1129] This message is a CRITICAL WARNING and requires

user attention

メモ : 特定のメッセージ ID のメッセージ タイプや重要度は set_msg_severity コマンドで変更

できます。

引数

-id-id-id value - 指定した ID のメッセージが PlanAhead のメッセージ ビューやほかのレポートに表

示されます。このコマンドの値には、特定のメッセージ ID を使用します。上記の例の場合、

メッセージ ID は common-99 および Netlist-1129 になります。

-type-type-type value - メッセージのタイプまたは重要度を指定します。これは、次の 5 つのメッセージ

タイプのいずれかになります。

ERRORERRORERROR - ユーザーの操作がないとデザインが使用できなくなったり、回避できないような問題

が発生していることを示すエラー メッセージです。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 121

Page 122: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

{CRITICAL{CRITICAL{CRITICAL WARNING}WARNING}WARNING} - 入力や制約に使用されないものがあったり、FPGA ファミリには適

していないものがあることを示すクリティカル警告メッセージです。ユーザーの修正が強く推

奨されます。

メモ : これは 2 単語の値なので、{ } で囲む必要があります。

WARNINGWARNINGWARNING - 制約または仕様が指定どおりに適用されないために、デザインが最適な結果に

ならない可能性を示す警告メッセージです。修正してもしなくてもかまいません。

INFOINFOINFO - STATUS メッセージと同じですが、重要度とメッセージ ID タグが含まれる点が異な

ります。INFO メッセージには、必要であればアンサー データベースで確認できるようにメッ

セージ ID が含まれます。

STATUSSTATUSSTATUS - デザインのプロセスに関するプロセスおよびフィードバックのステータスをユーザー

に伝達するために表示されるステータス メッセージです。STATUS メッセージには、メッセー

ジ ID が含まれません。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次の例では、CRITICAL WARNING メッセージ タイプの制限数が表示されます。

get_msg_limit -type {CRITICAL WARNING}

-type や -id を指定しないと、デフォルトですべてのメッセージ タイプの制限数が表示されま

す。

次の例では、指定したメッセージ ID のメッセージ制限が表示されます。

get_msg_limit -id Netlist-1129

関連文書

• set_msg_limit

• set_msg_severity

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

122 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 123: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

get_nets

現在のデザインのネットのリストを表示します。

構文

get_nets [-hsc arg] [-hierarchical] [-regexp] [-nocase][-filter arg] [-of_objects args] [-match_style arg] [-quiet][patterns]

表示結果

ネット オブジェクトのリスト

使用法

名前 オプション デフォルト 説明

-hsc-hsc-hsc ○ / 階層区切り文字

-hierarchical-hierarchical-hierarchical ○ 現在のインスタンスでレベルごとに検索します。

-regexp-regexp-regexp ○ パターンは完全な正規表現になります。

-nocase-nocase-nocase ○ 大文字/小文字に関係なく実行します (-regexp が指定されたときのみ有効)。

-filter-filter-filter ○ 式を使用してリストをフィルタします。

-of_objects-of_objects-of_objects ○ これらのピン/ポート、セルまたはクロックのネットを表示します。

-match_style-match_style-match_style ○ sdc パターン一致のスタイル。有効な値は ucf、sdc です。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

patterns ○ * ネット名と一致するパターンを指定します。

説明

このコマンドを実行すると、指定した検索パターンに一致する現在のデザインに含まれるネット

のリストが表示されます。デフォルトでは、デザインのすべてのネットのリストが表示されます。

引数

-hsc-hsc-hsc arg - デフォルトの階層区切り文字は / です。それ以外の階層区切り文字を指定する

場合は、このオプションを使用します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 123

Page 124: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

-hierarchical-hierarchical-hierarchical - デザイン階層のすべてのレベルからネットを取得します。このオプションを指

定しない場合、デザイン階層の最上位レベルのネットのみが表示されます。

-regexp-regexp-regexp - 正規表現の検索パターンを指定します。

-nocase-nocase-nocase - パターンを指定すると、大文字/小文字に関係なく検索されます。このオプション

は、-regexp を使用した場合にのみ適用されます。

-filter-filter-filter args- 指定した表現で結果のリストにフィルターをかけます。この -filter オプションを使

用すると、ネットのプロパティ値に基づいて get_nets で表示されるオブジェクトのリストにフィル

ターをかけることができます。どのプロパティがオブジェクトに付いているかは、report_property

または list_property コマンドで確認できます。nets オブジェクトの場合、結果をフィルターす

るのに使用できるプロパティには PARENT、TYPE、MARK_DEBUG などがあります。

フィルター パターンには、==、!=、=~ のほか、フィルター表記間では && および || などの演

算子も使用できます。

-of_objects-of_objects-of_objects arg - 指定したセル、ピン、ポートまたはクロックへ接続されるネットを取得します。

-match_style-match_style-match_style [sdc[sdc[sdc ||| ucf]ucf]ucf] - 検索パターンが UCF 制約または SDC 制約に一致することを示し

ます。デフォルトは SDC です。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

patterns - 指定したパターンと一致するネットを検索します。デフォルトのパターンはワイルド

カード (*) で、プロジェクトのすべてのネットが表示されます。複数のパターンを指定して、異

なる検索条件のネットを複数検索することができます。

メモ : 複数の検索パターンは { } で囲んで、1 つのエレメントとしてリストを指定します。

次の例では、指定したセルに付いたネットのリストが表示されます。

get_nets -of_objects [lindex [get_cells] 1]

メモ : パターンに一致するネットがなかった場合、指定したパターンに一致するネットがない

ことを示す警告メッセージが表示されます。

次の例では、connect_debug_port コマンドでデバッグ用にマークされたネットのリストが表示さ

れます。

get_nets -hier -filter {MARK_DEBUG==1}

関連文書

• connect_debug_port

• list_property

• report_property

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

124 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 125: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

get_operating_conditions

電力概算の動作条件の値を表示します。

構文

get_operating_conditions [-voltage args] [-grade] [-process][-junction_temp] [-ambient_temp] [-thetaja] [-thetasa] [-airflow][-heatsink] [-thetajb] [-board] [-board_temp] [-board_layers][-all] [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-voltage-voltage-voltage ○ 電圧値を取得します。サポートされる電圧は、ファミリによって異なります。

-grade-grade-grade ○ 温度グレードを取得します。

-process-process-process ○ プロセスを取得します。

-junction_temp-junction_temp-junction_temp ○ ジャンクション温度を取得します。

-ambient_temp-ambient_temp-ambient_temp ○ 周囲温度を取得します。

-thetaja-thetaja-thetaja ○ ThetaJA を取得します。

-thetasa-thetasa-thetasa ○ ThetaSA を取得します。

-airflow-airflow-airflow ○ エアフローを取得します。

-heatsink-heatsink-heatsink ○ ヒートシンクのサイズを取得します。

-thetajb-thetajb-thetajb ○ ThetaJB を取得します。

-board-board-board ○ ボード タイプを取得します。

-board_temp-board_temp-board_temp ○ ボード温度を取得します。

-board_layers-board_layers-board_layers ○ ボード レイヤーを取得します。

-all-all-all ○ このコマンド ラインにリストされるすべての動作条件を取得します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 125

Page 126: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

get_package_pins

パッケージ ピンのリストを表示します。

構文

get_package_pins [-regexp] [-nocase] [-filter arg][-of_objects args] [-quiet] [patterns]

表示結果

パッケージ ピン オブジェクトのリスト

使用法

名前 オプション デフォルト 説明

-regexp-regexp-regexp ○ パターンは正規表現になります。

-nocase-nocase-nocase ○ パターンは大文字/小文字が区別されます。

-filter-filter-filter ○ プロパティ式に基づいてオブジェクトがフィルターされます。

-of_objects-of_objects-of_objects ○ これらのサイトのピン パッケージ オブジェクトのリストを取得します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

patterns ○ * 名前がこれらのパターンと一致するパッケージ ピン オブジェクトのリストを表示します。

説明

このコマンドでは、ターゲット デバイスの選択したパッケージのピンがリストされます。デフォ

ルトでは、パッケージのすべてのピンのリストが表示されます。

引数

-regexp-regexp-regexp - 正規表現の検索パターンを指定します。

-nocase-nocase-nocase - パターンを指定すると、大文字/小文字に関係なく検索されます。このオプション

は、-regexp を使用した場合にのみ適用されます。

-filter-filter-filter args- 指定した表現で結果のリストにフィルターをかけます。この -filter オプションを

使用すると、セルのプロパティ値に基づいて get_package_pins で表示されるオブジェクトのリ

ストにフィルターをかけることができます。どのプロパティがオブジェクトに付いているかは、

report_property コマンドで確認できます。cell オブジェクトの場合、結果をフィルターできるプ

ロパティには IS_CLK_CAPABLE、IS_VREF、IS_GLOBAL_CLK などがあります。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

126 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 127: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

patterns - 指定したパターンと一致するピンを検索します。デフォルトのパターンはワイルド

カード (*) で、パッケージのすべてのピンが表示されます。複数のパターンを指定して、異な

る検索条件のピンを複数検索することができます。

次の例では、ターゲット デバイスのピンすべてのリストが表示されます。

get_package_pins

次の例では、パッケージの CC (Clock Capable) ピンの数が表示されます。

llength [get_package_pins -filter {IS_CLK_CAPABLE==1}]

メモ : パターンに一致するピンがなかった場合、指定したパターンに一致するピンがないこと

を示す警告メッセージが表示されます。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 127

Page 128: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

get_param

パラメータ値を表示します。

構文

get_param [-quiet] name

表示結果

パラメータ値

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

name × パラメータ名

説明

このコマンドを実行すると、指定した PlanAhead パラメーターに現在定義された値が表示され

ます。これらのパラメーターは、さまざまな動作をツールで制御するユーザーが定義可能なコ

ンフィギュレーション設定です。各パラメーターが何をコンフィギュレーションするか制御する

かについては、report_parameter を参照してください。

引数

projectprojectproject - 値を取得するパラメーターの名前を指定します。ユーザーの定義可能なパラメー

ターのリストは、list_param を実行すると取得できます。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次の例では、指定したパラメーターの現在の値が表示されます。

get_param tcl.statsThreshold

関連文書

• list_param

• report_param

• set_param

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

128 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 129: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

get_parts

ソフトウェアで使用可能なパーツのリストを表示します。

構文

get_parts [-regexp] [-nocase] [-filter arg] [-quiet] [patterns]

表示結果

パーツ オブジェクトのリスト

使用法

名前 オプション デフォルト 説明

-regexp-regexp-regexp ○ パターンは完全な正規表現になります。

-nocase-nocase-nocase ○ 大文字/小文字に関係ないパターン一致を実行します。

-filter-filter-filter ○ 式を使用してリストをフィルタします。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

patterns ○ * パターンに対してパーツ名を一致させます。

説明

このコマンドを実行すると、指定した検索パターンに一致する現在のプロジェクトに含まれる

パーツがリストされます。デフォルトでは、プロジェクトのパーツすべてのリストが表示されます。

引数

-regexp-regexp-regexp - 正規表現で指定されたパターンを指定します。

-nocase-nocase-nocase - パターンを指定すると、大文字/小文字に関係なく検索されます。このオプション

は、-regexp を使用した場合にのみ適用されます。

-filter-filter-filter args- 指定した表現で結果のリストにフィルターをかけます。この -filter オプションを使用

すると、パーツのプロパティ値に基づいて get_parts で表示されるオブジェクトのリストにフィル

ターをかけることができます。どのプロパティがオブジェクトに付いているかは、report_property

または list_property コマンドで確認できます。フィルターには、プロパティと値の組み合わせ

を使用できます。part オブジェクトの場合、結果をフィルターできるプロパティには DEVICE、

FAMILY、SPEED などがあります。

フィルター表記に使用できる演算子は、==、!=、=~ のほか、フィルター表記間では && および

|| も使用できます。例を参照してください。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 129

Page 130: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

patterns - 指定したパターンと一致するパーツを検索します。デフォルトのパターンはワイル

ドカード (*) で、すべてのパーツが表示されます。複数のパターンを指定して、異なる検索条

件のパーツを複数検索することができます。

メモ : 複数の検索パターンは { } で囲んで、1 つのエレメントとしてリストを指定します。

次の例では、7vx485t パーツのスピード グレード -1 のリストが表示されます。

get_parts -filter {DEVICE == 7vx485t && speed == -1}

次の例では、7 シリーズおよび Virtex-6 の数が表示されます。

llength [get_parts -regexp {xc7v.* xc6V.*} -nocase]

メモ : パターンに一致するパーツがなかった場合、指定したパターンに一致するパーツがな

いことを示す警告メッセージが表示されます。

関連文書

• list_property

• report_property

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

130 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 131: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

get_path_groups

現在のデザインのパス グループのリストを表示します。

構文

get_path_groups [-regexp] [-nocase] [-quiet] [patterns]

表示結果

パス グループのリスト

使用法

名前 オプション デフォルト 説明

-regexp-regexp-regexp ○ パターンは完全な正規表現になります。

-nocase-nocase-nocase ○ 大文字/小文字に関係なく実行します (-regexp が指定されたときのみ有効)。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

patterns ○ * パス グループ名と一致するパターンを指定します。

説明

このコマンドを実行すると、指定した検索パターンに一致する現在のプロジェクトのタイミング

パス グループのリストが表示されます。デフォルトでは、デザインのすべてのパス グループの

リストが表示されます。

引数

-regexp-regexp-regexp - 正規表現の検索パターンを指定します。

-nocase-nocase-nocase - パターンを指定すると、大文字/小文字に関係なく検索されます。このオプション

は、-regexp を使用した場合にのみ適用されます。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

patterns - 指定したパターンと一致するパス グループを検索します。デフォルトのパターンは

ワイルドカード (*) で、プロジェクトのすべてのパス グループが表示されます。

次の例では、デザインのすべてのタイミング パス グループのリストが表示されます。

get_path_groups

次の場合、名前に Clk という文字列を含むタイミング パス グループがすべて表示されます。

get_path_groups *Clk*

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 131

Page 132: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

メモ : パターンに一致するパス グループがない場合は、警告メッセージが表示されます。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

132 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 133: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

get_pblocks

現在のデザインの Pblock のリストを表示します。

構文

get_pblocks [-regexp] [-nocase] [-quiet] [patterns]

表示結果

Pblock オブジェクトのリスト

使用法

名前 オプション デフォルト 説明

-regexp-regexp-regexp ○ パターンは正規表現になります。

-nocase-nocase-nocase ○ パターン一致は大文字/小文字に関係なくなります。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

patterns ○ Pblock を検出するために一致するパターンを指定します。

説明

このコマンドを実行すると、指定した検索パターンに一致する現在のプロジェクトで定義され

た Pblock のリストが表示されます。デフォルトでは、プロジェクトの Pblock すべてのリストが表

示されます。

引数

-regexp-regexp-regexp - 正規表現の検索パターンを指定します。

-nocase-nocase-nocase - パターンを指定すると、大文字/小文字に関係なく検索されます。このオプション

は、-regexp を使用した場合にのみ適用されます。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

patterns - 指定したパターンと一致する Pblock を検索します。デフォルトのパターンはワイル

ドカード (*) で、プロジェクトのすべての Pblock が表示されます。

次の例では、現在のプロジェクトの Pblock すべてのリストが表示されます。

get_pblocks

関連文書

create_pblock

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 133

Page 134: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

get_pins

現在のデザインのピンのリストを表示します。

構文

get_pins [-hsc arg] [-hierarchical] [-regexp] [-nocase] [-leaf][-filter arg] [-of_objects args] [-match_style arg] [-quiet][patterns]

表示結果

ピン オブジェクトのリスト

使用法

名前 オプション デフォルト 説明

-hsc-hsc-hsc ○ / 階層区切り文字

-hierarchical-hierarchical-hierarchical ○ 現在のインスタンスでレベルごとに検索します。

-regexp-regexp-regexp ○ パターンは完全な正規表現になります。

-nocase-nocase-nocase ○ 大文字/小文字に関係なく実行します (-regexp が指定されたときのみ有効)。

-leaf-leaf-leaf ○ -of_objects を使用した場合、ネットのリーフ/グローバル ピンを表示します。

-filter-filter-filter ○ 式を使用してリストをフィルタします。

-of_objects-of_objects-of_objects ○ これらのセル、ネットまたはクロックのピンを表示します。

-match_style-match_style-match_style ○ sdc パターン一致のスタイル。有効な値は ucf、sdc です。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

patterns ○ * ピン名と一致するパターンを指定します。

説明

このコマンドを実行すると、指定した検索パターンに一致する現在のデザインに含まれるピ

ン オブジェクトのリストが表示されます。デフォルトでは、デザインのすべてのピンのリストが

表示されます。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

134 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 135: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

引数

-hsc-hsc-hsc arg - デフォルトの階層区切り文字は / です。それ以外の階層区切り文字を指定する

場合は、このオプションを使用します。

-hierarchical-hierarchical-hierarchical - デザイン階層のすべてのレベルからピンを取得します。このオプションを指定

しない場合、デザイン階層の最上位レベルのピンのみが表示されます。

-regexp-regexp-regexp - 正規表現の検索パターンを指定します。

-nocase-nocase-nocase - パターンを指定すると、大文字/小文字に関係なく検索されます。このオプション

は、-regexp を使用した場合にのみ適用されます。

-leaf-leaf-leaf - -of_object オプションで指定したパラメーターのリーフ ピンを含めます。

-filter-filter-filter args - 指定した表現で結果のリストにフィルターをかけます。この -filter オプションを使

用すると、ピンのプロパティ値に基づいて get_pins で表示されるオブジェクトのリストにフィル

ターをかけることができます。どのプロパティがオブジェクトに付いているかは、report_property

または list_property コマンドで確認できます。「pins」オブジェクトの場合、結果をフィルターす

るのに使用できるプロパティには PARENT、TYPE などがあります。

フィルター パターンには、==、!=、=~ のほか、フィルター表記間では && および || などの演

算子も使用できます。

-of_objects-of_objects-of_objects arg - 指定したセル、ピン、ポートまたはクロックへ接続されるピンを取得します。

-match_style-match_style-match_style [sdc[sdc[sdc ||| ucf]ucf]ucf] - 検索パターンが UCF 制約または SDC 制約に一致することを示し

ます。デフォルトは SDC です。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

patterns - 指定したパターンと一致するピンを検索します。デフォルトのパターンはワイルド

カード (*) で、プロジェクトのすべてのピンが表示されます。複数のパターンを指定して、異な

る検索条件で複数のピンを検索することができます。

メモ : 複数の検索パターンは { } で囲んで、1 つのエレメントとしてリストを指定します。

次の例では、指定したセルに付いたピンのリストが表示されます。

get_pins -of_objects [lindex [get_cells] 1]

メモ : パターンに一致するピンがなかった場合、指定したパターンに一致するピンがないこと

を示す警告メッセージが表示されます。

関連文書

• list_property

• report_property

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 135

Page 136: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

get_ports

現在のデザインのポートのリストを表示します。

構文

get_ports [-regexp] [-nocase] [-filter arg] [-of_objects args][-match_style arg] [-quiet] [patterns]

表示結果

ポート オブジェクトのリスト

使用法

名前 オプション デフォルト 説明

-regexp-regexp-regexp ○ パターンは完全な正規表現になります。

-nocase-nocase-nocase ○ 大文字/小文字に関係なく実行します (-regexp が指定されたときのみ有効)。

-filter-filter-filter ○ 式を使用してリストをフィルタします。

-of_objects-of_objects-of_objects ○ これらのネット、クロックのポートを表示します。

-match_style-match_style-match_style ○ sdc パターン一致のスタイル。有効な値は ucf、sdc です。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

patterns ○ * ポート名と一致するパターンを指定します。

説明

このコマンドを実行すると、指定した検索パターンに一致する現在のデザインに含まれるポー

ト オブジェクトのリストが表示されます。デフォルトでは、デザインのすべてのポートのリストが

表示されます。

引数

-regexp-regexp-regexp - 正規表現の検索パターンを指定します。

-nocase-nocase-nocase - パターンを指定すると、大文字/小文字に関係なく検索されます。このオプション

は、-regexp を使用した場合にのみ適用されます。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

136 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 137: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

-filter-filter-filter args - 指定した表現で結果のリストにフィルターをかけます。この -filter オプションを使

用すると、ポートのプロパティ値に基づいて get_ports で表示されるオブジェクトのリストにフィル

ターをかけることができます。どのプロパティがオブジェクトに付いているかは、report_property

または list_property コマンドで確認できます。ports オブジェクトの場合、結果をフィルターす

るのに使用できるプロパティには PARENT、TYPE などがあります。

フィルター パターンには、==、!=、=~ のほか、フィルター表記間では && および || などの演

算子も使用できます。

-of_objects-of_objects-of_objects arg - 指定したセル、ピン、ポートまたはクロックへ接続されるポートを取得します。

-match_style-match_style-match_style [sdc[sdc[sdc ||| ucf]ucf]ucf] - 検索パターンが UCF 制約または SDC 制約に一致することを示し

ます。デフォルトは SDC です。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

patterns - 指定したパターンと一致するポートを検索します。デフォルトのパターンはワイルド

カード (*) で、プロジェクトのすべてのポートが表示されます。複数のパターンを指定して、異

なる検索条件のポートを複数検索することができます。

メモ : 複数の検索パターンは { } で囲んで、1 つのエレメントとしてリストを指定します。

次の例では、指定したセルに付いたピンのリストが表示されます。

get_ports -of_objects [lindex [get_cells] 1]

メモ : パターンに一致するポートがなかった場合、指定したパターンに一致するポートがな

いことを示す警告メッセージが表示されます。

関連文書

• list_property

• report_property

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 137

Page 138: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

get_projects

プロジェクトのリストを表示します。

構文

get_projects [-regexp] [-nocase] [-quiet] [patterns]

表示結果

プロジェクト オブジェクトのリスト

使用法

名前 オプション デフォルト 説明

-regexp-regexp-regexp ○ パターンは完全な正規表現になります。

-nocase-nocase-nocase ○ 大文字/小文字に関係ないパターン一致を実行します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

patterns ○ * プロジェクト名と一致するパターンを指定します。

説明

このコマンドを実行すると、指定した検索パターンに一致する開いたプロジェクトのリストが表

示されます。デフォルトでは、開いているプロジェクトすべてのリストになります。

引数

-regexp-regexp-regexp - 正規表現のパターンを指定します。

-nocase-nocase-nocase - パターンを指定すると、大文字/小文字に関係なく検索されます。このオプション

は、-regexp を使用した場合にのみ適用されます。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

patterns - 指定したパターンとプロジェクトを一致させます。デフォルトのパターンはワイルド

カード (*) で、すべてのパーツが表示されます。複数のパターンを指定して、異なる検索条件

のプロジェクトを複数検索することができます。

次の例では、開いているプロジェクトすべてのリストが表示されます。

get_projects

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

138 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 139: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

project_found という変数が get_projects コマンドで返されるプロジェクト リストの長さに設定さ

れ、そのプロジェクトが検出されたか、問題があって検出できなかったかが表示されます。

set project_found [llength [get_projects ISC*] ]if {$project_found > 0} {puts "Project Found."} else {puts "No Projects Found."}

メモ : パターンに一致するオブジェクトがなかった場合、指定したパターンに一致するプロ

ジェクトがないことを示す警告メッセージが表示されます。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 139

Page 140: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

get_property

オブジェクトのプロパティを表示します。

構文

get_property [-quiet] property_name object

表示結果

プロパティ値

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

property_name × 取り出す値のプロパティ名を指定します。

object × プロパティを示すオブジェクトを指定します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

140 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 141: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

get_reconfig_modules

現在のプロジェクトのリコンフィギャラブル モジュールのリストを表示します。

構文

get_reconfig_modules [-filter arg] [-of_objects args] [-quiet][patterns]

表示結果

reconfig_module オブジェクトのリスト

使用法

名前 オプション デフォルト 説明

-filter-filter-filter ○ 式を使用してリストをフィルタします。

-of_objects-of_objects-of_objects ○ これらのセルのリコンフィギャラブル モジュールを表示します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

patterns ○ * リコンフィギャラブル モジュール名と一致するパターンを指定します。

説明

このコマンドを実行すると、指定した検索パターンに一致する現在のプロジェクトのリコンフィ

ギャラブル モジュールがリストされます。デフォルトでは、プロジェクトのリコンフィギャラブル

モジュールすべてのリストが表示されます。

引数

-filter-filter-filter args- 指定した表現で結果のリストにフィルターをかけます。この -filter オプションを使

用すると、モジュールのプロパティ値に基づいて get_reconfig_modules で表示されるオブジェ

クトのリストにフィルターをかけることができます。どのプロパティがオブジェクトに付いている

かは、report_property または list_property コマンドで確認できます。

フィルター表記に使用できる演算子は、==、!=、=~ のほか、フィルター表記間では && およ

び || も使用できます。

-of_object-of_object-of_object arg - 指定したオブジェクトからリコンフィギャラブル モジュールを取得します。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

patterns - 指定したパターンと一致するリコンフィギャラブル モジュールを検索します。デフォ

ルトのパターンはワイルドカード (*) で、プロジェクトのすべてのモジュールが表示されます。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 141

Page 142: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

次の例では、プロジェクトのリコンフィギャラブル モジュールすべてのリストが表示されます。

get_reconfig_modules

関連文書

• create_reconfig_module

• list_property

• load_reconfig_module

• report_property

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

142 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 143: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

get_runs

run (実行パターン) のリストを表示します。

構文

get_runs [-filter arg] [-quiet] [patterns]

表示結果

run オブジェクトのリスト

使用法

名前 オプション デフォルト 説明

-filter-filter-filter ○ 式を使用してリストをフィルタします。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

patterns ○ * run 名と一致するパターンを指定します。

説明

このコマンドを実行すると、指定した検索パターンに一致する現在のプロジェクトの合成 run

およびインプリメンテーション run がリストされます。デフォルトでは、プロジェクトで定義され

た run すべてのリストが表示されます。

引数

-filter-filter-filter arg - 指定した表現で結果のリストにフィルターをかけます。この -filter オプションを使

用すると、run のプロパティ値に基づいて get_runs で表示されるオブジェクトのリストにフィル

ターをかけることができます。どのプロパティがオブジェクトに付いているかは、report_property

コマンドで確認できます。フィルターには、プロパティと値の組み合わせを使用できます。runs

オブジェクトの場合、結果をフィルターするのに使用できるプロパティには CONSTRSET、

IS_IMPLEMENTATION、IS_SYNTHESIS、FLOW などがあります。

メモ : このオプションは、プロパティ値よりも結果をフィルターするために式を使用する filter

コマンドとは異なります。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

patterns - 指定したパターンと一致する run 名を検索します。デフォルトのパターンはワイル

ドカード (*) で、プロジェクトのすべての定義済み run が表示されます。複数のパターンを指

定して、異なる検索条件で複数の run を検索することができます。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 143

Page 144: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

次の例では、現在のプロジェクトで定義された run すべてのリストが表示されます。

get_runs -filter {IS_IMPLEMENTATION==1}

次の例では、パターンに一致する run のリストが表示されます。

get_runs imp*

パターンに一致する run がなかった場合、指定したパターンに一致する run がないことを示

す警告メッセージが表示されます。

関連文書

report_property

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

144 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 145: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

get_selected_objects

選択したオブジェクトを表示します。

構文

get_selected_objects [-primary] [-quiet]

表示結果

選択したオブジェクトのリスト

使用法

名前 オプション デフォルト 説明

-primary-primary-primary ○ 選択規則に従って選択されたオブジェクトは含みません。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 145

Page 146: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

get_sites

サイトのリストを表示します。

構文

get_sites [-regexp] [-filter arg] [-range args][-of_objects args] [-quiet] [patterns]

表示結果

サイト オブジェクトのリスト

使用法

名前 オプション デフォルト 説明

-regexp-regexp-regexp ○ パターンは正規表現になります。

-filter-filter-filter ○ 式を使用してリストをフィルタします。

-range-range-range ○ 範囲内のサイト名に一致させます。範囲は、2 つのサイト名で定義できます。

-of_objects-of_objects-of_objects ○ ここに渡されるオブジェクトのサイトを表示します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

patterns ○ * サイト名と一致するパターンを指定します。結合サイトでも、一致するパターンが検索されます。

説明

このコマンドを実行すると、指定した検索パターンに一致するターゲット デバイスのサイトがリ

ストされます。デフォルトでは、ターゲット デバイスのサイトすべてのリストが表示されます。

引数

-regexp-regexp-regexp - 正規表現の検索パターンを指定します。

-nocase-nocase-nocase - パターンを指定すると、大文字/小文字に関係なく検索されます。このオプション

は、-regexp を使用した場合にのみ適用されます。

-filter-filter-filter args - 指定した表現で結果のリストにフィルターをかけます。この -filter オプションを使

用すると、サイトのプロパティ値に基づいて get_sites で表示されるオブジェクトのリストにフィル

ターをかけることができます。どのプロパティがオブジェクトに付いているかは、report_property

コマンドで確認できます。site オブジェクトの場合、結果をフィルターできるプロパティには

IS_OCCUPIED、NUM_INPUTS、NUM_OUTPUTS などがあります。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

146 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 147: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

-range-range-range arg - 指定した範囲にあるサイト名を検索します。

-of_object-of_object-of_object arg - 指定したオブジェクトからサイトを取得します。サポートされるオブジェクトは、

タイル、BEL、ピン、パッケージ ピン、I/O バンクのみです。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

patterns - 指定したパターンと一致するサイトを検索します。デフォルトのパターンはワイルド

カード (*) で、プロジェクトのすべてのサイトが表示されます。

次の例では、ターゲット デバイスのサイトすべてのリストが表示されます。

get_sites

次の例では、ターゲット デバイスで使用されていないサイト数が表示されます。

llength [get_sites -filter {IS_OCCUPIED==0}]

メモ :パターンに一致するサイトがない場合は、警告メッセージが表示されます。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 147

Page 148: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

get_switching_activity

指定したオブジェクトのスイッチ動作を取得します。

構文

get_switching_activity [-static_probability][-signal_rate] -object_list args [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-static_probability-static_probability-static_probability ○ スタティックの可能性をレポートします。

-signal_rate-signal_rate-signal_rate ○ 信号レートをレポートします。

-object_list-object_list-object_list × オブジェクト

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

148 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 149: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

group_path

コスト ファンクション計算のパスをグループ化します。

構文

group_path [-name arg] [-weight arg] [-default] [-from args][-to args] [-through args] [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-name-name-name ○ グループ名

-weight-weight-weight ○ 1.0 コスト ファンクションの重さ :範囲は、0 ~ 100 (インプリメントなし)

-default-default-default ○ パスをデフォルト グループ(インプリメントなし) に移動します。

-from-from-from ○ from_list で開始されるパスを考慮します。

-to-to-to ○ to_list で終了するパスを考慮します。

-through-through-through ○ ピン、セル、またはネット (インプリメントなし) を通るパスを考慮します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 149

Page 150: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

help

1 つまたは複数の項目に対するヘルプを表示します。

構文

help [-category arg] [-short] [-quiet] [pattern]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-category-category-category ○ 指定したカテゴリでトピックを検索します。

-short-short-short ○ 短いヘルプの内容を表示します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

pattern ○ * 指定したパターンに一致するトピックのヘルプを表示します。

説明

このコマンドを実行すると、指定したパターンに一致するコマンドのリスト、または特定コマンド

の詳細なヘルプなど、PlanAhead の Tcl コマンドのカテゴリがリストされます。

引数

helphelphelp - さらに詳細を確認可能な Tcl コマンドのカテゴリのリストを表示します。コマンドのカテ

ゴリとは、たとえば [File I/O] のような特定の機能を実行するコマンドのグループです。

helphelphelp -category-category-category category - 指定したカテゴリで検出されたコマンドのリストを表示します。

helphelphelp pattern - 指定した検索パターンに一致するコマンドのリストを表示します。この構文形式

は、コマンド グループから特定のコマンドを素早く検索するのに使用できます。

helphelphelp command - 指定したコマンドに関連する詳細情報を表示します。

helphelphelp -short-short-short command - 指定したコマンドの簡略化されたヘルプ テキストを表示します。

次の help コマンドを実行すると、files を含むコマンドすべてのリストが表示されます。

help *files*

このリストは、remove_files や delete_files などの特定目的のコマンドを素早く検出するために

使用できます。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

150 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 151: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

次の help コマンドを実行すると、remove_files コマンドとその引数の詳細な説明が表示されま

す。

help remove_files

-short オプションを使用すると、そのコマンドの簡単な説明を表示させることもできます。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 151

Page 152: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

highlight_objects

オブジェクトを別の色でハイライトします。

構文

highlight_objects [-color_index arg] [-rgb args] [-color arg][-quiet] objects

表示結果

なし

使用法

名前 オプション デフォルト 説明

-color_index-color_index-color_index ○ 色インデックス

-rgb-rgb-rgb ○ 色インデックス

-color-color-color ○ 色の名前

-quiet-quiet-quiet ○ コマンド エラーを無視します。

objects × ハイライトするオブジェクト

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

152 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 153: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

implement_debug_core

ChipScope デバッグ コアをインプリメントします。

構文

implement_debug_core [-quiet] [cores ...]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

コア ○ 削除する ChipScope デバッグ コアを指定します。

説明

このコマンドを使用すると、CORE Generator で ChipScope デバッグ コアをインプリメントでき

ます。CORE Generator は、指定した ILA デバッグ コアに対して 1 度実行され、すべてのコア

が指定されると、ICON コントローラー コアでもう 1 度実行されます。現在 create_debug_core

コマンドでサポートされるコア タイプは、この ILA コアだけです。PlanAhead では、プロジェク

トに ILA コアを含めてコンフィギュレーションするために ICON コントローラー コアが自動的

に追加されます。

ChipScope の ICON および ILA コアは、最初 PlanAhead でブラックボックスとして作成さ

れます。これらのコアは配置、配線を実行する前にインプリメントしておく必要があります。

create_debug_core でコアが作成されると、create_debug_port および connect_debug_port でポー

トが追加および接続されますが、デバッグ コアの内容は定義されないか、デザイン内で表示

されません。

ChipScope デバッグ コアのインプリメンテーションは、launch_runs コマンドを使用してインプリ

メンテーション run を起動すると自動で実行されますが、implement_debug_core コマンドを使

用して、デザイン全体をインプリメントせずに、CORE Generator で 1 つ以上のコアをインプ

リメントすることもできます。

引数

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

cores - インプリメントするデバッグ コアを 1 つ以上指定します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 153

Page 154: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

次の例では、現在のプロジェクトにすべての ChipScope デバッグ コアをインプリメントしてい

ます。

implement_debug_core [get_debug_cores]

この結果、ICON と ILA タイプの両方のコアが CORE Gnerator でインプリメントされ、ネットリ

スト デザインに含まれます。

関連文書

• connect_debug_port

• create_debug_core

• create_debug_port

• get_debug_cores

• launch_runs

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

154 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 155: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

import_as_run

NCD とオプションの TWX を run としてインポートします。

構文

import_as_run [-run arg] [-twx arg] [-pcf arg] [-quiet] ncd

表示結果

なし

使用法

名前 オプション デフォルト 説明

-run-run-run ○ 結果をこの run にインポートします。

-twx-twx-twx ○ インポートする TWX ファイル

-pcf-pcf-pcf ○ インポートする PCF ファイル

-quiet-quiet-quiet ○ コマンド エラーを無視します。

ncd × インポートする配線済み NCDファイル

説明

NCD ファイルとオプションの TWX ファイルを現在のプロジェクトのインプリメンテーション run

にインポートします。このコマンドは、前に配置配線済みのデザインを ISE から PlanAhead に

インポートする手順の 1 つです。

引数

-run-run-run arg - インポート先のインプリメンテーション run の名前を指定します。

このコマンドでは run は作成されず、必須の NCD ファイルがインポートされます。 また、指

定した場合はオプションで TWX ファイルを指定した run にインポートして、そのステートを

Implemented に設定することもできます。

-twx-twx-twx arg - NCD ファイルの配置配線データと共にインポートされるオプションの TRACE タイ

ミング ファイル (.TWX) のパスとファイル名を指定します。

-pcf-pcf-pcf arg - 読み込む物理制約ファイルを指定します。NGD ファイルの論理制約は MAP で読

み込まれます。MAP では、デザインをマップして論理制約を物理制約に変換する制約が使

用され、物理制約ファイル (PCF) に書き込まれます。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

ncd - 指定したインプリメンテーション run にインポートする NCD ファイルのパスおよび名前

を指定します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 155

Page 156: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

次の例では、新しい RTL ソース プロジェクトを作成して design_mode プロパティを GateLvl に

変更するか、ネットリスト入力のプロジェクトを作成してデザインの最上位レベルを示す EDIF

ファイルを指定して、最後に NCD ファイルを最初に作成したインプリメンテーション run にイ

ンポートしています。

create_project myProject C:/Data/myProject set_property design_mode GateLvl [current_fileset]set_property edif_top_file C:/Data/ise/drp_des/drp_demo.ngc [current_fileset] import_as_run -run impl_1C:/Data/ise/drp_des/drp_demo.ncd

インプリメンテーション run はプロジェクトが最初に作成されたときに合成 run と一緒に作成

されます。合成 run はまだ終了していないので、import_as_run コマンドをインプリメンテーショ

ン run に使用することはできません。ただし、次に design_mode プロパティが GateLvl デザイ

ンに対して設定されると、合成 run が不必要に削除され、インプリメンテーション run のみが

残ります。

次の例では、コマンドを使用できるようにインプリメント済みの run をリセットしてから、NCD ファ

イル、TWX ファイル、PCF ファイルを ISE の配置配線済みデザインからインポートしています。

reset_run impl_1import_as_run -run impl_1 -twx C:/Data/ise/drp_des/drp_demo.twx-pcf \C:/Data/ise/drp_des/drp_demo.pcf C:/Data/ise/drp_des/drp_demo.ncd

reset_run コマンドが impl_1 という run に使用されなかった場合は、次のようなエラー メッセー

ジが表示されます。

ERROR: Run needs to be reset before importing into it.

関連文書

• create_project

• reset_run

• set_property

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

156 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 157: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

import_files

ファイル/ディレクトリをアクティブなファイルセットにインポートします。

構文

import_files [-fileset arg] [-force] [-norecurse] [-flat][-relative_to arg] [-quiet] [files ...]

表示結果

インポートされたファイル オブジェクトのリスト

使用法

名前 オプション デフォルト 説明

-fileset-fileset-fileset ○ ファイルセット名を指定します。

-force-force-force ○ プロジェクト ディレクトリの同じ名前のファイルを上書きします。

-norecurse-norecurse-norecurse ○ ディレクトリ検索の繰り返しのデフォルト動作をディスエーブルにします。

-flat-flat-flat ○ ファイルをフラットなディレクトリ構造にインポートします。

-relative_to-relative_to-relative_to ○ 指定した相対ディレクトリにファイルをインポートします。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

files ○ ファイルセットにインポートするファイルの名前

説明

1 つ以上のファイルまたは 1 つ以上のディレクトリのソース ファイルの内容を指定したファイ

ルセットに追加します。

このコマンドは、指定したファイルセットにファイルを参照して追加する add_files コマンドとは

異なります。このコマンドでは、project.srcs\<fileset>\imports のローカル プロジェクト フォル

ダーにファイルをインポートしてから、そのファイルを指定したファイルセットに追加します。

引数

-fileset-fileset-fileset name - どのファイルセットに指定したソース ファイルを追加するか指定します。指定

したファイルセットが存在しない場合は、PlanAhead でエラーが表示されます。ファイルセット

を指定しなかった場合は、デフォルトでソースのファイルセットに追加されます。

-force-force-force - ローカル プロジェクト ディレクトリおよびファイルセットの同じ名前のファイルを上書き

します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 157

Page 158: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

-norecurse-norecurse-norecurse - これで指定したディレクトリの下位ディレクトリではコマンドが実行されないように

なります。このオプションが指定されない場合、プロジェクトに追加できるその他のソース ファ

イルの下位ディレクトリすべてが検索されます。

-flat-flat-flat - デフォルトでは、ファイルのディレクトリ構造がデザインにインポートされた状態で保持

されます。このオプションを指定すると、相対パスが保持されないで、すべてのファイルがイン

ポート フォルダーにインポートされます。

-relative_to-relative_to-relative_to arg - 指定したディレクトリに相対的なファイルがインポートされます。これにより、

ローカル プロジェクトのディレクトリ構造でインポート ファイルへのパスが保持できます。ファ

イルは、指定したディレクトリに相対的なパスを使用してインポート フォルダーにインポートさ

れます。

メモ : -relative_to オプションは、-flat オプションが指定されると無視されます。-flat コマンド

を使用すると、インポート ファイルのディレクトリ構造が削除されます。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

files - 指定したファイルセットに追加するファイルまたはディレクトリ名のリストを指定します。

ディレクトリ名を指定した場合は、そのディレクトリとそれに含まれる下位ディレクトリの有効な

ソース ファイルすべてが追加されます。

メモ : パスがファイル名の一部として指定されない場合は、現在の作業ディレクトリまたは

PlanAhead が起動されたディレクトリで指定ファイルが検索されます。

次の例では、top.ucf ファイルを constrs_1 制約ファイルセットにインポートしています。

import_files -fileset constrs_1 top.ucf

次の例では、-fileset オプションが指定されていないので、有効なソース ファイルがソース ファ

イルセット (sources_1) にデフォルトでインポートされます。また、-norecurse オプションが指定

されているので、指定した \level1 ディレクトリのみが検索され、下位ディレクトリは検索され

ません。-flat オプションは指定されていないので、すべての有効なソース ファイルがプロジェ

クトの \imports フォルダーにインポートされます。

import_files C:/Data/FPGA_Design/level1 -norecurse -flat

メモ : -flat オプションが指定されていないので、プロジェクトの \imports フォルダーに \level1

ディレクトリが作成されます。

次の例では、-fileset オプションが指定されていないので、ファイルがソース ファイルセット

(sources_1) にインポートされます。有効なソース ファイルは \level1 ディレクトリおよびすべて

の下位ディレクトリからインポートされ、-relative_to オプションが使用されているので、\Data

ディレクトリから始まるプロジェクトの \imports フォルダーに書き込まれます。

import_files C:/Data/FPGA_Design/level1 -relative_to C:/Data

関連文書

add_files

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

158 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 159: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

import_ip

IP ファイルをインポートしてファイルセットに追加します。

構文

import_ip [-srcset arg] -file arg -name arg [-quiet]

表示結果

追加されたファイル オブジェクトのリスト

使用法

名前 オプション デフォルト 説明

-srcset-srcset-srcset ○ ソース セット名を指定します。

-file-file-file × インポートする IP ファイルの名前

-name-name-name × 作成される新しい IP の名前

-quiet-quiet-quiet ○ コマンド エラーを無視します。

説明

既存の XCO ファイルを IP として現在のプロジェクトにインポートします。

引数

-file arg - 現在のプロジェクトにインポートする IP ファイルを指定します。IP は、既存の XCO

ファイルの形式にする必要があります。XCO ファイルは、IP コアを作成するために使用され

るカスタマイズ パラメーターとプロジェクト オプションをすべて記録した CORE Generator ファ

イルで、PlanAhead の現在のプロジェクトでコアを作成し直すために使用されます。

-name arg - 現在のソース ファイルセットに追加される名前で、IP オブジェクトに割り当てる名

前を指定します。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次の例では、10gig イーサネット コアを現在のプロジェクトに追加し、IP_block1 という名前を

割り当てています。

import_ip C:/Data/FPGA_Design/10gig_eth.xco -name IP_block1

IP ブロックが現在のプロジェクトの現在のソース ファイルセットにインポートされます。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 159

Page 160: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

import_synplify

指定した Synplify プロジェクト ファイルをインポートします。

構文

import_synplify [-quiet] file

表示結果

Synplify ファイルからインポートされたファイル オブジェクトのリスト

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

file × インポートする Synplify プロジェクト ファイルの名前

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

160 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 161: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

import_xise

作成したプロジェクトに XISE プロジェクト ファイルの設定をインポートします。

構文

import_xise [-copy_sources] [-quiet] file

表示結果

true

使用法

名前 オプション デフォルト 説明

-copy_sources-copy_sources-copy_sources ○ 作成したプロジェクトに ISEソースすべてをコピーします。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

file × インポートする XISE プロジェクト ファイルの名前

説明

ISE プロジェクト ファイル (XISE) を PlanAhead にインポートします。これにより、ISE プロジェク

トが素早く PlanAhead に統合されて、合成、シミュレーション、インプリメンテーションが実行

できます。すべてのプロジェクト ソース ファイル、制約 ファイル、シミュレーション ファイルお

よび run 設定が ISE プロジェクトからインポートされ、現在の PlanAhead プロジェクトで作成さ

れ直されます。

このコマンドは、新しい空のプロジェクトで実行する必要があります。ソース ファイル、制約、

および run 設定は ISE プロジェクトからインポートされるので、既存のソース ファイルや制約

ファイルがある場合はすべて上書きされます。

引数

-copy_sources-copy_sources-copy_sources - ISE プロジェクトのソース ファイルを、現在のディレクトリから参照しないで、

ローカル プロジェクト ディレクトリ構造にコピーするよう指定します。デフォルトでは、現在の

ディレクトリからソース ファイルが参照されます。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

file - 現在のプロジェクトにインポートする ISE プロジェクト ファイル (XISE) の名前を指定しま

す。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 161

Page 162: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

次の例では、importISE という新規プロジェクトを作成し、ISE プロジェクト ファイル (first_use.xise)

をインポートしています。

create_project importISE C:/Data/importISE import_xise \C:/Data/FPGA_design/ise_designs/drp_des/first_use.xise

この例では、-copy_sources オプションを指定していないので、ISE プロジェクトのすべてのソー

ス ファイルが現在のプロジェクトに参照されて追加されます。

関連文書

create_project

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

162 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 163: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

import_xst

指定した XST プロジェクト ファイルをインポートします。

構文

import_xst [-quiet] file

表示結果

XST ファイルからインポートされたファイル オブジェクトのリスト

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

file × インポートする XST プロジェクト ファイルの名前

説明

PlanAhead に XST 合成プロジェクト ファイルをインポートします。PlanAhead は XST の run で

使用されたソース ファイルをインポートして、現在のプロジェクトに追加します。

引数

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

file - インポートする XST プロジェクト ファイルの名前を指定します。

次の例では、xst_test という新規プロジェクトを作成し、drp_des.xst ファイルをインポートしてい

ます。

create_project xst_test C:/Data/FPGA_Design/xst_test import_xst \C:/Data/ise_designs/drp_des.xst

指定した XST プロジェクト ファイルで指定されたソース ファイルが xst_test プロジェクトにイ

ンポートされます。

関連文書

create_project

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 163

Page 164: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

launch_chipscope_analyzer

run に対して ChipScope Analyzer ツールを起動します。

構文

launch_chipscope_analyzer [-run arg] [-csproject arg] [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-run-run-run ○ 実行

-csproject-csproject-csproject ○ ChipScope プロジェクト

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

164 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 165: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

launch_fpga_editor

run に対して FPGA Editor ツールを起動します。

構文

launch_fpga_editor [-run arg] [-more_options arg] [-mapped_ncd][-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-run-run-run ○ 実行

-more_options-more_options-more_options ○ コマンド ライン オプションをさらに指定します。

-mapped_ncd-mapped_ncd-mapped_ncd ○ マップされた NCD ファイルを使用します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 165

Page 166: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

launch_impact

run に対して iMPACT コンフィギュレーション ツールを起動します。

構文

launch_impact [-run arg] [-ipf arg] [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-run-run-run ○ 実行

-ipf-ipf-ipf ○ iMPACT プロジェクト

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

166 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 167: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

launch_isim

ISim シミュレータを使用してシミュレーションを実行します。

構文

launch_isim [-simset arg] [-mode arg] [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-simset-simset-simset ○ シミュレーション ファイルセットの名前を指定します。

-mode-mode-mode ○ behavioral シミュレーション モード(behavioral または timing)

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 167

Page 168: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

launch_runs

run のセットを起動します。

構文

launch_runs [-jobs arg] [-scripts_only] [-copy_sources][-all_placement] [-dir arg] [-host args] [-remote_cmd arg][-email_to args] [-email_all] [-pre_launch_script arg][-post_launch_script arg] [-force] [-quiet] runs ...

表示結果

なし

使用法

名前 オプション デフォルト 説明

-jobs-jobs-jobs ○ 1 ジョブ数

-scripts_only-scripts_only-scripts_only ○ スクリプトのみを生成します。

-copy_sources-copy_sources-copy_sources ○ 指定した run ディレクトリにRTL ソースをコピーします。

-all_placement-all_placement-all_placement ○ 配置が固定されているかどうかに関わらず、すべての配置を ISE にエクスポートします (デフォルトでは、固定された配置のみがエクスポートされます)。

-dir-dir-dir ○ ディレクトリを起動します。

-host-host-host ○ 指定したジョブ数を使用して指定したリモート ホストで起動します。例 :-host {machine1 2} -host{machine2 4}

-remote_cmd-remote_cmd-remote_cmd ○ ssh -q -oBatchMode=yes

リモート ホストへログインするコマンド

-email_to-email_to-email_to ○ ジョブが完了した際に通知する電子メール アドレスのリストを指定します。

-email_all-email_all-email_all ○ 各ジョブの完了時に電子メールを送信します。

-pre_launch_script-pre_launch_script-pre_launch_script ○ 各ジョブの起動前に実行するスクリプトを指定します。

-post_launch_script-post_launch_script-post_launch_script ○ 各ジョブの完了後に実行するスクリプトを指定します。

-force-force-force ○ 未決定の制約変更があっても、コマンドを実行します。 変更は失われます (パーシャルリコンフィギュレーション デザインの場合)。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

168 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 169: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

runs × 起動する run を指定します。

説明

PlanAhead で合成 run およびインプリメンテーション run を起動します。run は、config_runs コ

マンドで前もってコンフィギュレーションされている必要があります。合成 run およびインプリ

メンテーション run の両方とも、同じ launch_runs コマンドで指定できますが、インプリメンテー

ション run を起動するには、親の合成 run が終了している必要があります。

引数

-scripts_only-scripts_only-scripts_only - 指定した run ごとに runme.bat というスクリプトを生成するように命令し、後で

run を起動するようにキューに入れることができます。

-jobs-jobs-jobs arg - ローカル ホストで実行するパラレル ジョブ数を指定します。リモート ホストのジョ

ブ数は、-host オプションの一部として指定されますので、-jobs と -host の両方を指定する

必要はありません。

-host-host-host args - Linux でのみサポートされます。指定したジョブ数を使用して指定したリモート ホ

ストから起動されます。オプションは、次の例のような {hostname jobs} という形式で使用しま

す。-host {machine1 2}-host オプションが指定されない場合、run はローカル ホストから起動

されます。

-pre_launch_script-pre_launch_script-pre_launch_script arg - 各ジョブを起動する前にスクリプトを指定します。

-remote_cmd-remote_cmd-remote_cmd arg - リモート ホストにログインするために使用するコマンドを指定して、ジョブを

起動します。デフォルトのリモート コマンドは ssh -q -o BatchMode=yes です。

-post_launch_script-post_launch_script-post_launch_script arg - すべてのジョブの終了後に実行するスクリプトを指定します。

-copy_sources-copy_sources-copy_sources - プロジェクトの RTL ソース ファイルを指定した run ごとに別々のフォルダー

にコピーします。

-all_placement-all_placement-all_placement - すべてのユーザーの割り当てた (固定) 配置と自動的に割り当てられた (未

固定) 配置をインプリメンテーション用に ISE の MAP と PAR ツールにエクスポートします。デ

フォルトでは、ユーザーの割り当てた固定された配置のみがインプリメンテーション用にエク

スポートされます。

-dir-dir-dir arg - run 結果を書き込むディレクトリを指定します。指定したディレクトリに、各 run ごと

に別々のフォルダーが作成されます。デフォルトでは、各 run の結果がproject.runs ディレ

クトリの下に別々のフォルダーで書き込まれます。

-email_to-email_to-email_to args - run が終了したことを示す通知を送付する電子メール アドレスを指定します。

-email_all-email_all-email_all - 各 run が終了するごとに別々の電子メールが送付されます。

-force-force-force - このオプションは、パーシャル リコンフィギュレーション プロジェクトにのみ使用でき

ます。パーシャル リコンフィギュレーション デザインの制約の変更が保留中かどうかに関係な

く、run を起動します。保留中の制約の変更は、指定した run では失われます。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 169

Page 170: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

runs - 起動する合成 run および インプリメンテーション run のいずれか、または両方の名前

を指定します。

次のコマンドでは、2 つのパラレル ジョブを含む 3 つの異なる合成 run を起動し、ソース ファ

イルを書く run の結果フォルダーにコピーしています。

launch_runs synth_1 synth_2 synth_4 -jobs 2 -copy_sources

各 run の結果は、project.runs ディレクトリの synth_1、synth_2、および synth_4 フォ

ルダーにそれぞれ書き込まれます。

次の例では、run 結果を書き込むディレクトリが作成されます。この場合、指定したディレクト

リに impl_3、 impl_4、および synth_3 が書き込まれます。また、-scripts_only オプショ

ンにより、各フォルダーに runme.bat スクリプトが書き込まれますが、この段階では run は起

動されません。

launch_runs impl_3 impl_4 synth_3 -dir C:/Data/FPGA_Design/results -scripts_only

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

170 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 171: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

launch_xpa

XPower Analyzer ツールを起動します。

構文

launch_xpa [-run arg] [-more_options arg] [-mapped_ncd] [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-run-run-run ○ 実行

-more_options-more_options-more_options ○ コマンド ライン オプションをさらに指定します。

-mapped_ncd-mapped_ncd-mapped_ncd ○ マップされた NCD ファイルを使用します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 171

Page 172: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

list_param

すべてのパラメータ名を表示します。

構文

list_param [-quiet]

表示結果

リスト

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

説明

このコマンドを実行すると、PlanAhead でユーザーの定義可能なコンフィギュレーション パラ

メーターのリストが表示されます。これらのパラメーターでは、さまざまなツールの設定と動作が

コンフィギュレーションされます。特定のパラメーターの詳細を確認するには、report_parameter

コマンドを実行し、パラメーターの説明とそのパラメーターの現在の値を表示させます。

引数

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次の例では、ユーザー定義可能なパラメーターすべてのリストが表示されます。

list_param

関連文書

• get_param

• report_param

• set_param

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

172 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 173: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

list_property

オブジェクトのプロパティをリストします。

構文

list_property [-class arg] [-quiet] [object]

表示結果

プロパティ名のリスト

使用法

名前 オプション デフォルト 説明

-class-class-class ○ プロパティをクエリーするオブジェクト タイプを指定します。オブジェクトが指定されない場合は無視されます。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

object ○ プロパティを示すオブジェクトを指定します。

説明

このコマンドはオブジェクトを入力として使用して、そのオブジェクトのプロパティすべてのリ

ストを表示します。

メモ : report_property でもオブジェクトのプロパティ リストは表示されますが、プロパティ タイ

プと値も含まれる点が異なります。

引数

object - プロパティをレポートするオブジェクトの 1 つを指定します。

メモ : 複数のオブジェクトを指定すると、エラー メッセージが表示されます。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次の例では、指定したオブジェクトのプロパティすべてが表示されます。

list_property [get_cells cpuEngine]

関連文書

• get_cells

• report_property

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 173

Page 174: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

list_property_value

オブジェクトの有効なプロパティ値をリストします。

構文

list_property_value [-class arg] [-quiet] name [object]

表示結果

プロパティ値のリスト

使用法

名前 オプション デフォルト 説明

-class-class-class ○ オブジェクト タイプを指定して有効なプロパティ値をクエリーします。オブジェクトが指定されない場合は無視されます。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

name × 取り出す値の有効な値のプロパティ名を指定します。

object ○ オブジェクトを指定して有効なプロパティ値をクエリーします。

説明

オブジェクトのクラスか特定オブジェクトのいずれかの列挙型プロパティの有効な値をリストし

ます。

メモ : このコマンドでは、列挙型プロパティ以外のプロパティの有効な値はリストされません。

report_property コマンドを実行すると、プロパティ タイプが表示されるので、列挙型を見つけ

やすくなります。

引数

-class-class-class arg - クエリーするオブジェクトのクラスを指定します。オブジェクトのクラスは、実際の

オブジェクトの代わりに使用できます。

name - クエリーするプロパティの名前を指定します。このコマンドでは、列挙型の値のプロパ

ティのみ、または定義済みの値のセットがクエリーできます。指定したプロパティの有効な値

すべてが表示されます。

object - クエリーするオブジェクトを指定します。実際のオブジェクトを -class オプションの代

わりに使用して、クエリーするオブジェクトのタイプを指定できます。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

174 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 175: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

次の例では、セル オブジェクトから KEEP_HIERARCHY プロパティの有効な値のリストを表示

します。

list_property_value KEEP_HIERARCHY -class cell

次の例でも同じリストが表示されますが、一般的なセル クラスに代わりに実際のセル オブジェ

クトが使用されています。

list_property_value KEEP_HIERARCHY [get_cells cpuEngine]

関連文書

• report_property

• list_property

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 175

Page 176: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

load_reconfig_modules

指定 run から特定のリコンフィギャブル モジュールまたはすべてのモジュールを読み込みま

す。

構文

load_reconfig_modules [-force] [-run arg] [-quiet][reconfig_modules]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-force-force-force ○ 未決定の制約変更があっても、コマンドを実行します。 変更は失われます。

-run-run-run ○ 指定したディレクトリからのリコンフィギャブル モジュールの読み込みを実行します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

reconfig_modules ○ 読み込むリコンフィギャブルモジュールを指定します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

176 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 177: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

make_diff_pair_ports

差動ペアを 2 ポートにします。

構文

make_diff_pair_ports [-quiet] ports ...

表示結果

なし

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

ports × 結合するポートを指定します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 177

Page 178: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

mark_objects

GUI でオブジェクトをマークします。

構文

mark_objects [-rgb args] [-color arg] [-quiet] objects

表示結果

なし

使用法

名前 オプション デフォルト 説明

-rgb-rgb-rgb ○ RGB リスト

-color-color-color ○ 色の名前

-quiet-quiet-quiet ○ コマンド エラーを無視します。

objects × マークするオブジェクト

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

178 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 179: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

open_impl_design

インプリメント済みデザインを開きます。

構文

open_impl_design [-quiet] [run]

表示結果

デザイン オブジェクト

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

run ○ 開く run を指定します。

説明

インプリメント済みデザインを開きます。

引数

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

run - 開くインプリメント済みデザインの run 名を指定します。指定した run は、インプリメント

済みデザインを開く前にまずインプリメントしておく必要があります。インプリメントされていな

い run を開こうとすると、エラー メッセージが表示されます。

次は、impl_1 というインプリメント済みデザインを開きます。

open_impl_design impl_1

関連文書

launch_runs

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 179

Page 180: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

open_io_design

IO デザインを開きます。

構文

open_io_design [-name arg] [-part arg] [-constrset arg] [-quiet]

表示結果

デザイン オブジェクト

使用法

名前 オプション デフォルト 説明

-name-name-name ○ デザイン名

-part-part-part ○ ターゲット パーツ

-constrset-constrset-constrset ○ 使用する制約ファイルセット

-quiet-quiet-quiet ○ コマンド エラーを無視します。

説明

新規または既存の I/O ピン配置デザインを開きます。

メモ :I/O デザインを開くには、design_mode で現在のソース ファイルセットを PinPlanning とし

て定義しておく必要があります。定義しておかないと、PlanAhead で次のようなエラー メッセー

ジが表示されます。

ERROR:The design mode of ’sources_1’ must be PinPlanning

引数

-name-name-name arg - 新規または既存の I/O ピン配置デザインの名前を指定します。

-part-part-part arg - 新規デザインを作成する際に使用するザイリンクス デバイスを指定します。-part

オプションが指定されないと、デフォルトのパーツが使用されます。

-constrset-constrset-constrset arg - I/O デザインを開く際に使用する制約ファイルセットの名前を指定します。

メモ : -constrset オプションには、存在する制約ファイルセットを指定する必要があります。存

在しない場合に、新しいファイルセットが自動的に作成されることはありません。新しいファイ

ルセットを作成する場合は、create_fileset を使用します。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次を実行すると、myIO という I/O デザインが新規に作成されます。

open_io_design -name myIO

この場合、デフォルトのソース セット、制約セット、パーツが使用されます。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

180 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 181: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

次の例では、myIO という既存の I/O デザインを開いて、使用する制約セットを指定していま

す。

open_io_design -name myIO -constrset topCon

この場合、デフォルトのソース セットとパーツが使用されます。

関連文書

create_project

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 181

Page 182: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

open_netlist_design

合成またはネットリスト デザインを開きます。

構文

open_netlist_design [-name arg] [-run arg] [-part arg][-constrset arg] [-top arg] [-block] [-quiet]

表示結果

デザイン オブジェクト

使用法

名前 オプション デフォルト 説明

-name-name-name ○ デザイン名

-run-run-run ○ ネットリスト デザインで開く run

-part-part-part ○ ターゲット パーツ

-constrset-constrset-constrset ○ 制約ファイルセット

-top-top-top ○ 構造ネットリストが Verilog の場合、最上位モジュール名を指定します。

-block-block-block ○ ブロック レベルのデザインを開きます。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

説明

新規または既存のネットリスト デザインを開きます。

ネットリスト デザインを開くには、design_mode で現在のソース ファイルセットを GateLvl として

定義しておく必要があります。定義しておかないと、PlanAhead で次のようなエラー メッセージ

が表示されます。

ERROR:The design mode of ’sources_1’ must be GateLvl

引数

-name-name-name arg - 新規または既存のネットリスト デザインの名前を指定します。

-run-run-run arg - ネットリスト デザインで開くインプリメンテーション run を指定します。

-part-part-part arg - 新規デザインを作成する際に使用するザイリンクス デバイスを指定します。-part

オプションが指定されないと、デフォルトのパーツが使用されます。

-top-top-top arg - ネットリスト デザインが Verilog の場合、デザイン階層の最上位モジュールを指定

します。

-constrset-constrset-constrset arg - デザインを開く際に使用する制約ファイルセットの名前を指定します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

182 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 183: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

メモ : -constrset オプションには、存在する制約ファイルセットを指定する必要があります。存

在しない場合に、新しいファイルセットが自動的に作成されることはありません。新しいファイ

ルセットを作成する場合は、create_fileset を使用します。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次を実行すると、Net1 というネットリスト デザインが新規に作成されます。

open_netlist_design -name Net1

この場合、デフォルトのソース セット、制約セット、パーツが使用されます。

次の例では、Net1 という既存のネットリスト デザインを開いて、使用する制約セットを指定し

ています。

open_netlist_design -name Net1 -constrset con1

この場合、デフォルトのソース セットとパーツが使用されます。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 183

Page 184: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

open_project

PlanAhead プロジェクト ファイル (.ppr) を開きます。

構文

open_project [-read_only] [-quiet] file

表示結果

開いたプロジェクト オブジェクト

使用法

名前 オプション デフォルト 説明

-read_only-read_only-read_only ○ プロジェクトを読み出し専用モードで開きます。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

file × 読み込むプロジェクト ファイル

説明

PlanAhead プロジェクト ファイル (.ppr) を開きます。

このコマンドでプロジェクト ファイルを開いて、デザイン ソース ファイルおよび階層を編集し、

I/O ピン配置とフロアプランを実行し、デバイスを合成およびインプリメントします。

引数

-read_only-read_only-read_only - プロジェクトを読み出し専用モードで開くように指定します。 変更は保存できま

せんが、save_project_as を使用すると、読み出し専用モードのプロジェクトでも新しいプロジェ

クトとして保存できるので、変更を加えることができます。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

file - 開く PlanAhead プロジェクト ファイルを指定します。ファイルを指定する際は、ファイルへ

のパスと .ppr ファイル拡張子の両方を含める必要があります。

次の例では、Designs ディレクトリの my_project1 という名前のプロジェクトを開きます。

open_project C:/Designs/my_project1.ppr

プロジェクトには .ppr 拡張子を付けないと、PlanAhead でファイルがプロジェクト ファイルとし

て認識されません。ファイルへのパスには、プロジェクト ファイル名も含めておかないと、指定

したファイルが見つからないことを示すエラー メッセージが表示されます。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

184 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 185: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

関連文書

• create_project

• current_project

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 185

Page 186: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

open_rtl_design

RTL デザインを開きます。

構文

open_rtl_design [-name arg] [-part arg] [-constrset arg][-top arg] [-quiet]

表示結果

デザイン オブジェクト

使用法

名前 オプション デフォルト 説明

-name-name-name ○ デザイン名

-part-part-part ○ ターゲット パーツ

-constrset-constrset-constrset ○ run のファイルセット

-top-top-top ○ 最上位モジュール名を指定します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

説明

新規または既存の RTL ソース デザインを開きます。RTL デザインを開く前にソース ファイル

セットに Verilog または VHDL ソース ファイルを追加し、最上位モジュールを指定しておく必

要があります。

引数

-name-name-name arg - 新規または既存の RTL デザインの名前を指定します。

-part-part-part arg - 新規デザインを作成する際に使用するザイリンクス デバイスを指定します。-part

オプションが指定されないと、デフォルトのパーツが使用されます。

-constrset-constrset-constrset arg - デザインを開く際に使用する制約ファイルセットの名前を指定します。

メモ : -constrset オプションには、存在する制約ファイルセットを指定する必要があります。存

在しない場合に、新しいファイルセットが自動的に作成されることはありません。新しいファイ

ルセットを作成する場合は、create_fileset を使用します。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次を実行すると、RTL1 という RTL デザインが新規に作成されます。

open_rtl_design -name RTL1

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

186 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 187: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

メモ : この場合、デフォルトのソース セット、制約セット、パーツが使用されます。

次の例では、RTL1 という既存の RTL デザインを開いて、使用する制約セットを指定してい

ます。

open_rtl_design -name RTL1 -constrset top

メモ : この場合、デフォルトのソース セットとパーツが使用されます。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 187

Page 188: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

place_pblocks

Pblock 配置ツールを実行します。

構文

place_pblocks [-effort arg] [-utilization arg][-quiet] pblocks ...

表示結果

なし

使用法

名前 オプション デフォルト 説明

-effort-effort-effort ○ HIGH Pblock ごとの配置ツールのエフォート レベル 値 : LOW、MEDIUM、HIGH

-utilization-utilization-utilization ○ 配置ツールの Pblock ごとの使用率

-quiet-quiet-quiet ○ コマンド エラーを無視します。

Pblock × 配置する Pblock のリスト

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

188 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 189: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

place_ports

ポートのセットを自動的に配置します。

構文

place_ports [-skip_unconnected_ports] [-check_only] [-quiet][ports ...]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-skip_unconnected_ports-skip_unconnected_ports-skip_unconnected_ports ○ 接続のないポートは配置しません。

-check_only-check_only-check_only ○ I/O クロック配置 DRC のみをチェックします。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

ports ○ 配置するポート (指定しない場合は、すべてのポートが配置されます)

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 189

Page 190: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

promote_run

前にインプリメントしたパーティションをプロモートして、インポートおよびこの run やその他の

run で再利用できるようにします。

構文

promote_run [-partition_names args] [-promote_dir arg][-description arg] [-no_state_update] [-quiet] run

表示結果

なし

使用法

名前 オプション デフォルト 説明

-partition_names-partition_names-partition_names ○ プロモートされるパーティションのリスト

-promote_dir-promote_dir-promote_dir ○ パスをプロモートします。

-description-description-description ○ 詳細をプロモートします。

-no_state_update-no_state_update-no_state_update ○ プロモートされたパーティションのステートを Import に自動的にアップデートしません。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

run × プロモートされるインプリメント済み run

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

190 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 191: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

read_chipscope_cdc

ChipScope Core Inserter の CDC ファイルをインポートします。

構文

read_chipscope_cdc [-quiet] file

表示結果

なし

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

file × ファイル名

説明

CDC (ChipScope Definition and Connection) ファイルを読み込んで、現在のプロジェクトのネッ

トリスト デザインと関連付けます。このファイルは、コアのパラメーターおよび ChipScope ILA

デバッグ コアの設定に関する情報を含み、信号名をインポートするために ChipScope Pro

Analyzer への入力として使用されます。

Chipscope CDC ファイルは、ISE プロジェクトまたは write_chipscope_cdc コマンドを使用して別

の PlanAhead プロジェクトで作成されます。

現在のプロジェクトで使用できない CDC ファイルのパラメーターは、インポートされません。

たとえば、ポートへの接続に指定した信号が現在のネットリストに存在しない場合、これらの

信号は無視されます。

引数

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

file - PlanAhead に読み込む CDC ファイルのパスおよびファイル名を指定します。CDC ファ

イルでは、挿入するデバッグ コア、プローブする信号、これらの信号のクロック ドメインが定

義されます。

次の例では、指定した CDC ファイルを読み込んでいます。

read_chipscope_cdc C:/Data/FPGA_Design/bft.cdc

関連文書

• create_debug_core

• get_debug_cores

• write_chipscope_cdc

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 191

Page 192: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

read_csv

パッケージ ピンとポート配置情報をインポートします。

構文

read_csv [-quiet] file

表示結果

なし

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

file × ファイル名

説明

CSV(Comma Separated Value) ファイルからパッケージ ピンおよびポート配置情報をインポー

トします。

CSV ファイルは I/O ピン配置プロジェクトにのみインポートできます。その他のプロジェクトの

場合、ピン定義はソース デザイン データからインポートされます。

CSV ファイルの形式および要件については、『PlanAhead ユーザー ガイド』 (ug632.pdf) を参

照してください。

引数

file - インポートする CSV ファイルの名前を指定します。

メモ : パスがファイル名の一部として指定されない場合は、現在の作業ディレクトリまたは

PlanAhead が起動されたディレクトリで指定ファイルが検索されます。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次の例は、開いたプロジェクトに CSV ファイルをインポートしています。

read_csv C/Data/pinList.csv

まず、新しい I/O ピン配置プロジェクトを設定してから、指定した CSV ファイルをそのプロジェ

クトに読み込みます。

create_project myPinPlan C:/Data/myPinPlan -part xc7v285tffg1157-1set_property design_mode PinPlanning [current_fileset]open_io_design -name io_1read_csv C:/Data/import.csv

メモ : プロジェクトの特性は、ソース ファイルセットの design_mode プロパティで指定します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

192 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 193: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

関連文書

• create_project

• open_io_design

• set_property

• write_csv

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 193

Page 194: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

read_pxml

PXML ファイルからパーティション定義をインポートします。

構文

read_pxml [-quiet] file

表示結果

なし

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

file × PXML ファイル名を指定します。

説明

パーティション XML ファイルを現在のデザインにインポートします。PXML ファイルには、階

層デザインに関するパーティション情報が含まれます。PXML ファイルは、合成またはインプ

リメンテーション中に PlanAhead で作成されます。また、このファイルは手動で作成できるほ

か、PlanAhead のインストール ディレクトリにある XML テンプレートを使用して作成することも

できます。デザインのパーティションおよび PXML ファイルの作成方法については、『階層デ

ザイン手法ガイド』(UG748) を参照してください。

パーティションはインプリメントするため、または RTL デザインにインポートするために定義し

ておかないと、問題なく合成およびインプリメンテーションで処理されません。このため、開い

た RTL デザインに read_pxml を使用する必要があります。

引数

file - PXML ファイルの名前を指定します。このファイルの名前は xpartition.pxml にしておか

ないと、ファイルを読み込む際に PlanAhead でエラー メッセージが表示されます。

メモ : パスがファイル名の一部として指定されない場合は、現在の作業ディレクトリまたは

PlanAhead が起動されたディレクトリで指定ファイルが検索されます。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次の例では、デザイン階層に関するパーティション情報を含む指定した PXML ファイルを読

み込んでいます。

read_pxml C:/Data/FPGA_Design/pxmlTest.pxml

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

194 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 195: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

関連文書

config_partition

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 195

Page 196: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

read_twx

Trace STA ツールからタイミング結果を読み込みます。

構文

read_twx [-cell arg] [-pblock arg] [-quiet] name file

表示結果

なし

使用法

名前 オプション デフォルト 説明

-cell-cell-cell ○ 指定したセルに対してレポート ファイルの名前を解釈します。

-pblock-pblock-pblock ○ 指定した Pblock に対してレポート ファイルの名前を解釈します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

name × 結果のセット名

file × TRACE インポート ファイルの名前

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

196 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 197: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

read_ucf

ファイルから物理制約をインポートします。

構文

read_ucf [-cell arg] [-quiet] file

表示結果

追加したファイルのリスト

使用法

名前 オプション デフォルト 説明

-cell-cell-cell ○ このセルの制約をインポートします。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

file × ファイル名

説明

ユーザー制約ファイル (UCF) から物理制約をインポートします。UCF は最上位レベルにイン

ポートできるほか (デフォルト)、特定のセル レベルにもインポートできます。最上位レベルにイ

ンポートされると、指定した UCF ファイルがアクティブな制約ファイルセットに追加されます。

このコマンドは、 add_files コマンドと類似しており、UCF はローカルのプロジェクト ディレクトリ

にいポートされるのではなく、参照されて追加されます。

メモ : UCF からの制約は、同じ名前の現在の制約を上書きします。このため、UCF を読み込

む際には重要な制約が上書きされてしまわないように、注意が必要です。

引数

file - インポートする UCF ファイルの名前を指定します。

メモ : パスがファイル名の一部として指定されない場合は、現在の作業ディレクトリまたは

PlanAhead が起動されたディレクトリで指定ファイルが検索されます。

-cell-cell-cell arg - UCF ファイルをインポートする現在のデザインの階層セルの名前を指定します。

制約は指定したブロックに適用され、インポートした UCF ファイルはアクティブな制約ファイ

ルセットには追加されません。

メモ : -cell オプションを指定する場合は、デザインを開いておく必要があります。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次の例では、指定した UCF ファイルをデザインの最上位レベルに読み込んでいます。

read_ucf C:/Data/FPGA_Design/top1.ucf

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 197

Page 198: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

関連文書

• add_files

• write_ucf

• save_design

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

198 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 199: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

read_verilog

1 つまたは複数の Verilog ファイルを読み込みます。

構文

read_verilog [-library arg] [-sv] [-quiet] files ...

表示結果

追加されたファイル オブジェクトのリスト

使用法

名前 オプション デフォルト 説明

-library-library-library ○ work ライブラリ名

-sv-sv-sv ○ システム Verilog コンパイルをイネーブルにします。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

files × Verilog ファイル名

説明

Verilog ソース ファイルを読み込みます。このコマンドは、add_files コマンドとかなり類似してい

ます。Verilog ファイルは読み込まれると、ソース ファイルセットに追加されます。オプションを

指定すると、ファイルには正しく定義されたライブラリ プロパティが付いて追加されます。

引数

-library-library-library arg - Verilog ファイルの参照するライブラリを指定します。デフォルトの Verilog ライブ

ラリは work です。

file - 読み込む Verilog ファイルの名前を指定します。

メモ : パスがファイル名の一部として指定されない場合は、現在の作業ディレクトリまたは

PlanAhead が起動されたディレクトリで指定ファイルが検索されます。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次の例は、指定した Verilog ファイルを読み込んで、ソース ファイルセットに追加しています。

read_verilog C:/Data/FPGA_Design/new_module.v

関連文書

add_files

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 199

Page 200: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

read_vhdl

1 つまたは複数の VHDL ファイルを読み込みます。

構文

read_vhdl [-library arg] [-quiet] files

表示結果

追加されたファイル オブジェクトのリスト

使用法

名前 オプション デフォルト 説明

-library-library-library ○ work vhdl ライブラリ

-quiet-quiet-quiet ○ コマンド エラーを無視します。

files × ファイル名

説明

VHDL ソース ファイルを読み込みます。このコマンドは、add_files コマンドとかなり類似してい

ます。VHDL ファイルは読み込まれると、ソース ファイルセットに追加されます。オプションを

指定すると、ファイルには正しく定義されたライブラリ プロパティが付いて追加されます。

引数

-library-library-library arg - VHDL ファイルの参照するライブラリを指定します。デフォルトの VHDL ライブ

ラリは work です。

file - 読み込む VHDL ファイルの名前を指定します。

メモ : パスがファイル名の一部として指定されない場合は、現在の作業ディレクトリまたは

PlanAhead が起動されたディレクトリで指定ファイルが検索されます。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次の例は、指定した VHDL ファイルを読み込んで、ソース ファイルセットに追加しています。

read_vhdl C:/Data/FPGA_Design/new_module.vhdl

関連文書

add_files

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

200 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 201: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

read_xdl

ファイルから配置情報をインポートします。

構文

read_xdl [-pblock arg] [-cell arg] [-quiet] file

表示結果

なし

使用法

名前 オプション デフォルト 説明

-pblock-pblock-pblock ○ この Pblock の配置をインポートします。

-cell-cell-cell ○ このセルの配置をインポートします。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

file × 配置ファイル名を指定します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 201

Page 202: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

redo

前のコマンドを再実行します。

構文

redo [-list] [-quiet]

表示結果

再実行可能なタスクのリスト (-list を使用した場合)

使用法

名前 オプション デフォルト 説明

-list-list-list ○ 再実行可能なタスクのリストが表示されます。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

202 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 203: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

refresh_design

現在のデザインを最新情報に更新します。

構文

refresh_design [-part arg] [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-part-part-part ○ このパーツに対してデザインを更新します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

説明

ハード ドライブのプロジェクト データから現在のデザインを読み出します。これにより、デザイ

ンのメモリが上書きされ、保存していない変更は反映されなくなります。

引数

-part-part-part arg - 指定したパーツを新しいターゲット パーツとして読み込み直します。これにより、

ハード ドライブのプロジェクト データで指定された制約ファイルのパーツは上書きされます。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

ハード ディスクのプロジェクト データから現在のデザインを読み出します。これにより、メモリ

にある未保存の変更が上書きされます。

refresh_design

デザインに対する一連の変更をやり直して、前に保存した状態のデザインに戻します。

次の例では、指定した Virtex-6 パーツをターゲット デバイスとして使用して現在のデザイン

を更新しています。2 つ目のコマンドは、選択したパーツをアクティブなインプリメンテーショ

ン run のターゲット デバイスにするために必要です。

refresh_design -part xc6vcx75tff784-1set_property part xc6vcx75tff784-1 [get_runs impl_6]

メモ :ターゲット パーツが変更されない場合は、2 つ目のコマンドは必要ありません。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 203

Page 204: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

関連文書

set_property

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

204 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 205: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

reimport_files

期限切れのファイルがあれば、それをインポートし直します。

構文

reimport_files [-force] [-quiet] [files ...]

表示結果

インポートされたファイル オブジェクトのリスト

使用法

名前 オプション デフォルト 説明

-force-force-force ○ ローカル ファイルの方が新しくてもインポートをし直します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

files ○ インポートし直すファイルのリスト。ファイルを指定しない場合は、期限切れプロジェクトのファイルすべてがインポートしなおされます。

説明

プロジェクト ファイルが再インポートされます。これにより、元の参照されたソース ファイルから

ローカルのプロジェクト ファイルがアップデートされます。

引数

-force-force-force - ローカルのプロジェクト ファイルの方が参照先のソース ファイルよりも新しくても、ファ

イルを再インポートします。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

files - 再インポートするファイルのリストを指定します。ファイルを指定しない場合は、プロジェ

クトの期限切れファイルすべてがインポートしなおされます。

次の例では、期限切れやローカル ファイルが参照先ソース ファイルよりも新しいかどうかに関

係なく、すべてのプロジェクト ファイルが再インポートされています。

remiport_files -force

メモ : 上書きされるローカル ファイルの方が新しくても、警告メッセージは表示されません。

次の例では、指定したファイルがプロジェクトに再インポートされています。

reimport_files C:/Data/FPGA_Design/source1.v C:/Data/FPGA_Design/source2.vhdl

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 205

Page 206: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

元のソース ファイルがローカル プロジェクトよりも新しい場合にのみ、2 つの指定したファイ

ルが再インポートされます。

関連文書

• add_files

• import_files

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

206 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 207: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

remove_cells_from_pblock

Pblock からセルを削除します。

構文

remove_cells_from_pblock [-quiet] pblock cells ...

表示結果

なし

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

pblock × セルを削除する Pblock を指定します。

cells × 追加するセルを指定します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 207

Page 208: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

remove_disable_timing

タイミング アークをイネーブルにします。

構文

remove_disable_timing [-from arg] [-to arg] [-quiet] [objects]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-from-from-from ○ 指定したセルのピンからイネーブルになります。

-to-to-to ○ 指定したセルのピンまでイネーブルになります。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

objects ○ cells または pins、ports、lib-cells、lib-pins、libcell/celltiming-arcs のリスト

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

208 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 209: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

remove_files

ファイルセットからファイルまたはディレクトリを削除します。

構文

remove_files [-fileset arg] [-quiet] [files ...]

表示結果

削除されたファイルのリスト

使用法

名前 オプション デフォルト 説明

-fileset-fileset-fileset ○ ファイルセット名を指定します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

files ○ 削除するファイルおよびディレクトリ名を指定します。

説明

指定したファイルセットからファイルを削除します。ファイルは、そのファイルへのフル パスで

指定する必要があります。

引数

-fileset-fileset-fileset arg - ファイルを削除するファイルセットを指定します。-fileset を指定しない場合は、

source_1 ファイルセットから指定したファイルが削除されます。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

files - プロジェクトから削除するファイルを 1 つまたは複数指定します。ファイルは、そのファ

イルへのフル パスで指定する必要があります。

メモ : パスがファイル名の一部として指定されない場合は、現在の作業ディレクトリまたは

PlanAhead が起動されたディレクトリで指定ファイルが検索されます。

次の例では、C:/Design/top.ucf というファイルを制約セット constrs_1 から削除しています。

remove_files -fileset constrs_1 C:/Design/top.ucf

複数のファイルを削除する場合は、次のように指定します。

remove_files -fileset sim_1 top_tb1.vhdl top_tb2.vhdl

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 209

Page 210: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

remove_propagated_clock

伝播されたクロックを削除します。

構文

remove_propagated_clock [-quiet] objects

表示結果

なし

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

objects × クロック、ポート、またはピンのリスト

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

210 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 211: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

reorder_files

アクティブなファイルセットでソース ファイルの順序を変更します。

構文

reorder_files [-fileset arg] [-before arg] [-after arg] [-front][-back] [-auto] [-disable_unused] [-quiet] files ...

表示結果

なし

使用法

名前 オプション デフォルト 説明

-fileset-fileset-fileset ○ 順番を並び替えるファイルセットを指定します。

-before-before-before ○ このファイルの前にリストされたファイルを移動します。

-after-after-after ○ このファイルの後にリストされたファイルを移動します。

-front-front-front ○ リストされたファイルを前に移動します (デフォルト)。

-back-back-back ○ リストされたファイルを後に移動します。

-auto-auto-auto ○ 指定したファイルセットを自動的に並び替えます。

-disable_unused-disable_unused-disable_unused ○ TOP デザイン ユニットに関連していないファイルをすべてディスエーブルにします。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

files × 移動するファイルを指定します。

説明

指定したファイルセットのソース ファイルを並び替えます。指定したファイルを、ファイルセッ

ト内で一番前または後に移動したり、ほかのファイルの前後に移動したりできます。このコマ

ンドには自動並び替え機能もあり、現在の最上位モジュールの要件に基づいてファイルが並

び替えられます。

引数

-fileset-fileset-fileset arg - 並び替えるファイルを含むファイルセットを指定します。 デフォルトは sources_1

ソース ファイルセットです。

-before-before-before arg - 指定したファイルをファイルセットのこのファイルの前に配置します。ファイルは

ファイルセットのフル パスの名前で指定しないと、PlanAhead でファイルが検出されません。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 211

Page 212: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

-after-after-after arg - 指定したファイルをファイルセットのこのファイルの後に配置します。ファイルは

ファイルセットのフル パスの名前で指定しないと、PlanAhead でファイルが検出されません。

-front-front-front - 指定したファイルをファイルセットのファイル リストの一番前に配置します。

-back-back-back - 指定したファイルをファイルセットのファイル リストの一番後に配置します。

-auto-auto-auto - プロジェクトの現在の最上位モジュールの階層要件に基づいて、ファイルが自動的

に並び替えられます。このオプションは、最上位モジュールを set_property top コマンドで変

更した後によく使用されます。

-disable_unused-disable_unused-disable_unused - 最上位モジュールに基づいて階層で現在使用されないファイルをすべて

ディスエーブルにします。このオプションは、最上位モジュールを set_property top コマンドで

変更した後によく使用されます。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

files - ファイルセットで位置を変更するファイルを 1 つまたは複数指定します。これらのファ

イルは、ファイルセットのフル パスの名前で指定される必要があり、ここで指定した順で並び

替えられます。

次の例では、指定したファイルをソース ファイルセットの一番前に移動しています。

reorder_files -front {C:/Data/FPGA/file1.vhdl C:/Data/FPGA/file2.vhdl}

-fileset オプションが使用されていないので、デフォルトのソース ファイルセットが使用されて

います。

次の例では、デザインで新しい top_module を設定し、PlanAhead で自動的に並び替えされた

あと、この新しい top_module の階層に基づいて未使用のファイルがディスエーブルになって

います。

set_property top block1 [current_fileset]reorder_files -auto -disable_unused

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

212 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 213: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

report_clock

クロックをレポートします。

構文

report_clock [-file arg] [-append] [-quiet] [clocks]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-file-file-file ○ ファイルへ結果を出力します。

-append-append-append ○ ファイルに結果が追加されます。上書きはされません。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

clocks ○ * クロックのリスト

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 213

Page 214: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

report_clock_interaction

内部クロック タイミング パスとクロックなしのレジスタについてレポートします。

構文

report_clock_interaction [-delay_type arg] [-setup] [-hold][-significant_digits arg] [-file arg] [-append] [-name arg][-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-delay_type-delay_type-delay_type ○ max パス遅延のタイプ。使用できる値は、max、min、min_maxです。

-setup-setup-setup ○ 最大遅延タイミング パスを考慮します (-delay_type max と同じ)

-hold-hold-hold ○ 最小遅延タイミング パスを考慮します (-delay_type min と同じ)

-significant_digits-significant_digits-significant_digits ○ 2 表示する桁数。範囲は、0 ~13

-file-file-file ○ ファイルへ結果を出力します。

-append-append-append ○ ファイルに結果が追加されます。上書きはされません。

-name-name-name ○ この名前で GUI パネルへ結果を出力します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

214 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 215: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

report_clock_utilization

デザインのクロック ネットに関する情報をレポートします。

構文

report_clock_utilization [-file arg] [-quiet]

表示結果

レポート

使用法

名前 オプション デフォルト 説明

-file-file-file ○ 出力ファイル

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 215

Page 216: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

report_constraint

デザインの制約に関連する情報を表示します。

構文

report_constraint [-file arg] [-append] [-all_violators][-verbose] [-path_type arg] [-max_delay] [-min_delay][-recovery] [-removal] [-clock_gating_setup] [-clock_gating_hold][-max_transition] [-min_transition] [-min_pulse_width][-min_period] [-max_skew] [-significant_digits arg] [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-file-file-file ○ 結果をファイルに出力します。 ファイルを指定しない場合、出力はコンソールに表示されます。

-append-append-append ○ ファイルに結果が追加されます。上書きはされません。

-all_violators-all_violators-all_violators ○ すべての制約違反を表示します。

-verbose-verbose-verbose ○ 詳細情報を表示します。

-path_type-path_type-path_type ○ パスレポートのフォーマット :値: end、slack_only、command、summary、full、full_clock、full_clock_expanded、short (デフォルト : verbose==true の場合は ’full’ else ’slack_only’)

-max_delay-max_delay-max_delay ○ max_delay & セットアップのみ

-min_delay-min_delay-min_delay ○ min_delay & ホールドのみ

-recovery-recovery-recovery ○ 非同期リカバリのみ

-removal-removal-removal ○ 非同期削除のみ

-clock_gating_setup-clock_gating_setup-clock_gating_setup ○ クロック ゲートのセットアップのみ

-clock_gating_hold-clock_gating_hold-clock_gating_hold ○ クロック ゲートのホールドのみ

-max_transition-max_transition-max_transition ○ max_transition のみ

-min_transition-min_transition-min_transition ○ min_transition のみ

-min_pulse_width-min_pulse_width-min_pulse_width ○ min_pulse_width のみ

-min_period-min_period-min_period ○ min_period のみ

-max_skew-max_skew-max_skew ○ max_skew のみ

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

216 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 217: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

名前 オプション デフォルト 説明

-significant_digits-significant_digits-significant_digits ○ 2 表示する桁数。範囲は、0 ~13

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 217

Page 218: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

report_control_sets

デザイン特有の制御セットについてレポートします。

構文

report_control_sets [-file arg] [-verbose] [-sort_by args][-cells args] [-quiet]

表示結果

レポート

使用法

名前 オプション デフォルト 説明

-file-file-file ○ 出力ファイル

-verbose-verbose-verbose ○ 各制御セットの詳細をレポートします。

-sort_by-sort_by-sort_by ○ 詳細が表示される際に分類項目として使用されるオプションをリストします。

-cells-cells-cells ○ 制御セットをレポートするためのセル/BEL インスタンスを指定します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

218 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 219: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

report_debug_core

ChipScope デバッグ コアの詳細をレポートします。

構文

report_debug_core [-file arg] [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-file-file-file ○ 出力ファイル

-quiet-quiet-quiet ○ コマンド エラーを無視します。

説明

現在のプロジェクトのさまざまな ChipScope デバッグ コアおよびこれらのコアのパラメーター

のレポートを記述します。デバッグ コアは、create_debug_core または read_chipscope_cdc コマ

ンドを使用するとプロジェクトに追加できます。

レポートは、Tcl コンソールか STD 出力にデフォルトで表示されますが、必要であれば、結果

をファイルに書き込むこともできます。

引数

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

-file-file-file arg - デバッグ コアのレポートを保存するファイル名を指定します。

メモ : パスがファイル名の一部として指定されない場合は、現在の作業ディレクトリまたは

PlanAhead が起動されたディレクトリの該当ファイルに書き込まれます。

次の例では、デバッグ コアのレポートが指定したディレクトリの指定したファイル名に書き込ま

れます。

report_debug_core C:/Data/FPGA_Design/project_1_cores.txt

関連文書

• create_debug_core

• read_chipscope_cdc

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 219

Page 220: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

report_delay_calculation

セルまたはネット アークの遅延計算の詳細をレポートします。

構文

report_delay_calculation [-file arg] [-append] [-from_pin args][-to_pin args] [-min] [-max] [-significant_digits arg] [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-file-file-file ○ 結果をファイルに出力します。 ファイルを指定しない場合、出力はコンソールに表示されます。

-append-append-append ○ ファイルに結果が追加されます。上書きはされません。

-from_pin-from_pin-from_pin ○ レポート範囲の始まりのピンを指定します。

-to_pin-to_pin-to_pin ○ レポート範囲の終わりのピンを指定します。

-min-min-min ○ 最小遅延計算をレポートします。

-max-max-max ○ 最大遅延計算をレポートします (デフォルト)。

-significant_digits-significant_digits-significant_digits ○ 2 表示する桁数。範囲は、0 ~13

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

220 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 221: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

report_disable_timing

ディスエーブルにしたタイミング アークをレポートします。

構文

report_disable_timing [-file arg] [-append] [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-file-file-file ○ 結果をファイルに出力します。 ファイルを指定しない場合、出力はコンソールに表示されます。

-append-append-append ○ ファイルに結果が追加されます。上書きはされません。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 221

Page 222: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

report_drc

DRC を実行します。

構文

report_drc [-name arg] [-rules args] [-file arg] [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-name-name-name ○ この名前で GUI パネルへ結果を出力します。

-rules-rules-rules ○ DRC 規則

-file-file-file ○ DRC レポート ファイル

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

222 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 223: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

report_io

デバイスのすべての IO サイトに関する情報を表示します。

構文

report_io [-file arg] [-quiet]

表示結果

レポート

使用法

名前 オプション デフォルト 説明

-file-file-file ○ 出力ファイル

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 223

Page 224: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

report_min_pulse_width

最小パルス幅チェックをレポートします。

構文

report_min_pulse_width [-file arg] [-append] [-path_type arg][-significant_digits arg] [-input_pins] [-verbose] [-quiet][objects]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-file-file-file ○ 結果をファイルに出力します。 ファイルを指定しない場合、出力はコンソールに表示されます。

-append-append-append ○ ファイルに結果が追加されます。上書きはされません。

-path_type-path_type-path_type ○ full パス レポートのフォーマット値 : end、slack_only、command、summary、full 、 full_clock、full_clock_expanded、short

-significant_digits-significant_digits-significant_digits ○ 2 表示する桁数。範囲は、0 ~13

-input_pins-input_pins-input_pins ○ パスの入力ピンを表示します。

-verbose-verbose-verbose ○ 詳細情報を表示します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

objects ○ 最小パルス幅をチェックするオブジェクトのリスト

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

224 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 225: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

report_param

すべてのパラメーターに関する情報を表示します。

構文

report_param [-quiet] [pattern]

表示結果

パラメータ レポート

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

pattern ○ * パターンに一致するパラメーターを表示します。

説明

ユーザーが定義可能なパラメーターのリスト、現在の値、パラメーターのコンフィギュレーショ

ンまたは制御内容をリストします。

引数

patterns - 指定したパターンとパラメーターを一致させます。デフォルトのパターンはワイルド

カード (*) で、すべてのユーザー定義可能なパラメーターが表示されます。複数のパターン

を指定して、異なる検索条件のパラメーターを複数検索することができます。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次の例では、すべてのユーザー定義可能なパラメーターの名前、値、説明が表示されます。

report_param *

関連文書

• get_param

• list_param

• set_param

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 225

Page 226: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

report_power

電力概算を実行し、レポートを表示します。

構文

report_power [-verbose] [-hierarchy] [-levels arg] [-file arg][-results arg] [-format arg] [-object_list args] [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-verbose-verbose-verbose ○ 詳細なリソース概算をレポートします。

-hierarchy-hierarchy-hierarchy ○ 消費電力を階層別にレポートします。

-levels-levels-levels ○ 1 レポートされる階層レベル数

値 = 1

-file-file-file ○ ファイルへ結果を出力します。

-results-results-results ○ 結果を保存する名前を指定します。

-format-format-format ○ table 消費電力レポートのフォーマット : table、xml

-object_list-object_list-object_list ○ オブジェクト

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

226 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 227: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

report_property

オブジェクトのプロパティをレポートします。

構文

report_property [-all] [-return_string] [-quiet] object

表示結果

プロパティ レポート

使用法

名前 オプション デフォルト 説明

-all-all-all ○ 設定していないものも含めてオブジェクトのプロパティすべてをレポートします。

-return_string-return_string-return_string ○ Tcl インタープリターの result変数に report_property の実行結果を設定します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

object × プロパティを示すオブジェクトを指定します。

説明

このコマンドはオブジェクトを入力として使用して、そのオブジェクトのプロパティすべてに関

する情報を表示します。この情報には、プロパティ名、プロパティ タイプ、プロパティ値が含ま

れます。

メモ : list_property でもオブジェクトのプロパティ リストは表示されますが、プロパティ タイプと

値が含まれない点が異なります。

引数

-all-all-all - プロパティ値が定義されていなくても、オブジェクトのプロパティすべてが表示されます。

object - プロパティをレポートするオブジェクトの 1 つを指定します。

メモ : 複数のオブジェクトを指定すると、エラー メッセージが表示されます。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次の例では、指定したオブジェクトのプロパティすべてが表示されます。

report_property -all [get_cells cpuEngine]

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 227

Page 228: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

関連文書

• get_cells

• list_property

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

228 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 229: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

report_resources

リソース概算を実行し、レポートを表示します。

構文

report_resources [-verbose] [-hierarchy] [-levels arg][-file arg] [-format arg] [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-verbose-verbose-verbose ○ 詳細なリソース概算をレポートします。

-hierarchy-hierarchy-hierarchy ○ 階層ごとに概算をレポートします。

-levels-levels-levels ○ 1 レポートされる階層レベル数

値 = 1

-file-file-file ○ ファイルへ結果を出力します。

-format-format-format ○ table リソース概算レポートのフォーマット : table、xml

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 229

Page 230: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

report_route_status

配線のステータスをレポートします。デフォルトでは、物理ネットの総数、および配線済みネッ

ト、未配線のネット、部分的に配線済みのネットの数がレポートされます。配線オブジェクトを

指定すると、各ノードの名前と接続を含むその配線オブジェクトの接続がレポートされます。

構文

report_route_status [-of_objects args] [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-of_objects-of_objects-of_objects ○ これらの配線オブジェクトの詳細な配線情報についてレポートします。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

230 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 231: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

report_ssn

現在のパッケージおよびピン配置で SSN 解析を実行します。

構文

report_ssn -name arg [-file arg] [-quiet]

表示結果

SSN レポート

使用法

名前 オプション デフォルト 説明

-name-name-name × この名前で GUI パネルへ結果を出力します。

-file-file-file ○ 出力ファイル名

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 231

Page 232: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

report_sso

現在のパッケージおよびピン配置で WASSO 解析を実行します。

構文

report_sso -name arg [-file arg] [-board_thickness arg][-via_diameter arg] [-pad_to_via_breakout_length arg][-breakout_width arg] [-other_pcb_inductance arg][-socket_inductance arg] [-ground_bounce arg] [-output_cap arg][-quiet]

表示結果

SSO レポート

使用法

名前 オプション デフォルト 説明

-name-name-name × この名前で GUI パネルへ結果を出力します。

-file-file-file ○ 出力ファイル名

-board_thickness-board_thickness-board_thickness ○ PCB の厚さをミリ単位で指定します。

-via_diameter-via_diameter-via_diameter ○ ビア直径をミリ単位で指定します。

-pad_to_via_breakout_length-pad_to_via_breakout_length-pad_to_via_breakout_length ○ ミリ単位でパッドからビア ブレークアウトの長さを指定します。

-breakout_width-breakout_width-breakout_width ○ ミリ単位でブレークアウト幅を指定します。

-other_pcb_inductance-other_pcb_inductance-other_pcb_inductance ○ ナノヘンリー単位でほかのPCB 寄生インダクタンスを指定します。

-socket_inductance-socket_inductance-socket_inductance ○ ナノヘンリー単位でソケット インダクタンスを指定します。

-ground_bounce-ground_bounce-ground_bounce ○ ミリボルト単位で最大グランドバウンスを指定します。

-output_cap-output_cap-output_cap ○ ピコファラッド単位で各出力ドライバのキャパシタンスを指定します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

232 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 233: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

report_stats

統計をレポートします。

構文

report_stats [-file arg] [-cell arg] [-pblock arg][-clock_region arg] [-format arg] [-level arg] [-all][-tables args] [-quiet]

表示結果

レポート

使用法

名前 オプション デフォルト 説明

-file-file-file ○ 出力されるファイル名を指定します。 指定しない場合は、コンソールに表示されます。

-cell-cell-cell ○ 指定したセルの統計を記述します。

-pblock-pblock-pblock ○ 指定した Pblock の統計を記述します。

-clock_region-clock_region-clock_region ○ 指定したクロック領域の統計を記述します。

-format-format-format ○ TABLE レポート フォーマットを指定します。使用できる値は、TABLE、CSV、XML です。

-level-level-level ○ 1 レベルをレポートします (-cellまたは -pblock と共に使用)

-all-all-all ○ すべてのレベルをレポートします (-cell または -pblock と共に使用)

-tables-tables-tables ○ 表のタイプを指定します。使用できる値は、rtlMacro、rtlPrimitive、rtlHierarchy、rtlMemory、rtlPower、rtlPower2、primitive、netBoundary、carryChain、physicalResource、io、RPM、clock、PRModule、PRModule、pblockOverlap、ioBank です。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 233

Page 234: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

report_timing

タイミング パスをレポートします。

構文

report_timing [-from args] [-rise_from args] [-fall_from args][-to args] [-rise_to args] [-fall_to args] [-through args][-rise_through args] [-fall_through args] [-delay_type arg][-setup] [-hold] [-max_paths arg] [-nworst arg][-path_type arg] [-input_pins] [-nets] [-slack_less_than arg][-slack_greater_than arg] [-group args] [-sort_by arg][-significant_digits arg] [-no_report_unconstrained] [-file arg][-append] [-match_style arg] [-name arg] [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-from-from-from ○ レポート範囲の始まり (ピン、ポート、クロック) を指定します。

-rise_from-rise_from-rise_from ○ ピン、ポート、またはクロックからの立ち上がりを指定します。

-fall_from-fall_from-fall_from ○ ピン、ポート、またはクロックからの立ち下がりを指定します。

-to-to-to ○ レポート範囲の終わり (ピン、ポート、クロック) を指定します。

-rise_to-rise_to-rise_to ○ 立ち上がりの終わるピン、ポート、またはクロックを指定します。

-fall_to-fall_to-fall_to ○ 立ち下がりの終わるピン、ポート、またはクロックを指定します。

-through-through-through ○ レポート範囲の通過ポイント(ピン、ポート、クロック) を指定します。

-rise_through-rise_through-rise_through ○ 立ち上がりの途中のピン、ポート、またはクロックを指定します。

-fall_through-fall_through-fall_through ○ 立ち下がりの途中のピン、ポート、クロックを指定します。

-delay_type-delay_type-delay_type ○ max パス遅延のタイプ。値は、max、min、min_max、max_rise、max_fall、min_rise、min_fall です。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

234 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 235: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

名前 オプション デフォルト 説明

-setup-setup-setup ○ 最大遅延タイミング パスをレポートします (-delay_type maxと同じ)

-hold-hold-hold ○ 最小遅延タイミング パスをレポートします (-delay_type minと同じ)

-max_paths-max_paths-max_paths ○ 1 出力されるパス グループごとのパスの最大数。値 = 1 です。

-nworst-nworst-nworst ○ 1 エンドポイントまでの N ワーストパスをリストします。値 =1 です。

-path_type-path_type-path_type ○ full_clock_expanded パス レポートのフォーマット :使用できる値は、end、summary、short、full、full_clock、full_clock_expandedです。

-input_pins-input_pins-input_pins ○ パスの入力ピンを表示します。

-nets-nets-nets ○ ネット名をリストします。

-slack_less_than-slack_less_than-slack_less_than ○ 1e+30 これよりも少ないスラックのパスを表示します。

-slack_greater_than-slack_greater_than-slack_greater_than ○ -1e+30 これよりも多いスラックのパスを表示します。

-group-group-group ○ このグループのパスに対するレポートを制限します。

-sort_by-sort_by-sort_by ○ slack パスの並び替え順。使用できる値は、group、slack です。

-significant_digits-significant_digits-significant_digits ○ 3 表示する桁数。範囲は、0 ~13

-no_report_unconstrained-no_report_unconstrained-no_report_unconstrained ○ 制約を設定していないパスはレポートしません。

-file-file-file ○ ファイルへ結果を出力します。

-append-append-append ○ ファイルに結果が追加されます。上書きはされません。

-match_style-match_style-match_style ○ ucf パターン一致のスタイル。有効な値は ucf、sdc です。

-name-name-name ○ この名前で GUI パネルへ結果を出力します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 235

Page 236: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

report_transformed_primitives

Unisim プリミティブ変換の詳細をレポートします。

構文

report_transformed_primitives [-file arg] [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-file-file-file ○ 出力ファイル

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

236 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 237: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

report_ucf_timing

タイミング パスをレポートします。

構文

report_ucf_timing [-file arg] [-append] [-name arg][-speed arg] [-from args] [-to args] [-thru args] [-min_max arg][-transition arg] [-sort_by arg] [-interconnect_type arg][-maxpaths arg] [-nworst arg] [-required_times] [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-file-file-file ○ ファイルへ結果を出力します。

-append-append-append ○ ファイルに結果が追加されます。上書きはされません。

-name-name-name ○ results_1 この名前で GUI パネルへ結果を出力します。

-speed-speed-speed ○ スピード グレード名を指定します。

-from-from-from ○ 開始ピンまたはインスタンス名でフィルタします。

-to-to-to ○ 終了ピンまたはインスタンス名でフィルタします。

-thru-thru-thru ○ 中間ピンまたはインスタンス名でフィルタします。

-min_max-min_max-min_max ○ max (セットアップ) または min(ホールド) タイミング解析を実行します。

-transition-transition-transition ○ 立ち上がりまたは立ち下がり遷移をレポートします。

-sort_by-sort_by-sort_by ○ 並び替えの基準を指定します。

-interconnect_type-interconnect_type-interconnect_type ○ インターコネクトの遅延タイプ

-maxpaths-maxpaths-maxpaths ○ 1 多くのパスのレポートをこの数に制限します。

-nworst-nworst-nworst ○ 1 エンドポイントごとに多くのパスのレポートをこの数に制限します

-required_times-required_times-required_times ○ すべての必要な時間を計算します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 237

Page 238: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

report_utilization

デバイス使用率を計算し、レポートを表示します。

構文

report_utilization [-file arg] [-append] [-pblocks args][-cells args] [-quiet]

表示結果

レポート

使用法

名前 オプション デフォルト 説明

-file-file-file ○ 出力ファイル

-append-append-append ○ ファイルに結果が追加されます。上書きはされません (-fileと一緒に使用します)。

-pblocks-pblocks-pblocks ○ 指定した Pblock のリストの使用率がレポートされます。

-cells-cells-cells ○ 指定したセルのリストの使用率がレポートされます。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

238 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 239: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

reset_default_switching_activity

デフォルト タイプのスイッチ動作をリセットします。

構文

reset_default_switching_activity [-static_probability][-toggle_rate] -type args [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-static_probability-static_probability-static_probability ○ スタティックの可能性をリセットします。

-toggle_rate-toggle_rate-toggle_rate ○ トグル レートをリセットします。

-type-type-type × ベクターなしの伝播エンジンで指定したタイプのデフォルトの値をリセットします。

有効なデフォルト タイプの値 :input、input_set、input_reset、input_enable、register、dsp、bram_read_enable、bram_write_enable、output_enable、clock、all

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 239

Page 240: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

reset_drc

DRC を削除します。

構文

reset_drc [-quiet] [name]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

name ○ DRC 結果名

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

240 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 241: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

reset_ip

コンフィギャブル IP をリセットします。

構文

reset_ip [-srcset arg] -files args [-quiet]

表示結果

リセットされたファイルのリスト

使用法

名前 オプション デフォルト 説明

-srcset-srcset-srcset ○ ソース セット名を指定します。

-files-files-files × リセットされる IP ソース ファイルを指定します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 241

Page 242: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

reset_msg_limit

メッセージ数制限をリセットします。

構文

reset_msg_limit [-type arg] [-id arg] [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-type-type-type ○ ALL 「ERROR」または「CRITICALWARNING」など、リセットするメッセージ タイプを指定します。

-id-id-id ○ common-99 などのリセットするメッセージ ID を指定します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

説明

このコマンドは、PlanAhead で表示されるメッセージのデフォルトの制限を元に戻します。特定

のメッセージ ID、特定のメッセージ ID や重要度、または PlanAhead によるすべてのメッセー

ジのデフォルトの制限を元に戻すために使用します。表示されるすべてのメッセージの現在

のデフォルト制限は、4,294,967,295 です。

デフォルトでは、すべてのメッセージに対するメッセージ制限数が表示されます。指定したタ

イプのメッセージのみや、指定したメッセージ ID のみの制限数を表示することもできます。た

とえば、次は PlanAhead で表示されるメッセージの例です。

INFO: [common-99] This is an example INFO message

CRITICAL WARNING: [Netlist-1129] This message is a CRITICAL WARNING and requires

user attention

メモ : 特定のメッセージ ID のメッセージ タイプや重要度は set_msg_severity コマンドで変更

できます。

引数

-id-id-id value - 指定した ID のメッセージが PlanAhead のメッセージ ビューやほかのレポートに表

示されます。このコマンドの値には、特定のメッセージ ID を使用します。上記の例の場合、

メッセージ ID は common-99 および Netlist-1129 になります。

-type-type-type value - メッセージのタイプまたは重要度を指定します。これは、次の 5 つのメッセージ

タイプのいずれかになります。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

242 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 243: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

ERRORERRORERROR - ユーザーの操作がないとデザインが使用できなくなったり、回避できないような問題

が発生していることを示すエラー メッセージです。

{CRITICAL{CRITICAL{CRITICAL WARNING}WARNING}WARNING} - 入力や制約に使用されないものがあったり、FPGA ファミリには適

していないものがあることを示すクリティカル警告メッセージです。ユーザーの修正が強く推

奨されます。

メモ : これは 2 単語の値なので、{ } で囲む必要があります。

WARNINGWARNINGWARNING - 制約または仕様が指定どおりに適用されないために、デザインが最適な結果に

ならない可能性を示す警告メッセージです。修正しても、後で修正してもかまいません。

INFOINFOINFO - STATUS メッセージと同じですが、重要度とメッセージ ID タグが含まれる点が異な

ります。INFO メッセージには、必要であればアンサー データベースで確認できるようにメッ

セージ ID が含まれます。

STATUSSTATUSSTATUS - デザインのプロセスに関するプロセスおよびフィードバックのステータスをユーザー

に伝達するために表示されるステータス メッセージです。STATUS メッセージには、メッセー

ジ ID が含まれません。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次の例では、すべてのメッセージのデフォルト制限をリセットします。

reset_msg_limit

メモ : デフォルト制限値は 4,294,967,295 です。

次の例では、指定したメッセージ ID のメッセージ制限がリセットされます。

reset_msg_limit -id Netlist-1129

関連文書

• get_msg_limit

• set_msg_limit

• set_msg_severity

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 243

Page 244: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

reset_msg_severity

メッセージの重要度を ID 別にリセットします。

構文

reset_msg_severity [-quiet] id

表示結果

なし

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

id × 「common-99」などの特定のメッセージ ID を指定します。

説明

指定したメッセージ ID の重要度を PlanAhead のデフォルト設定に戻します。set_msg_severity

の後にこのコマンドを使用すると、特定のメッセージ ID の重要度を元のレベルに戻すことが

できます。

引数

-id-id-id value - 指定した ID のメッセージが PlanAhead のメッセージ ビューやほかのレポートに表

示されます。特定メッセージのメッセージ ID を指定して、その重要度を元に戻します。たとえ

ば、次は異なる条件下で表示されるメッセージの例です。

INFO: [common-99] This is an example INFO message

CRITICAL WARNING: [Netlist-1129] This message is a CRITICAL WARNING and requires

user attention

上記の例の場合、メッセージ ID は common-99 および Netlist-1129 になります。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次の例では、common-99 というメッセージ ID の重要度が元に戻されます。

reset_msg_severity -id common-99

次の例では、Netlist-1129 というメッセージ ID の重要度がリセットされます。

reset_msg_severity -id Netlist-1129

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

244 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 245: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

関連文書

set_msg_severity

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 245

Page 246: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

reset_operating_conditions

動作条件を電力概算のデフォルトにリセットします。

構文

reset_operating_conditions [-voltage args] [-grade] [-process][-junction_temp] [-ambient_temp] [-thetaja] [-thetasa] [-airflow][-heatsink] [-thetajb] [-board] [-board_temp] [-board_layers][-all] [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-voltage-voltage-voltage ○ 電圧値をリセットします。サポートされる電圧は、ファミリによって異なります。

-grade-grade-grade ○ 温度グレードをリセットします。

-process-process-process ○ プロセスをリセットします。

-junction_temp-junction_temp-junction_temp ○ ジャンクション温度をリセットします。

-ambient_temp-ambient_temp-ambient_temp ○ 周囲温度をリセットします。

-thetaja-thetaja-thetaja ○ ThetaJA をリセットします。

-thetasa-thetasa-thetasa ○ ThetaSA をリセットします。

-airflow-airflow-airflow ○ エアフローをリセットします。

-heatsink-heatsink-heatsink ○ ヒートシンクのサイズをリセットします。

-thetajb-thetajb-thetajb ○ ThetaJB をリセットします。

-board-board-board ○ ボード タイプをリセットします。

-board_temp-board_temp-board_temp ○ ボード温度をリセットします。

-board_layers-board_layers-board_layers ○ ボード レイヤーをリセットします。

-all-all-all ○ このコマンド ラインにリストされるすべての動作条件をリセットします。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

246 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 247: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

reset_path

1 サイクルのビヘイビアに指定パスをリセットします。

構文

reset_path [-setup] [-hold] [-rise] [-fall] [-from args][-rise_from args] [-fall_from args] [-to args] [-rise_to args][-fall_to args] [-through args] [-rise_through args][-fall_through args] [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-setup-setup-setup ○ パスのセットアップ タイミング解析をリセットします。

-hold-hold-hold ○ パスのホールド タイミング解析をリセットします。

-rise-rise-rise ○ 定義済みパスの立ち上がり遅延のみをリセットします。

-fall-fall-fall ○ 定義済みパスの立ち下がり遅延のみをリセットします。

-from-from-from ○ パスの開始点またはクロックのリストを指定します。

-rise_from-rise_from-rise_from ○ 開始点またはクロックのリストから立ち上がるパスに適用されます。

-fall_from-fall_from-fall_from ○ 開始点またはクロックのリストから立ち下がるパスに適用されます。

-to-to-to ○ パスの終了点またはクロックのリストを指定します。

-rise_to-rise_to-rise_to ○ 終了点またはクロックのリストで立ち上がるパスに適用されます。

-fall_to-fall_to-fall_to ○ 終了点またはクロックのリストで立ち下がるパスに適用されます。

-through-through-through ○ スルー ピン、セル、またはネットのリストを指定します。

-rise_through-rise_through-rise_through ○ 立ち上がりスルー ピン、セル、またはネットに適用されます。

-fall_through-fall_through-fall_through ○ 立ち下がりスルー ピン、セル、またはネットに適用されます。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 247

Page 248: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

248 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 249: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

reset_run

既存の run をリセットします。

構文

reset_run [-noclean_dir] [-quiet] run

表示結果

なし

使用法

名前 オプション デフォルト 説明

-noclean_dir-noclean_dir-noclean_dir ○ ディスクからすべての出力ファイルおよびディレクトリを削除しません。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

run × 変更する run を指定します。

説明

指定した run をインプリメントまたは合成前のステートにリセットします。このコマンドは、run を

リセットして再実行できるようにするために使用します。

引数

-noclean_dir-noclean_dir-noclean_dir - run ディレクトリのファイルが削除されなくなります。run をリセットすると、その

run が再インプリメントされる際にきれいな状態の run にするため、デフォルトでは run ディレ

クトリとそのディレクトリのすべてのファイルが削除されます。このオプションを使用すると、run

をリセットする際にその run のディレクトリと内容が削除されなくなります。この場合、run を再

インプリメントすると、プロジェクトの run ディレクトリに新しい run ディレクトリが作成されます。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

run - リセットする run を指定します。

次の例では、インプリメンテーション run がリセットされています。

reset_run impl_1

-noclean_dir オプションが指定されていないので、run をリセットしても run ディレクトリとその内容がハード ディスクから削除されます。

次の例では、合成 run をリセットしていますが、run ディレクトリは削除されません。

reset_run -noclean_dir synth_1

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 249

Page 250: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

この場合、synth_1 run ディレクトリは削除されないので、run を実行しなおすと、synth_1_2 とい

う新しい run ディレクトリが作成されます。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

250 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 251: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

reset_ssn

メモリから SSN 結果を一掃します。

構文

reset_ssn [-quiet] name

表示結果

なし

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

name × 結果のセット名

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 251

Page 252: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

reset_sso

メモリから WASSO 結果を一掃します。

構文

reset_sso [-quiet] name

表示結果

なし

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

name × 結果のセット名

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

252 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 253: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

reset_switching_activity

指定したオブジェクトのスイッチ動作をリセットします。

構文

reset_switching_activity [-static_probability] [-signal_rate][-hier] -object_list args [-verbose] [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-static_probability-static_probability-static_probability ○ スタティックの可能性をリセットします。

-signal_rate-signal_rate-signal_rate ○ 信号レートをリセットします。

-hier-hier-hier ○ -object_list オプションで指定された階層インスタンスのスイッチ動作をリセットします。このオプションには、必ず-object_list オプションを使用する必要があります。

-object_list-object_list-object_list × オブジェクト

-verbose-verbose-verbose ○ 詳細モード

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 253

Page 254: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

reset_timing

現在のデザインのタイミング情報をリセットします。

構文

reset_timing [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

254 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 255: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

reset_ucf

ファイルから読み込んだフロアプラン制約を一掃します。

構文

reset_ucf [-quiet] file

表示結果

なし

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

file × ファイル名

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 255

Page 256: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

resize_pblock

UCF の範囲を移動、サイズ変更、追加、削除します。

構文

resize_pblock [-add args] [-remove args] [-from args] [-to args][-replace] [-locs arg] [-quiet] pblock

表示結果

なし

使用法

名前 オプション デフォルト 説明

-add-add-add ○ サイト範囲を追加します。

-remove-remove-remove ○ サイト範囲を削除します。

-from-from-from ○ 移動するサイト範囲を指定します。

-to-to-to ○ サイト範囲の移動先を指定します。

-replace-replace-replace ○ すべての既存の範囲を削除します。

-locs-locs-locs ○ keep_all LOC の処理方法を指定します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

pblock × サイズを変更する Pblock を指定します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

256 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 257: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

save_design

現在のデザインを保存します。

構文

save_design [-force] [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-force-force-force ○ デザインを強制的に保存します。必要であれば、ターゲットの UCF に上書きします。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

説明

アクティブな制約セットの制約ファイルへの変更を保存します。このコマンドにより、制約ファ

イルへのすべての変更がハード ドライブのプロジェクト データに書き込まれ、作業中の動作

および変更がすべて保存されます。

引数

-force-force-force - 変更があったかどうかに関係なくアクティブな制約ファイルが保存されます。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次の例では、ファイルへの変更に関係なく、アクティブな制約セットの制約ファイルが保存さ

れます。

save_design -force

関連文書

save_design_as

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 257

Page 258: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

save_design_as

現在のデザインを新しい制約セットとして保存します。

構文

save_design_as [-dir arg] [-quiet] name

表示結果

なし

使用法

名前 オプション デフォルト 説明

-dir-dir-dir ○ ローカル ファイルすべてをこのディレクトリに保存します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

name × 新しい制約ファイルセットの名前を指定します。

説明

アクティブな制約セットとこの制約ファイルに含まれる制約ファイルのローカル コピーを新しい

制約セットとして保存します。デザイン名はこのコマンドでは変更されません。

このコマンドは、現在の制約ファイルに影響なく、デザインの制約に変更を保存するために使

用します。これにより、デザイン制約をさまざまな仮定条件で開発することができます。

メモ : save_design_as コマンドで作成された新しい制約セットは、参照はされますが、アクティ

ブにはなりません。この制約セットをアクティブにするには、constrset プロパティを特定 run の

新しい制約セットを指定するように設定する必要があります。例を参照してください。

引数

-dir-dir-dir arg - 制約ファイルを保存するディレクトリを指定します。アクティブな制約セットからの制

約ファイルは、新しいコピーで保存された現在の制約ファイルからの相対パスを使用して、指

定したディレクトリにコピーされます。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

name - 書き込む制約セットの名前を指定します。

次の例では、アクティブな制約セットが newCon という新しい制約セットに保存され、この制約

セットの制約ファイルすべてが指定したディレクトリにコピーされます。

save_design_as -dir C:/Data/con1 newCon

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

258 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 259: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

次の例では、アクティブな制約セットが newCon2 という新しい制約セットに保存され、制約ファ

イルすべてがプロジェクト ソースの下の newCon2 制約ディレクトリにコピーされます。この後、

指定した合成 run およびインプリメンテーション run の constrset プロパティが、新しい制約

セットを指定するように設定されています。

save_design_as newCon2et_property constrset newCon2 [get_runs synth_1]et_property constrset newCon2 [get_runs impl_1]

メモ : 制約セットは、現在の run に対してアクティブに設定されない限り、アクティブにはなり

ません。

関連文書

save_design

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 259

Page 260: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

save_project_as

現在のプロジェクトを新しい名前で保存します。

構文

save_project_as [-force] [-quiet] name dir

表示結果

保存したプロジェクト オブジェクト

使用法

名前 オプション デフォルト 説明

-force-force-force ○ 既存のプロジェクト ディレクトリを上書きします。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

name × 保存するプロジェクトの新しい名前

dir × プロジェクト ファイルが保存されるディレクトリ

説明

このコマンドを実行すると、現在開いている PlanAhead プロジェクト ファイルが指定したディ

レクトリに新しい名前で保存されます。

引数

name - この引数はパラメーター名を必要としませんが、指定した dir よりも前に記述する必要

があります。 パラメーターがないので、最初の引数は name、2 つ目の引数は dir として認識

されます。 プロジェクト ファイルは、name.ppr という名前で保存され、指定したディレクトリ dir

に書き込まれます。

dir - 新しいプロジェクト ファイルを書き込む先のディレクトリ名を指定します。指定したディレ

クトリが存在しない場合は、その名前の新しいディレクトリが作成されます。ディレクトリを完全

なパスで指定すると、その指定したパス名が使用されます。ただし、dir がパスなしに指定さ

れると、現在の作業ディレクトリ内か PlanAhead の起動ディレクトリでそのディレクトリが検索さ

れるか、作成されます。

メモ : プロジェクトを GUI モードで作成すると、ファイル名 name にディレクトリ名 dir が付き、

dir/name という名前のプロジェクト ディレクトリが作成され、新しいプロジェクト ファイルとプロ

ジェクト データ フォルダーがこのプロジェクト ディレクトリに保存されます。

-force-force-force - 既存のプロジェクトを上書きする場合に必要なオプションです。指定した dir でプロ

ジェクト名が既に定義されている場合は、-force-force-force オプションを使用して既存のプロジェクトを上

書きする必要があります。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

260 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 261: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

メモ : 既存プロジェクトを現在 PlanAhead で開いている場合、新しいプロジェクトでディスクの

既存プロジェクトが上書きされますが、両方のプロジェクトとも PlanAhead で開いたままにでき

ます。この場合、create_projectcreate_projectcreate_project を実行する前に close_projectclose_projectclose_project コマンドを実行しておく必要の

あることがあります。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次の例では、アクティブ プロジェクトが myProjectDir というディレクトリに myProject という新し

いプロジェクト名で保存されます。

save_project_as myProject myProjectDir

メモ : dir はフォルダー名としてのみ指定されているので、プロジェクトは現在の作業ディレク

トリか PlanAhead の起動ディレクトリに作成されます。

次の例では、現在のプロジェクトが C:/Designs/myProjectDir というディレクトリに myProject と

いう新しいプロジェクト名で保存されます。-force オプションを使用すると、指定したディレクト

リに同名のプロジェクトがある場合はそれが上書きされます。

save_project_as myProject C:/Designs/myProjectDir -force

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 261

Page 262: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

select_objects

GUI でオブジェクトを選択します。

構文

select_objects [-quiet] objects

表示結果

なし

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

objects × 選択するオブジェクト

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

262 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 263: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

set_case_analysis

入力を 1、0、rising、falling のいずれかに指定します。

構文

set_case_analysis [-quiet] [value] objects

表示結果

なし

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

value ○ 1 ピンの論理値。使用できる値は、0、1、rising、falling、zero、one、rise、fall です。

objects × ポートまたはピンのリスト

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 263

Page 264: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

set_clock_gating_check

クロック ゲート付きチェックをキャプチャします。

構文

set_clock_gating_check [-setup arg] [-hold arg] [-rise] [-fall][-high] [-low] [-quiet] [objects]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-setup-setup-setup ○ 0 クロック ゲート付きセットアップ タイム値 = 0 です。

-hold-hold-hold ○ 0 クロック ゲート付きホールドタイム値 = 0 です。

-rise-rise-rise ○ 定義済みチェックのの立ち上がり値のみを指定します。

-fall-fall-fall ○ 定義済みチェックのの立ち下がり値のみを指定します。

-high-high-high ○ クロック波形の High に対するチェックを指定します。

-low-low-low ○ クロック波形の Low に対するチェックを指定します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

objects ○ クロック、ポート、ピン、またはセルのリスト

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

264 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 265: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

set_clock_groups

専用または非同期のクロック グループを設定します。

構文

set_clock_groups [-name arg] [-logically_exclusive][-physically_exclusive] [-asynchronous] [-allow_paths][-group args] [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-name-name-name ○ クロック グループの名前を指定します。

-logically_exclusive-logically_exclusive-logically_exclusive ○ 論理的に排他的なクロック グループを指定します。

-physically_exclusive-physically_exclusive-physically_exclusive ○ 物理的に排他的なクロック グループを指定します。

-asynchronous-asynchronous-asynchronous ○ 非同期クロック グループを指定します。

-allow_paths-allow_paths-allow_paths ○ 制約どおりにパスを維持します。

-group-group-group ○ クロック リストを指定します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 265

Page 266: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

set_clock_latency

実際または予測済みのクロック レイテンシをキャプチャします。

構文

set_clock_latency [-clock args] [-rise] [-fall] [-min] [-max][-source] [-late] [-early] [-quiet] delay objects

表示結果

なし

使用法

名前 オプション デフォルト 説明

-clock-clock-clock ○ 関連するクロックのリスを指定します。

-rise-rise-rise ○ クロックの立ち上がりレイテンシを指定します。

-fall-fall-fall ○ クロックの立ち下がりレイテンシを指定します。

-min-min-min ○ クロックの立ち上がりおよび立ち下がりの最小状態のレイテンシを指定します。

-max-max-max ○ クロックの立ち上がりおよび立ち下がりの最大状態のレイテンシを指定します。

-source-source-source ○ クロックの立ち上がりおよび立ち下がりのソース レイテンシを指定します。

-late-late-late ○ クロックの立ち上がりおよび立ち下がりの後期ソース レイテンシを指定します。

-early-early-early ○ クロックの立ち上がりおよび立ち下がりの早期ソース レイテンシを指定します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

delay × クロック レイテンシ

objects × クロック、ポート、またはピンのリスト

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

266 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 267: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

set_clock_sense

ポートまたはピンのクロック エッジを設定します。

構文

set_clock_sense [-positive] [-negative] [-stop_propagation][-pulse arg] [-clocks args] [-quiet] [pins]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-positive-positive-positive ○ 正ユネイト (反転なし) のクロック エッジを指定します。

-negative-negative-negative ○ 負ユネイト (反転あり) のクロック エッジを指定します。

-stop_propagation-stop_propagation-stop_propagation ○ 指定したピンからのクロック伝搬を停止します。

-pulse-pulse-pulse ○ パルス クロック エッジを指定します。

-clocks-clocks-clocks ○ クロック リストを指定します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

pins ○ ポート/ピンのリストを指定します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 267

Page 268: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

set_clock_transition

予測済みクロック遷移をキャプチャします。

構文

set_clock_transition [-rise] [-fall] [-min] [-max][-quiet] transition clocks

表示結果

なし

使用法

名前 オプション デフォルト 説明

-rise-rise-rise ○ クロックの立ち上がり遷移を指定します。

-fall-fall-fall ○ クロックの立ち下がり遷移を指定します。

-min-min-min ○ クロックの立ち上がりおよび立ち下がりの最小遷移を指定します。

-max-max-max ○ クロックの立ち上がりおよび立ち下がりの最大遷移を指定します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

transition × クロック ピンの遷移時間

clocks × クロックのリスト

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

268 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 269: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

set_clock_uncertainty

False パスを定義します。

構文

set_clock_uncertainty [-setup] [-hold] [-from args][-rise_from args] [-fall_from args] [-to args] [-rise_to args][-fall_to args] [-quiet] uncertainity [objects]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-setup-setup-setup ○ セットアップ チェックのクロック誤差を指定します。

-hold-hold-hold ○ ホールド チェックのクロック誤差を指定します。

-from-from-from ○ クロック間誤差のソース クロックを指定します。

-rise_from-rise_from-rise_from ○ 立ち上がりエッジでクロック間誤差のソース クロックを指定します。

-fall_from-fall_from-fall_from ○ 立ち下がりエッジでクロック間誤差のソース クロックを指定します。

-to-to-to ○ クロック間誤差のデスティネーション クロックを指定します。

-rise_to-rise_to-rise_to ○ 立ち上がりエッジでクロック間誤差のデスティネーション クロックを指定します。

-fall_to-fall_to-fall_to ○ 立ち下がりエッジでクロック間誤差のデスティネーション クロックを指定します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

uncertainity × クロック ネットワークの誤差

objects ○ クロック、セル、ポート、またはピンのリスト

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 269

Page 270: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

set_data_check

データ間チェックを作成します。

構文

set_data_check [-from args] [-to args] [-rise_from args][-fall_from args] [-rise_to args] [-fall_to args] [-setup][-hold] [-clock args] [-quiet] value

表示結果

なし

使用法

名前 オプション デフォルト 説明

-from-from-from ○ データ チェックを開始するデータのピン/ポート

-to-to-to ○ データ チェックを終了するデータのピン/ポート

-rise_from-rise_from-rise_from ○ データ チェックを開始するデータのピン/ポートの立ち上がり

-fall_from-fall_from-fall_from ○ データ チェックを開始するデータのピン/ポートの立ち下がり

-rise_to-rise_to-rise_to ○ データ チェックを終了するデータのピン/ポートの立ち上がり

-fall_to-fall_to-fall_to ○ データ チェックを終了するデータのピン/ポートの立ち下がり

-setup-setup-setup ○ データ チェックのセットアップタイムを指定します。

-hold-hold-hold ○ データ チェックのホールド タイムを指定します。

-clock-clock-clock ○ チェックの関連ピン/ポートのクロック ドメインを指定します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

value × 定義したチェックのセットアップまたはホールド タイム

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

270 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 271: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

set_default_switching_activity

指定したタイプのデフォルトのスイッチ動作を設定します。

構文

set_default_switching_activity [-toggle_rate arg][-static_probability arg] -type args [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-toggle_rate-toggle_rate-toggle_rate ○ -9999.0 トグル レート値。0% = 値 =200%。値は、クロックの % です。

-static_probability-static_probability-static_probability ○ -9999.0 スタティックな可能性の値 :0 = 値 = 1

-type-type-type × ベクターなしの伝播エンジンで指定したタイプのデフォルトの値を設定します。

有効なデフォルト タイプの値 :input、input_set、input_reset、input_enable、register、dsp、bram_read_enable、bram_write_enable、output_enable、clock、all

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 271

Page 272: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

set_delay_model

タイミング遅延モデル

構文

set_delay_model [-interconnect arg] [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-interconnect-interconnect-interconnect ○ タイミング解析に使用されるインターコネクト遅延モデル

値 : estimated、none

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

272 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 273: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

set_disable_timing

タイミング アークをディスエーブルにします。

構文

set_disable_timing [-from arg] [-to arg] [-quiet] objects

表示結果

なし

使用法

名前 オプション デフォルト 説明

-from-from-from ○ 指定したセルのピンからイネーブルになります。

-to-to-to ○ 指定したセルのピンまでイネーブルになります。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

objects × cells または pins、ports、lib-cells、lib-pins、libcell/celltiming-arcs のリスト

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 273

Page 274: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

set_false_path

False パスを定義します。

構文

set_false_path [-setup] [-hold] [-rise] [-fall] [-reset_path][-from args] [-rise_from args] [-fall_from args][-to args] [-rise_to args] [-fall_to args] [-through args][-rise_through args] [-fall_through args] [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-setup-setup-setup ○ パスのセットアップ タイミング解析を削除します。

-hold-hold-hold ○ パスのホールド タイミング解析を削除します。

-rise-rise-rise ○ 定義済みパスの立ち上がり遅延のみを削除します。

-fall-fall-fall ○ 定義済みパスの立ち下がり遅延のみを削除します。

-reset_path-reset_path-reset_path ○ False パスを設定する前にこのパスをリセットします。

-from-from-from ○ パスの開始点またはクロックのリストを指定します。

-rise_from-rise_from-rise_from ○ 開始点またはクロックのリストから立ち上がるパスに適用されます。

-fall_from-fall_from-fall_from ○ 開始点またはクロックのリストから立ち下がるパスに適用されます。

-to-to-to ○ パスの終了点またはクロックのリストを指定します。

-rise_to-rise_to-rise_to ○ 終了点またはクロックのリストで立ち上がるパスに適用されます。

-fall_to-fall_to-fall_to ○ 終了点またはクロックのリストで立ち下がるパスに適用されます。

-through-through-through ○ スルー ピン、セル、またはネットのリストを指定します。

-rise_through-rise_through-rise_through ○ 立ち上がりスルー ピン、セル、またはネットに適用されます。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

274 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 275: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

名前 オプション デフォルト 説明

-fall_through-fall_through-fall_through ○ 立ち下がりスルー ピン、セル、またはネットに適用されます。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 275

Page 276: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

set_hierarchy_separator

階層区切り文字を設定します。

構文

set_hierarchy_separator [-quiet] [separator]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

separator ○ / 階層区切り文字

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

276 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 277: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

set_ideal_latency

理想的なレイテンシを指定します。

構文

set_ideal_latency [-rise] [-fall] [-min] [-max][-quiet] value objects

表示結果

なし

使用法

名前 オプション デフォルト 説明

-rise-rise-rise ○ 理想的な立ち上がりレイテンシを指定します。

-fall-fall-fall ○ 理想的な立ち下がりレイテンシを指定します。

-min-min-min ○ 理想的な最小レイテンシを指定します。

-max-max-max ○ 理想的な最大レイテンシを指定します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

value × 理想的なレイテンシ値。値 = 0

objects × ポートまたはピンのリスト

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 277

Page 278: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

set_ideal_network

理想的なネットワークを指定します。

構文

set_ideal_network [-no_propagate] [-quiet] objects

表示結果

なし

使用法

名前 オプション デフォルト 説明

-no_propagate-no_propagate-no_propagate ○ ロジック ゲートを介して伝播しないようにします。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

objects × ピン、ポート、またはネットのリスト

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

278 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 279: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

set_input_delay

ポートまたはピンの入力遅延を設定します。

構文

set_input_delay [-clock args] [-reference_pin args] [-clock_fall][-level_sensitive] [-rise] [-fall] [-max] [-min] [-add_delay][-network_latency_included] [-source_latency_included] [-quiet][delay] objects

表示結果

なし

使用法

名前 オプション デフォルト 説明

-clock-clock-clock ○ 相対クロック

-reference_pin-reference_pin-reference_pin ○ 相対ピンまたはポート

-clock_fall-clock_fall-clock_fall ○ 遅延はクロックの立ち下がりエッジに対応します。

-level_sensitive-level_sensitive-level_sensitive ○ 遅延はレベル センシティブなラッチからになります。

-rise-rise-rise ○ 立ち上がり遅延を指定します。

-fall-fall-fall ○ 立ち下がり遅延を指定します。

-max-max-max ○ 最大遅延を指定します。

-min-min-min ○ 最小遅延を指定します。

-add_delay-add_delay-add_delay ○ 既存の入力遅延を削除しません。

-network_latency_included-network_latency_included-network_latency_included ○ 既に含まれているクロックのネットワーク レイテンシを指定します。

-source_latency_included-source_latency_included-source_latency_included ○ 既に含まれているクロックのソース レイテンシを指定します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

delay ○ 1.0 パス遅延

objects × ポート/ピンのリストを指定します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 279

Page 280: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

set_input_jitter

クロック オブジェクトの入力ジッタを設定します。

構文

set_input_jitter [-quiet] clock_name input_jitter

表示結果

クロック名

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

clock_name × クロック名を指定します。

input_jitter × 入力ジッタ。値 = 0

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

280 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 281: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

set_load

ポートおよびネットのキャパシタンスを設定します。

構文

set_load [-rise] [-fall] [-max] [-min] [-subtract_pin_load][-pin_load] [-wire_load] [-quiet] capacitance objects

表示結果

なし

使用法

名前 オプション デフォルト 説明

-rise-rise-rise ○ 立ち上がりキャパシタンス値を指定します (ポートのみ)。

-fall-fall-fall ○ 立ち下がりキャパシタンス値を指定します (ポートのみ)。

-max-max-max ○ 最大キャパシタンス値を指定します。

-min-min-min ○ 最小キャパシタンス値を指定します。

-subtract_pin_load-subtract_pin_load-subtract_pin_load ○ 値からピン キャパシタンスを引算します (ネットのみ)。

-pin_load-pin_load-pin_load ○ ピン キャパシタンス (ポートのみ)

-wire_load-wire_load-wire_load ○ ワイヤー キャパシタンス (ポートのみ)

-quiet-quiet-quiet ○ コマンド エラーを無視します。

capacitance × キャパシタンス値

objects × ポートまたはネットのリスト

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 281

Page 282: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

set_logic_dc

ポート/ピンのロジック DC を設定します。

構文

set_logic_dc [-quiet] objects

表示結果

なし

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

objects × ポートまたはピンのリスト

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

282 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 283: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

set_logic_one

ポート/ピンのロジック 1 を設定します。

構文

set_logic_one [-quiet] objects

表示結果

なし

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

objects × ポートまたはピンのリスト

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 283

Page 284: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

set_logic_zero

ポート/ピンのロジック 0 を設定します。

構文

set_logic_zero [-quiet] objects

表示結果

なし

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

objects × ポートまたはピンのリスト

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

284 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 285: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

set_max_delay

タイミング パスの最大遅延を指定します。

構文

set_max_delay [-rise] [-fall] [-reset_path] [-from args][-rise_from args] [-fall_from args] [-to args] [-rise_to args][-fall_to args] [-through args] [-rise_through args][-fall_through args] [-quiet] delay

表示結果

なし

使用法

名前 オプション デフォルト 説明

-rise-rise-rise ○ 遅延値が立ち上がりパス遅延に適用されます。

-fall-fall-fall ○ 遅延値が立ち下がりパス遅延に適用されます。

-reset_path-reset_path-reset_path ○ 最大遅延を設定する前にこのパスをリセットします。

-from-from-from ○ パスの開始点またはクロックのリストを指定します。

-rise_from-rise_from-rise_from ○ 開始点またはクロックのリストから立ち上がるパスに適用されます。

-fall_from-fall_from-fall_from ○ 開始点またはクロックのリストから立ち下がるパスに適用されます。

-to-to-to ○ パスの終了点またはクロックのリストを指定します。

-rise_to-rise_to-rise_to ○ 終了点またはクロックのリストで立ち上がるパスに適用されます。

-fall_to-fall_to-fall_to ○ 終了点またはクロックのリストで立ち下がるパスに適用されます。

-through-through-through ○ スルー ピン、セル、またはネットのリストを指定します。

-rise_through-rise_through-rise_through ○ 立ち上がりスルー ピン、セル、またはネットに適用されます。

-fall_through-fall_through-fall_through ○ 立ち下がりスルー ピン、セル、またはネットに適用されます。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

delay × 遅延値

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 285

Page 286: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

286 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 287: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

set_max_fanout

ポートまたはセルの最大ファンアウトを設定します。

構文

set_max_fanout [-quiet] [fanout] [ports]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

fanout ○ 0.0 最大ファンアウト

ports ○ ポートのリスト

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 287

Page 288: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

set_max_time_borrow

ラッチ用に借りることのできる時間を制限します。

構文

set_max_time_borrow [-quiet] delay objects

表示結果

なし

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

delay × 借りる制限値。値 = 0 です。

objects × クロック、セル、データ ピン、またはクロック ピンのリスト

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

288 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 289: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

set_min_delay

タイミング パスの最小遅延を指定します。

構文

set_min_delay [-rise] [-fall] [-reset_path] [-from args][-rise_from args] [-fall_from args] [-to args] [-rise_to args][-fall_to args] [-through args] [-rise_through args][-fall_through args] [-quiet] delay

表示結果

なし

使用法

名前 オプション デフォルト 説明

-rise-rise-rise ○ 遅延値が立ち上がりパス遅延に適用されます。

-fall-fall-fall ○ 遅延値が立ち下がりパス遅延に適用されます。

-reset_path-reset_path-reset_path ○ 最小遅延を設定する前にこのパスをリセットします。

-from-from-from ○ パスの開始点またはクロックのリストを指定します。

-rise_from-rise_from-rise_from ○ 開始点またはクロックのリストから立ち上がるパスに適用されます。

-fall_from-fall_from-fall_from ○ 開始点またはクロックのリストから立ち下がるパスに適用されます。

-to-to-to ○ パスの終了点またはクロックのリストを指定します。

-rise_to-rise_to-rise_to ○ 終了点またはクロックのリストで立ち上がるパスに適用されます。

-fall_to-fall_to-fall_to ○ 終了点またはクロックのリストで立ち下がるパスに適用されます。

-through-through-through ○ スルー ピン、セル、またはネットのリストを指定します。

-rise_through-rise_through-rise_through ○ 立ち上がりスルー ピン、セル、またはネットに適用されます。

-fall_through-fall_through-fall_through ○ 立ち下がりスルー ピン、セル、またはネットに適用されます。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

delay × 遅延値

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 289

Page 290: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

290 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 291: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

set_msg_limit

メッセージ数制限を設定します。

構文

set_msg_limit -count arg [-type arg] [-id arg] [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-count-count-count × メッセージの制限カウントを設定します。

-type-type-type ○ ALL 「ERROR」または「CRITICALWARNING」など、セットするメッセージ タイプを指定します。

-id-id-id ○ common-99 などのセットするメッセージ ID を指定します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

説明

このコマンドでは、デザイン セッション中または 1 回の起動中に PlanAhead で表示されるメッ

セージ数を定義します。メッセージ タイプまたは ID 別の現在の制限数は、get_msg_limit コマ

ンドで表示できます。

特定のメッセージ ID やメッセージ タイプの制限数のほか、すべてのメッセージの制限数も定

義できます。たとえば、次は異なる状況で表示されるメッセージの例です。

INFO: [common-99] This is an example INFO message

CRITICAL WARNING: [Netlist-1129] This message is a CRITICAL WARNING and requires

user attention

メッセージ ID またはメッセージ タイプを指定しない場合は、PlanAhead で表示されるすべて

のメッセージに対する制限数が設定されます。

メモ : 特定のメッセージ ID のメッセージ タイプや重要度は set_msg_severity コマンドで変更

できます。元のメッセージ制限数に戻すには、現在のメッセージ制限は、reset_msg_limit コマ

ンドを使用します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 291

Page 292: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

引数

-count-count-count arg - 特定のメッセージまたはメッセージ タイプのメッセージ制限数を指定します。こ

れは必ず使用する必要のあるオプションです。メッセージ制限数は、最大で -1 (制限なし)

に指定できます。

-id-id-id value - 指定した ID のメッセージが PlanAhead のメッセージ ビューやほかのレポートに表

示されます。このコマンドの値には、特定のメッセージ ID を使用します。上記の例の場合、

メッセージ ID は common-99 および Netlist-1129 になります。

-type-type-type value - メッセージのタイプまたは重要度を指定します。これは、次の 5 つのメッセージ

タイプのいずれかになります。

ERRORERRORERROR - ユーザーの操作がないとデザインが使用できなくなったり、回避できないような問題

が発生していることを示すエラー メッセージです。

{CRITICAL{CRITICAL{CRITICAL WARNING}WARNING}WARNING} - 入力や制約に使用されないものがあったり、FPGA ファミリには適

していないものがあることを示すクリティカル警告メッセージです。ユーザーの修正が強く推

奨されます。

メモ : これは 2 単語の値なので、{ } で囲む必要があります。

WARNINGWARNINGWARNING - 制約または仕様が指定どおりに適用されないために、デザインが最適な結果に

ならない可能性を示す警告メッセージです。修正しても、後で修正してもかまいません。

INFOINFOINFO - STATUS メッセージと同じですが、重要度とメッセージ ID タグが含まれる点が異な

ります。INFO メッセージには、必要であればアンサー データベースで確認できるようにメッ

セージ ID が含まれます。

STATUSSTATUSSTATUS - デザインのプロセスに関するプロセスおよびフィードバックのステータスをユーザー

に伝達するために表示されるステータス メッセージです。STATUS メッセージには、メッセー

ジ ID が含まれません。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次の例では、すべてのメッセージ タイプの制限が 50 に設定されます。

set_message_limit -count 50

メモ : この制限は特定のメッセージ ID では問題ありませんが、すべてのメッセージに対する

制限数としては小さすぎます。

次の例では、指定したメッセージ ID のメッセージ制限を設定しています。

set_msg_limit -id Netlist-1129 -count 100

次の例では、最大のメッセージ制限数を設定しています。

set_msg_limit -1reset_msg_limit

メモ : どちらの行もデフォルトの最大メッセージ数に戻しています。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

292 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 293: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

関連文書

• get_msg_limit

• reset_msg_limit

• set_msg_severity

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 293

Page 294: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

set_msg_severity

メッセージの重要度を ID 別にセットします。

構文

set_msg_severity [-quiet] id type

表示結果

なし

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

id × 「common-99」などの特定のメッセージ ID を指定します。

type × 「ERROR」または「CRITICALWARNING」など、変更するメッセージ タイプを指定します。

説明

指定したメッセージ ID の重要度のタイプを、たとえば WARNING から ERROR などに変更し

ます。

このコマンドを使用すると、PlanAhead で表示されるメッセージの重要度を特定のレベルに変

更することができます。たとえば、次は PlanAhead で表示されるメッセージの例です。

• INFO: [common-99] This is an example INFO message

• CRITICAL WARNING: [Netlist-1129] This message is a CRITICAL WARNING and

requires user attention

上記の例の場合、メッセージ ID は common-99 および Netlist-1129 になります。これらのメッ

セージ ID の重要度は、必要であれば INFO から WARNING へ、または CRITICAL WARNING

から ERROR へ変更できます。

メモ :特定メッセージ ID のメッセージ重要度は reset_message_severity コマンドを使用すると

元の設定に戻すことができます。

引数

-id-id-id value - 指定した ID のメッセージが PlanAhead のメッセージ ビューやほかのレポートに表

示されます。このコマンドの値には、特定のメッセージ ID を使用します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

294 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 295: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

-type-type-type value - メッセージのタイプまたは重要度を指定します。これは、次の 5 つのメッセージ

タイプのいずれかになります。

• ERRORERRORERROR - ユーザーの操作がないとデザインが使用できなくなったり、回避できないような

問題が発生していることを示すエラー メッセージです。

• {CRITICAL{CRITICAL{CRITICAL WARNING}WARNING}WARNING} - 入力や制約に使用されないものがあったり、FPGA ファミリには

適していないものがあることを示すクリティカル警告メッセージです。ユーザーの修正が

強く推奨されます。

メモ :これは 2 単語の値なので、{ } で囲む必要があります。

• WARNINGWARNINGWARNING - 制約または仕様が指定どおりに適用されないために、デザインが最適

な結果にならない可能性を示す警告メッセージです。修正しても、後で修正してもか

まいません。

• INFOINFOINFO - STATUS メッセージと同じですが、重要度とメッセージ ID タグが含まれる点

が異なります。INFO メッセージには、必要であればアンサー データベースで確認で

きるようにメッセージ ID が含まれます。

• STATUSSTATUSSTATUS - デザインのプロセスに関するプロセスおよびフィードバックのステータスを

ユーザーに伝達するために表示されるステータス メッセージです。STATUS メッセージ

には、メッセージ ID が含まれません。

メモ :STATUS メッセージにはメッセージ ID がないので、重要度を変更することは

できません。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次の例では、メッセージ ID common-99common-99common-99 の重要度を INFO から WARNING に変更しています。

set_msg_severity -id common-99 -type WARNING

これにより、標準的な INFO メッセージが WARNING メッセージになるので、該当する問題が

発生したときに注意をしやすくなります。

次の例では、メッセージ ID Netlist-1129Netlist-1129Netlist-1129 の重要度を CRITICAL WARNING から WARNING

に変更しています。

set_msg_severity -id Netlist-1129 -type WARNING

これにより、CRITICAL WARNING の重要度が単純な WARNING レベルに下げられるので、

修正する必要性は少なくなります。

関連文書

• get_msg_limit

• reset_msg_severity

• set_msg_limit

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 295

Page 296: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

set_multicycle_path

マルチサイクル パスを定義します。

構文

set_multicycle_path [-setup] [-hold] [-rise] [-fall][-start] [-end] [-reset_path] [-from args] [-rise_from args][-fall_from args] [-to args] [-rise_to args] [-fall_to args][-through args] [-rise_through args] [-fall_through args][-quiet] [path_multiplier]

結果

なし

使用法

名前 オプション デフォルト 説明

-setup-setup-setup ○ セットアップ乗算器のみが設定されます。

-hold-hold-hold ○ ホールド乗算器のみが設定されます。

-rise-rise-rise ○ パスの終了ポイントの立ち上がり遅延に有効な乗算器

-fall-fall-fall ○ パスの終了ポイントの立ち下がり遅延に有効な乗算器

-start-start-start ○ パスの開始ポイントに対して計測される乗算器

-end-end-end ○ パスの終了ポイントに対して計測される乗算器

-reset_path-reset_path-reset_path ○ マルチサイクルを設定する前にこのパスをリセットします。

-from-from-from ○ パスの開始点またはクロックのリストを指定します。

-rise_from-rise_from-rise_from ○ 開始点またはクロックのリストから立ち上がるパスに適用されます。

-fall_from-fall_from-fall_from ○ 開始点またはクロックのリストから立ち下がるパスに適用されます。

-to-to-to ○ パスの終了点またはクロックのリストを指定します。

-rise_to-rise_to-rise_to ○ 終了点またはクロックのリストで立ち上がるパスに適用されます。

-fall_to-fall_to-fall_to ○ 終了点またはクロックのリストで立ち下がるパスに適用されます。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

296 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 297: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

名前 オプション デフォルト 説明

-through-through-through ○ スルー ピン、セル、またはネットのリストを指定します。

-rise_through-rise_through-rise_through ○ 立ち上がりスルー ピン、セル、またはネットに適用されます。

-fall_through-fall_through-fall_through ○ 立ち下がりスルー ピン、セル、またはネットに適用されます。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

path_multiplier ○ 1 パスのセットアップ タイミング解析を削除します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 297

Page 298: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

set_operating_conditions

電力概算の動作条件を設定します。

構文

set_operating_conditions [-voltage args] [-grade arg][-process arg] [-junction_temp arg] [-ambient_temp arg][-thetaja arg] [-thetasa arg] [-airflow arg] [-heatsink arg][-thetajb arg] [-board arg] [-board_temp arg] [-board_layers arg][-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-voltage-voltage-voltage ○ {name value} のように、電圧ペアのリストを指定します。サポートされる電圧は、ファミリによって異なります。

-grade-grade-grade ○ commercial 電圧グレードを指定します。サポートされる値は、ファミリによって異なります。

-process-process-process ○ typical データをの処理方法 : typicalまたは maximum

-junction_temp-junction_temp-junction_temp ○ auto ジャンクション温度 (C) :auto|degC

-ambient_temp-ambient_temp-ambient_temp ○ default 周囲温度 (C) : default|degC

-thetaja-thetaja-thetaja ○ auto ThetaJA (C/W) :auto|degC/W

-thetasa-thetasa-thetasa ○ auto ThetaSA (C/W) :auto|degC/W

-airflow-airflow-airflow ○ ファミリによって異なります。

エアフロー (LFM) : 0 ~ 750

-heatsink-heatsink-heatsink ○ medium ヒートシンクのサイズ : none、low、medium、high、custom

-thetajb-thetajb-thetajb ○ auto ThetaJB (C/W) :auto|degC/W

-board-board-board ○ medium ボード タイプ : jedec、small、medium、large、custom

-board_temp-board_temp-board_temp ○ ボード温度 : degC

-board_layers-board_layers-board_layers ○ 8to11 ボード レイヤー : 4to7、8to11、12to15、16+

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

298 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 299: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

set_output_delay

ポートまたはピンの出力遅延を設定します。

構文

set_output_delay [-clock args] [-reference_pin args][-clock_fall] [-level_sensitive] [-rise] [-fall] [-max] [-min][-add_delay] [-group_path arg] [-network_latency_included][-source_latency_included] [-quiet] [delay] objects

表示結果

なし

使用法

名前 オプション デフォルト 説明

-clock-clock-clock ○ 相対クロック

-reference_pin-reference_pin-reference_pin ○ 相対ピンまたはポート

-clock_fall-clock_fall-clock_fall ○ 遅延はクロックの立ち下がりエッジに対応します。

-level_sensitive-level_sensitive-level_sensitive ○ 遅延はレベル センシティブなラッチからになります。

-rise-rise-rise ○ 立ち上がり遅延を指定します。

-fall-fall-fall ○ 立ち下がり遅延を指定します。

-max-max-max ○ 最大遅延を指定します。

-min-min-min ○ 最小遅延を指定します。

-add_delay-add_delay-add_delay ○ 既存の入力遅延を削除しません。

-group_path-group_path-group_path ○ パスのグループ名を指定します。

-network_latency_included-network_latency_included-network_latency_included ○ 既に含まれているクロックのネットワーク レイテンシを指定します。

-source_latency_included-source_latency_included-source_latency_included ○ 既に含まれているクロックのソース レイテンシを指定します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

delay ○ 1.0 パス遅延

objects × ポート/ピンのリストを指定します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 299

Page 300: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

set_package_pin_val

1 つまたは複数のパッケージ ピンのユーザー コラムを設定します。

構文

set_package_pin_val -package_pins args -column arg -value arg [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-package_pins-package_pins-package_pins × パッケージ ピン名

-column-column-column × ユーザー列名

-value-value-value × 設定する値

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

300 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 301: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

set_param

パラメータ値を設定します。

構文

set_param [-quiet] name value

表示結果

新しく設定されたパラメータ値

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

name × パラメータ名

value × パラメータ値

説明

PlanAhead 内でユーザーが定義可能なコンフィギュレーション パラメーターの値を定義しま

す。これらのパラメーターを使用すると、PlanAhead のさまざまな動作をコンフィギュレーション

および制御できます。これらのさまざまなパラメーターの制御については、report_parameter を

参照してください。

メモ : 指定したパラメーターの値を -1 に設定すると、PlanAhead でこの機能をオフにできます。

引数

name - 値を設定するパラメーターの名前を指定します。

value - 指定したパラメーターを設定する値を指定します。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次の例では、指定したパラメーターの値を設定しています。

set_param tcl.statsThreshold 5

関連文書

• get_param

• list_param

• report_param

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 301

Page 302: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

set_propagated_clock

伝搬されるクロック レイテンシを指定します。

構文

set_propagated_clock [-quiet] objects

表示結果

なし

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

objects × クロック、ポート、またはピンのリスト

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

302 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 303: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

set_property

オブジェクトのプロパティを設定します。

構文

set_property [-quiet] property_name property_value objects ...

表示結果

問題のない場合は設定された値、エラーのあった場合は ""

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

property_name × 設定するプロパティの名前

property_value × 設定するプロパティの値

objects × プロパティを設定するオブジェクト

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 303

Page 304: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

set_speed_grade

タイミング スピード グレード

構文

set_speed_grade [-quiet] value

表示結果

結果文字列

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

value × タイミング解析に使用されるスピード グレード

説明

現在のデザインのターゲット デバイスのスピード グレードを設定します。このコマンドは、ター

ゲット デバイスのスピード グレードをタイミング解析用に変更するために使用し、開いている合

成済みまたはインプリメント済みのデザインで実行する必要があります。通常は、report_timing

コマンドまたはほかのタイミング コマンドの前に実行して、解析用のスピード グレードを変更

します。

引数

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

value - ターゲット デバイスのスピード グレードを指定します。有効な値は、-1、-2、-3 です。

次の例では、現在のデザインのデバイスのスピード グレードを -1 に設定しています。

set_speed_grade -1

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

304 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 305: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

set_switching_activity

指定したオブジェクトまたはデフォルトのタイプのスイッチ動作を設定します。

構文

set_switching_activity [-toggle_rate arg] [-type args][-static_probability arg] [-signal_rate arg] [-hier][-object_list args] [-verbose] [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-toggle_rate-toggle_rate-toggle_rate ○ -9999.0 トグル レート値。0% = 値 = 100%。RTL 電力概算で使用

-type-type-type ○ RTL 電力概算で使用有効なタイプ値 (registers、inputs、outputs、inouts、ports、outputEnable、three_states、dsps、brams、bramWrite、bramEnable、clockEnable) のリスト

-static_probability-static_probability-static_probability ○ -9999.0 スタティックな可能性の値 :0 = 値 = 1

-signal_rate-signal_rate-signal_rate ○ -9999.0 信号レート

-hier-hier-hier ○ -object_list オプションで指定された階層インスタンスのスイッチ動作をセットします。このオプションには、必ず-object_list オプションを使用する必要があります。

-object_list-object_list-object_list ○ オブジェクト

-verbose-verbose-verbose ○ 詳細モード

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 305

Page 306: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

set_system_jitter

システム ジッタを設定します。

構文

set_system_jitter [-quiet] system_jitter

表示結果

system_jitter

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

system_jitter × システム ジッタ。値 = 0 です。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

306 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 307: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

set_timing_derate

定数遅延のディレーティング係数を指定します。

構文

set_timing_derate [-early] [-late] [-clock] [-data] [-net_delay][-cell_delay] [-cell_check] [-quiet] derate objects

表示結果

なし

使用法

名前 オプション デフォルト 説明

-early-early-early ○ 早期ディレート係数を指定します。

-late-late-late ○ 後期ディレート係数を指定します。

-clock-clock-clock ○ クロック パス専用のディレート係数を指定します。

-data-data-data ○ データ パス専用のディレート係数を指定します。

-net_delay-net_delay-net_delay ○ ネット遅延専用のディレート係数を指定します。

-cell_delay-cell_delay-cell_delay ○ セル遅延専用のディレート係数を指定します。

-cell_check-cell_check-cell_check ○ セル タイミング チェック専用のディレート係数を指定します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

derate × ディレート係数。値 = 0 です。

objects × セル、ライブラリ セル、またはネットのリスト

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 307

Page 308: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

set_units

チェックするユニットを設定します。

構文

set_units [-capacitance arg] [-time arg] [-current arg][-voltage arg] [-power arg] [-resistance arg] [-suffix arg][-digits arg] [-quiet]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-capacitance-capacitance-capacitance ○ pF キャパシタンス単位 (ファラッド)。有効な値は、kF ~ fF です。

-time-time-time ○ ns 時間単位 (秒)。有効な値は、ks ~ fs です。

-current-current-current ○ mA 電流単位 (アンペア)。有効な値は、kA ~ fA です。

-voltage-voltage-voltage ○ V 電圧単位 (ボルト)。有効な値は、kV ~ fV です。

-power-power-power ○ mW 電力単位 (ワット)。有効な値は、kW ~ fW です。

-resistance-resistance-resistance ○ ohm 抵抗単位 (オーム)。有効な値は、kOhm ~ fOhm です。

-suffix-suffix-suffix ○ 単位の接尾語

-digits-digits-digits ○ 1 桁数

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

308 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 309: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

split_diff_pair_ports

2 ポート間の差動ペア関係を削除します。

構文

split_diff_pair_ports [-quiet] ports ...

表示結果

なし

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

ports × 分割するポートを指定します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 309

Page 310: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

start_gui

PlanAhead の GUI を起動します。

構文

start_gui

表示結果

なし

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

310 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 311: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

startgroup

グループ単位で前/次の動作を実行できるコマンド セットを開始します。

構文

startgroup [-try] [-quiet]

表示結果

int

使用法

名前 オプション デフォルト 説明

-try-try-try ○ 既にグループ単位で開始されている場合は、開始しません。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 311

Page 312: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

stop_gui

PlanAhead の GUI を閉じます。

構文

stop_gui

表示結果

なし

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

312 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 313: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

swap_locs

2 つの位置を入れ替えます。

構文

swap_locs [-quiet] aloc bloc

表示結果

なし

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

aloc × 1 つ目の位置 (ポート/セル/サイト - bloc と同じタイプである必要あり)

bloc × 2 つ目の位置 (ポート/セル/サイト - aloc と同じタイプである必要あり)

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 313

Page 314: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

undo

前のコマンドの実行を取り消します。

構文

undo [-list] [-quiet]

表示結果

実行不可能なタスクのリスト (-list を使用した場合)

使用法

名前 オプション デフォルト 説明

-list-list-list ○ 実行不可能なタスクのリストが表示されます。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

314 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 315: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

unhighlight_objects

現在ハイライトされているオブジェクトのハイライトを解除します。

構文

unhighlight_objects [-color_index arg] [-rgb args] [-color arg][-quiet] [objects]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-color_index-color_index-color_index ○ 色インデックス

-rgb-rgb-rgb ○ 色インデックス

-color-color-color ○ 色の名前

-quiet-quiet-quiet ○ コマンド エラーを無視します。

objects ○ ハイライトを解除するオブジェクト

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 315

Page 316: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

unmark_objects

現在マークされているアイテムのマークを解除します。

構文

unmark_objects [-rgb args] [-color arg] [-quiet] [objects]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-rgb-rgb-rgb ○ RGB リスト

-color-color-color ○ 色の名前

-quiet-quiet-quiet ○ コマンド エラーを無視します。

objects ○ マークを解除するオブジェクト

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

316 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 317: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

unselect_objects

現在選択されているアイテムの選択を解除します。

構文

unselect_objects [-quiet] [objects]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

objects ○ 選択を解除するオブジェクト

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 317

Page 318: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

update_file

リモート ファイルの内容でインポートされたファイルをアップデートします。

構文

update_file -file arg -remote_file arg [-quiet]

表示結果

アップデートされたファイル

使用法

名前 オプション デフォルト 説明

-file-file-file × アップデートするインポートファイル

-remote_file-remote_file-remote_file × インポートするリモート ファイル

-quiet-quiet-quiet ○ コマンド エラーを無視します。

説明

指定したリモート ファイルの内容で 1 つのファイルをアップデートします。このコマンドは、元

のリモート ファイルの内容を含むローカル ファイルをアップデートするか、別のリモート ファ

イルの内容と置き換えるために使用できます。

引数

-file-file-file arg - アップデートするローカル プロジェクト ファイルを指定します。

-remote arg - ローカル ファイルと置換されるリモート ファイルのパスと名前を指定します。こ

のリモート ファイルは、指定したファイルと置換され、ローカル プロジェクト ディレクトリ構造に

コピーされ、プロジェクトに追加されます。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次の例では、指定したファイルをアップデートしています。

update_file C:/Data/design1.v C:/Source/design1.v

メモ : 上書きされるローカル ファイルの方が新しくても、警告メッセージは表示されません。

関連文書

reimport_files

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

318 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 319: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

upgrade_ip

コンフィギャブル IP を最新 IP にアップデートします。

構文

upgrade_ip [-srcset arg] [-version arg] -files args [-quiet]

表示結果

アップデートされたファイルのリスト

使用法

名前 オプション デフォルト 説明

-srcset-srcset-srcset ○ ソース セット名を指定します。

-version-version-version ○ アップデートする IP のバージョン

-files-files-files × アップデートする IP ソースファイル

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 319

Page 320: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

verify_config

インプリメントされた run を解析して、パーシャル リコンフィギュレーションに必要な規則にし

たがっているかどうかを解析します。

構文

verify_config [-file arg] [-verbose] [-quiet] runs ...

表示結果

パーシャル リコンフィギュレーション レポート

使用法

名前 オプション デフォルト 説明

-file-file-file ○ 出力レポート ファイル名

-verbose-verbose-verbose ○ ログ ファイルに詳細情報を出力します。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

runs × 検証するインプリメント済みrun のリスト

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

320 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 321: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

version

PlanAhead のバージョンおよびバージョンの日付を表示します。

構文

version [-build] [-quiet]

表示結果

PlanAhead のバージョン

使用法

名前 オプション デフォルト 説明

-build-build-build ○ ビルドのバージョン情報を表示して、閉じます。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 321

Page 322: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

wait_on_run

指定した run が終了するまで Tcl コマンドの実行を停止します。

構文

wait_on_run [-timeout arg] [-quiet] run

表示結果

なし

使用法

名前 オプション デフォルト 説明

-timeout-timeout-timeout ○ -1 run が完了するまで待機する最大時間 (分単位)

-quiet-quiet-quiet ○ コマンド エラーを無視します。

run × 待機する run

説明

指定した run が終了するまで、または指定した時間が経過するまで、Tcl コマンドをブロック

で実行します。

メモ : このコマンドは、バッチ モードまたは Tcl スクリプトで PlanAhead を実行する場合に使

用します。GUI からインタラクティブに実行した場合は、無視されます。

引数

-timeout-timeout-timeout arg - run が終了するまで wait_on_run コマンドで待機する時間 (分) を指定します。

これにより、指定した run が終了していなくても、この時間を越えると PlanAhead が実行を停

止します。タイムアウトが指定されない場合 (run が終了するまでの PlanAhead の待機時間に

制限を付けない場合)、デフォルト値の -1 が使用されます。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

run - PlanAhead が終了まで待機する run の名前を指定します。指定した run が wait_on_run

コマンドを実行したときにアクティブになっていないと、エラー メッセージが表示されます。

次の例では、impl_1 という run が起動され、指定した run が終了するまで待機するか、1 時間

待機するよう (どちらか早く発生する方) に PlanAhead へ命令しています。

launch_runs impl_1wait_on_run -timeout 60 impl_1

関連文書

launch_runs

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

322 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 323: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

write_bitstream

現在のデザインのビットストリームを書き出します。

構文

write_bitstream [-bitgen_options arg] [-quiet] [file]

表示結果

なし

使用法

名前 オプション デフォルト 説明

-bitgen_options-bitgen_options-bitgen_options ○ bitgen のコマンド ライン オプション

-quiet-quiet-quiet ○ コマンド エラーを無視します。

file ○ 生成する BIT ファイルの名前

説明

PlanAhead から BitGen を呼び出して、現在のプロジェクトのビットストリーム ファイルを書き出

します。このコマンドは、インプリメント済みデザインで実行する必要があります。ビットストリー

ムは、開いているインプリメント済みデザインに基づいて出力されます。

引数

-bitgen_options-bitgen_options-bitgen_options arg - BitGen コマンドのコマンド ライン オプションを 1 つまたは複数指定しま

す。使用できるオプションの詳細は、『コマンド ライン ツール ユーザー ガイド』 (UG628) を参

照してください。

メモ : BitGen を呼び出すと、PlanAhead で -intstyle pa オプションが使用されます。このオプ

ションは、bitgen_options の下で指定すると、エラーになります。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

file - 書き込むビットストリーム ファイルの名前を指定します。ビットストリーム ファイルのデフォ

ルトの拡張子は .bit ですが、write_bitstream コマンドを使用する場合は、このファイルの拡張

子を指定する必要があります。

メモ : パスがファイル名の一部として指定されない場合は、現在の作業ディレクトリまたは

PlanAhead が起動されたディレクトリの該当ファイルに書き込まれます。

次の例では、指定した名前のビットストリーム ファイルが出力されます。

write_bitstream design1.bit

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 323

Page 324: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

次の例では、ビットストリーム ファイルを指定したフォルダーに書き出し、BitGen の -d および

-l コマンド ライン オプションを指定しています。

write_bitgen -bitgen_options {-d -l -g compress -g crc:disable} C:/Data/design1.bit

メモ : -d コマンド ライン オプションは、DRC をビットストリーム ファイルに書き込む前に実行

しないように、-l オプションは ASCII 形式のロジック アロケーション ファイルを作成するため

に指定しています。また、-g オプションを使用して 2 つのコンフィギュレーション オプション

(Compress および CRC) を別々に指定しています。

関連文書

• launch_runs

• open_impl_design

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

324 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 325: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

write_chipscope_cdc

デバッグ ポートに接続されているネットをエクスポートします。

構文

write_chipscope_cdc [-quiet] file

表示結果

出力ファイル名

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

file × ファイル名

説明

現在のプロジェクトで定義されたデバッグコア、ポート、信号を含むCDC (ChipScope Definition

and Connection) ファイルが書き込まれます。

ChipScope デバッグ コアは、create_debug_core コマンドを使用してプロジェクトに追加されま

す。CDC ファイルには、ChipScope Pro Analyzer のソース ファイル、デスティネーション ファ

イル、コア パラメーター、コア設定などの情報が含まれます。

このCDCファイルをChipScope Analyzer にインポートし、ILAコアデータおよびトリガーポートの

ネット名を自動的に設定することができます。書き込まれたCDCファイルは、read_chipscope_cdc

コマンドを使用すると、PlanAhead の別のプロジェクトへの入力としても使用できます。

引数

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

file - 書き込むファイル名を指定します。

メモ : パスがファイル名の一部として指定されない場合は、現在の作業ディレクトリまたは

PlanAhead が起動されたディレクトリの該当ファイルに書き込まれます。

次の例では、bft.cdc いう CDC ファイルが書き込まれます。

write_chipscope_cdc bft.cdc

この CDC ファイルには、ChipScope でデバッグされた信号と、信号のクロック ドメインのほか、

ChipScope で使用されるその他の設定が含まれます。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 325

Page 326: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

関連文書

• create_debug_core

• read_chipscope_cdc

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

326 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 327: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

write_csv

パッケージ ピンとポート配置情報をエクスポートします。

構文

write_csv [-mode arg] [-quiet] file

表示結果

出力ファイル名

使用法

名前 オプション デフォルト 説明

-mode-mode-mode ○ port 有効な値は port です。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

file × ファイル名

説明

CSV(Comma Separated Value) ファイルへパッケージ ピンおよびポート配置情報をエクスポー

トします。

CSV ファイルの形式および要件については、『PlanAhead ユーザー ガイド』 (ug632.pdf) を参

照してください。

引数

-mode-mode-mode arg - CSV ファイルを書き出す際に使用するモードを指定します。このオプションを使

用しない場合は、デフォルト モードの port が使用されます。

file - エクスポートする CSV ファイルの名前を指定します。

メモ : パスがファイル名の一部として指定されない場合は、現在の作業ディレクトリまたは

PlanAhead が起動されたディレクトリの該当ファイルに書き込まれます。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次の例では、現在のプロジェクトから CSV ファイルがエクスポートされます。

write_csv C:/Data/pinList.csv

関連文書

read_csv

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 327

Page 328: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

write_edif

現在のネットリストを EDIF ファイルとしてエクスポートします。

構文

write_edif [-pblocks args] [-cell arg] [-quiet] file

表示結果

出力ファイルまたはディレクトリ名

使用法

名前 オプション デフォルト 説明

-pblocks-pblocks-pblocks ○ これらの Pblock のネットリストをエクスポートします (-cell とは併用できません)。

-cell-cell-cell ○ このセルのネットリストをエクスポートします (-pblocks とは併用できません)。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

file × 出力ファイル (-pblocks、-cellで指定したディレクトリ)

説明

現在のネットリストを EDIF ファイルとしてエクスポートし、特定の Pblock または階層セルの内

容を EDIF ネットリスト ファイルとして出力します。

引数

-pblocks-pblocks-pblocks arg - 指定した Pblock の内容を EDIF ネットリスト ファイルとして出力します。各

Pblock の内容が別々の EDIF ファイルに書き込まれます。

-cell-cell-cell arg - 指定した階層セルの内容を EDIF ネットリスト ファイルとして出力します。出力する

ように指定できるセルは 1 つだけです。

メモ : -pblock および -cell オプションは、併用できません。これらはオプションで使用できま

すが、1 度に指定できるのはどちらか 1 つのみです。

file - 書き込む EDIF ファイルの名前を指定します。EDIF ネットリストのデフォルトのファイル

拡張子は、.edn です。

メモ : パスがファイル名の一部として指定されない場合は、現在の作業ディレクトリまたは

PlanAhead が起動されたディレクトリの該当ファイルに書き込まれます。

-pblock または -cell オプションのいずれかが使用される場合、この引数で書き込まれる各

Pblock やセルの EDIF ネットリスト ファイルのディレクトリ名を指定します。EDIF ネットリスト ファ

イルの名前は、その Pblock またはセルと同じになります。指定したファイルセットが存在しな

い場合は、PlanAhead でエラーが表示されます。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

328 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 329: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次の例では、デザイン全体の EDIF ネットリスト ファイルを指定したファイル名で書き出します。

write_edif C:/Data/edifOut.edn

次の例では、デザインのすべての Pblock の EDIF ネットリストが出力されます。ファイルは、

指定したディレクトリに書き込まれます。

write_edif -pblocks [get_pblocks] C:/Data/FPGA_Design/

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 329

Page 330: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

write_ibis

現在のフロアプランの IBIS モデルを書き出します。

構文

write_ibis [-allmodels] [-nopin] [-truncate arg] [-ibs arg][-pkg arg] [-quiet] file

表示結果

出力ファイル名

使用法

名前 オプション デフォルト 説明

-allmodels-allmodels-allmodels ○ アーキテクチャに使用できるバッファ モデルをすべて含みます。デフォルトでは、フロアプランで使用されるバッファーモデルのみが含まれます。

説明

現在のデザインのターゲット デバイスの IBIS モデルをエクスポートします。PlanAhead では、

デザインからのネットリストおよびインプリメンテーションの詳細と使用可能なピンごとの寄生

パッケージ情報がまとめられ、デザイン専用のカスタム IBIS ファイルが作成されます。

write_ibis コマンドではデザイン情報が IBIS モデルにまとめられるので、このコマンドを実行す

るする際には RTL、ネットリスト、またはインプリメント済みデザインが必要となります。

引数

-allmodels-allmodels-allmodels - ターゲット デバイスのバッファ モデルがすべてエクスポートされます。デフォルト

では、デザインで使用されるバッファ モデルのみが記述されます。

-nopin-nopin-nopin - ダイ パッドからパッケージ ピンへのパスのピンごとのコード記述をオフにします。

IBIS モデルには、[Package] セクションのすべてのピンが 1 本の RLC 伝送ラインで記述され

ます。PlanAhead は、デフォルトでパッケージのピンごとの記述を RLC メトリックとして [Define

Package Model] セクションに含めます。

-truncate-truncate-truncate arg - 出力ファイルの信号名の最大長を指定します。最大長を超えるとその部分は

表示されません。有効な値は、20、40、0 (制限なし) です。PlanAhead は、IBIS バージョン 4.2

の仕様に準拠するよう信号名は 40 文字に切り詰めます。

-ibs-ibs-ibs arg - アップデートされた汎用 IBIS モデル ファイルを指定します。これは、PlanAhead の

インストールで含まれる parts ディレクトリの IBIS モデルを上書きするために使用します。この

オプションは、インストール ディレクトリに汎用モデルのないパーツすべてで必要となります。

-pkg-pkg-pkg arg - アップデートされたピンごとの寄生パッケージ データ ファイルを指定します。これ

は、PlanAhead のインストールで含まれる parts ディレクトリの寄生パッケージ ファイルを上書

きするために使用します。このオプションは、インストール ディレクトリに汎用モデルのない

パーツすべてで必要となります。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

330 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 331: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

file - エクスポートする IBIS ファイルの名前を指定します。

メモ : パスがファイル名の一部として指定されない場合は、現在の作業ディレクトリまたは

PlanAhead が起動されたディレクトリの該当ファイルに書き込まれます。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次の例では、ターゲット デバイスのバッファ モデルすべてがエクスポートされ、信号名が切り

捨てられないようにされ、書き込むファイル名およびパスが指定されています。

write_ibis -allmodels -truncate 0 C:/Data/FPGA_Design/ibisOut.txt

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 331

Page 332: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

write_ncd

配置を NCD ファイルにエクスポートします。

構文

write_ncd [-quiet] file

表示結果

出力ファイル名

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

file × 配置を書き込むファイルの名前

説明

このコマンドでは、インプリメント済みデザインからザイリンクス NCD (Native Circuit Description)

ファイルがエクスポートされます。NCD は、インプリメンテーション中に MAP および PAR で作

成され、論理的なネットリスト デザインがターゲットのザイリンクス デバイスにインプリメントで

きる物理デザインへ変換されたファイルです。

引数

file - エクスポートする NCD ファイルの名前を指定します。

メモ : パスがファイル名の一部として指定されない場合は、現在の作業ディレクトリまたは

PlanAhead が起動されたディレクトリの該当ファイルに書き込まれます。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次の例では、現在のインプリメント済みデザインから NCD ファイルがエクスポートされます。

write_ncd C:/Data/FPGA_Design/designOut.ncd

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

332 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 333: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

write_pcf

変換された制約を物理制約ファイル (.pcf) にエクスポートします。

構文

write_pcf [-quiet] file

表示結果

出力ファイル名

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

file × 配置を書き込むファイルの名前

説明

デザインの現在の制約から PCF ファイルを作成します。PCF ファイルは、MAP で定義された

物理制約を含んだ ASCII ファイルで、ユーザーの定義した物理制約に従って作成されます。

MAP セクションは、各インプリメンテーションがパスするたびに書き直されます。ユーザー制

約が最後に読み出されて、MAP 制約を上書きするように、制約の順序が記述されます。

PCF ファイルは、PAR、FPGA Editor、TRACE、NetGen、BitGen の入力ファイル (オプション)

になります。

引数

file - エクスポートする PCF ファイルの名前を指定します。

メモ : パスがファイル名の一部として指定されない場合は、現在の作業ディレクトリまたは

PlanAhead が起動されたディレクトリの該当ファイルに書き込まれます。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次の例では、designOut.pcf という PCF ファイルが作成されます。

write_pcf designOut.pcf

関連文書

• read_ucf

• write_ucf

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 333

Page 334: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

write_sdf

ベント シミュレーションで平坦な SDF 遅延ファイルを生成します。

構文

write_sdf [-process_corner arg] [-cell arg][-rename_top_module arg] [-quiet] file

表示結果

なし

使用法

名前 オプション デフォルト 説明

-process_corner-process_corner-process_corner ○ slow SDF 遅延の必要とされるプロセス コーナーを指定します。 有効なタイは slow と fastです。

-cell-cell-cell ○ デザイン全体 des.subblk.cpu のように、書き込むデザインのルートを指定します。

-rename_top_module-rename_top_module-rename_top_module ○ 新しい最上位モジュール名

最上位モジュール名を netlistなどのカスタムの名前に置き換えます。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

file × ファイル名

説明

デザインのセルのタイミング遅延を標準遅延フォーマット (SDF) ファイルに書き込みます。

出力される SDF ファイルは、write_verilog コマンドで使用して、スタティック タイミング解析お

よびタイミング シミュレーション用の Verilog ネットリストを作成するのに使用できます。

引数

-process_corner-process_corner-process_corner [fast[fast[fast ||| slow]slow]slow] - 指定したプロセス コーナーの遅延を書き込みます。遅延は fast

プロセス コーナーよりも slow プロセス コーナーの方が大きくなります。有効な値は、slow ま

たは fast です。デフォルトでは、SDF ファイルは slow プロセス コーナー用に記述されます。

-cell-cell-cell arg - デザイン階層の特定セルから SDL ファイルを書き出します。デフォルトでは、デザ

イン全体の SDF ファイルが作成されます。

-rename_top_module-rename_top_module-rename_top_module arg - 出力される SDF ファイルの最上位モジュールの名前を変更します。

file - エクスポートする SDF ファイルの名前を指定します。

メモ : パスがファイル名の一部として指定されない場合は、現在の作業ディレクトリまたは

PlanAhead が起動されたディレクトリの該当ファイルに書き込まれます。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

334 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 335: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次の例では、指定したディレクトリに SDF ファイルが書き込まれます。

write_sdf C:/Data/FPGA_Design/designOut.sdf

関連文書

write_verilog

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 335

Page 336: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

write_timing

タイミング結果のセットをファイルにエクスポートします。

構文

write_timing [-quiet] name file

表示結果

なし

使用法

名前 オプション デフォルト 説明

-quiet-quiet-quiet ○ コマンド エラーを無視します。

name × 結果のセット名

file × 結果を書き込むファイルの名前

説明

タイミング解析の結果を指定したファイルへ書き込みます。このコマンドでは、実際にタイミン

グ解析が実行されることはなく、report_timing コマンドで前に作成したタイミング解析の結果が

書き込まれます。-file オプションを付けて report_timing コマンドを実行したのと同じ結果にな

ります。

引数

name - report_timing コマンドで作成された結果セットの名前を指定します。

file - 書き込むタイミング ファイルの名前を指定します。

メモ : パスがファイル名の一部として指定されない場合は、現在の作業ディレクトリまたは

PlanAhead が起動されたディレクトリの該当ファイルに書き込まれます。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次は、具体例です。

write_timing C:/Data/FPGA_Design/bft_timing.txt

関連文書

report_timing

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

336 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 337: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

write_ucf

UCF 情報をファイルまたはディレクトリにエクスポートします。

構文

write_ucf [-no_fixed_only] [-constraints arg] [-pblocks args][-cell arg] [-quiet] file

表示結果

出力ファイルまたはディレクトリ名

使用法

名前 オプション デフォルト 説明

-no_fixed_only-no_fixed_only-no_fixed_only ○ 配置が固定されているかどうかに関わらず、すべての配置をエクスポートします (デフォルトでは、固定された配置のみがエクスポートされます)。

-constraints-constraints-constraints ○ valid 無効と示される制約を含めます。有効な値は valid、invalid、または all (デフォルトは valid) です。

-pblocks-pblocks-pblocks ○ これらの Pblock の配置をエクスポートします (-cell とは併用できません)。

-cell-cell-cell ○ このセルの配置をエクスポートします (-pblocks とは併用できません)。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

file × 出力ファイル (-pblocks、-cellで指定したディレクトリ)

説明

ユーザー制約ファイル (UCF) に物理制約をエクスポートします。UCF は最上位レベルから

エクスポートできるほか (デフォルト)、特定の Pblock や階層セルからエクスポートすることもで

きます。

このコマンドでは、アクティブな制約ファイルセットに含まれるすべての UCF ファイルからの制

約がエクスポートされます。複数ファイルからの制約が指定した UCF ファイルに含まれます。

引数

-no_fixed_only-no_fixed_only-no_fixed_only - 固定の有無に関係なく LOC をすべて制約ファイルにエクスポートします。

デフォルトでは、固定された LOC 制約のみが UCF ファイルに書き込まれます。固定された

LOC はユーザーの割り当てた配置で、固定されていない LOC はツールで自動的に割り当

てられた配置です。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 337

Page 338: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

-constraints-constraints-constraints arg - 有効 (valid)、無効 (invalid) と指定した制約、またはすべての制約 (valid と

invalid の両方) がエクスポートされます。デフォルトでは、有効な制約のみが UCF ファイルに

エクスポートされますが、-constraints に VALID、INVALID、または ALL といった値を指定す

ることもできます。

-pblocks-pblocks-pblocks arg - 制約をエクスポートする Pblock を 1 つまたは複数指定します。

-cell-cell-cell arg - 制約をエクスポートする現在のデザインの階層セルの名前を指定します。制約は、

指定したセルに相対的な指定 UCF ファイルへ書き込まれます。

メモ : -cell オプションを指定する場合は、デザインを開いておく必要があります。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

file - 作成する UCF ファイルの名前を指定します。

メモ : パスがファイル名の一部として指定されない場合は、現在の作業ディレクトリまたは

PlanAhead が起動されたディレクトリの該当ファイルに書き込まれます。

-pblock または -cell オプションのいずれかが使用される場合、この引数で書き込まれる各

Pblock やセルの UCF ファイルのディレクトリ名を指定します。UCF ファイルの名前は、その

Pblock またはセルと同じになります。指定したファイルセットが存在しない場合は、PlanAhead

でエラーが表示されます。

次の例では、デザインのすべての Pblock の固定された LOC と固定されていない LOC を含

めた有効な制約と無効な制約が書き込まれます。

write_ucf -no_fixed_only -constraints all -pblocks [get_pblocks] C:/Data/FPGA_Design

関連文書

• read_ucf

• save_design

• save_design_as

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

338 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 339: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

write_verilog

現在のネットリストを Verilog 形式でエクスポートします。

構文

write_verilog [-dir arg] [-cell arg] [-mode arg][-nolib] [-write_all_overrides] [-rename_top_module arg][-sdf_anno arg] -sdf_file arg [-quiet] [file]

表示結果

出力ファイルまたはディレクトリ名

使用法

名前 オプション デフォルト 説明

-dir-dir-dir ○ 指定したディレクトリにファイルを書き込みます。

-cell-cell-cell ○ デザイン全体 des.subblk.cpu のように、書き込むデザインのルートを指定します。

-mode-mode-mode ○ design 有効な値は design、port、staまたは sim です。

-nolib-nolib-nolib ○ すべてのライブラリからのセルを 1 つのライブラリにまとめます。

-write_all_overrides-write_all_overrides-write_all_overrides ○ デフォルト値と同じであっても、書き込まれるパラメーターがザイリンクス プリミティブを上書きします。

-rename_top_module-rename_top_module-rename_top_module ○ 新しい最上位モジュール名

最上位モジュール名を netlistなどのカスタム名に置き換えます。

-sdf_anno-sdf_anno-sdf_anno ○ sdf_annotate システム タスク文を生成するかどうかを指定します。

-sdf_file-sdf_file-sdf_file × SDF ファイルのディレクトリまでのパス

-quiet-quiet-quiet ○ コマンド エラーを無視します。

file ○ この名前のファイルを書き込みます。 -dir とは併用できません。

説明

現在のデザインまたは特定セルの Verilog ネットリストを指定したファイルまたはディレクトリに

書き出します。出力は IEEE 1364-2001 準拠の Verilog HDL ファイルで、出力デザイン ファイ

ルからのネットリスト情報が含まれます。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 339

Page 340: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

デザインまたは特定セルの完全なネットリスト、デザインのポート リスト、シミュレーションまた

はスタティック タイミング解析用の Verilog ネットリストを出力できます。

引数

-mode-mode-mode arg - Verilog ファイルを書き出す際に使用するモードを指定します。デフォルトでは、

デザイン全体の Verilog ネットリストが書き出されます。有効なモードは、次のとおりです。

• design - デザイン全体の Verilog ネットリストを出力します。これは、すべての配置後、イ

ンプリメンテーション、配線情報を含んだデザインのスナップショットとして使用されます。

• port - デザインの最上位レベルの I/O ポートのみを出力します。

• sta - スタティック タイミング解析 (STA) に使用される Verilog ネットリストを出力します。

• sim - シミュレーション モデルとして使用される Verilog ネットリストを出力します。この出

力ネットリストは、合成には向いていません。

-dir-dir-dir arg - 指定したディレクトリにファイルを書き込みます。write_verilog コマンドでは、デザイ

ン全体またはデザインの各モジュールの複数のライブラリ ファイルに対して 1 つの Verilog

ネットリスト ファイルを書き出すことができます。

-cell-cell-cell arg - 指定したセルまたはデザイン階層のブロック レベルから Verilog ネットリストを書き

出します。出力される Verilog ファイルには、指定したセルまたはモジュール内の情報だけが

含まれます。

-nolib-nolib-nolib - デザインで使用されるすべてのライブラリからのモジュール定義を 1 つの Verilog 出

力ファイルにまとめます。

メモ : このオプションは -dir オプションに使用します。 この結果、デザインの最上位モジュー

ルの名前が付いた 1 つの Verilog 出力ファイルが書き出されます。

-write_all_overrides-write_all_overrides-write_all_overrides - デフォルト値と同じであっても、書き込まれるパラメーターがザイリンクス

プリミティブを上書きします。

-rename_top_module-rename_top_module-rename_top_module arg - このオプションは、-mode が sim に設定される場合にのみ適用され

ます。最上位モジュール名はカスタム名に変更されます。このオプションは、ネットリストをシ

ミュレーション用テストベンチに挿入するために使用します。

-sdf_anno-sdf_anno-sdf_anno [[[ truetruetrue ||| falsefalsefalse ]]] - このオプションは、-mode が sim に設定される場合にのみ適用さ

れます。これは、デフォルトで true に設定されるブール型オプションで、-mode オプション

が sim に設定されるとオンまたはオフにできます。true にする場合は、Verilog ネットリストに

$sdf_annotate 文を追加する必要があります。有効な値は、true (または 1) および false (また

は 0) です。

-sdf_path-sdf_path-sdf_path arg - このオプションは、-sdf_anno が true の場合にのみ指定できます。デフォルト

では、SDF ファイルのパスおよびファイル名は、書き込まれる Verilog ファイルの名前に .sdf

が付いたものと仮定されます。このオプションは、SDF ファイルのパスがそれとは異なる場合

にのみ使用します。SDF ファイル名自体は、出力される Verilog ファイル名と同じと仮定され

たままになります。SDF ファイルを作成する場合は、write_sdf コマンドを使用します。

file - エクスポートする Verilog ファイルの名前を指定します。-dir オプションを使用する場合

は、ファイル名は指定しません。

メモ : パスがファイル名の一部として指定されない場合は、現在の作業ディレクトリまたは

PlanAhead が起動されたディレクトリの該当ファイルに書き込まれます。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

340 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 341: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次の例では、現在のデザインの SDF 注釈付きの Verilog シミュレーション ネットリスト ファイ

ルが書き出されます。

write_verilog C:/Data/FPGA_Design/top.v -mode sim -sdf_path C:/Data/sources

関連文書

write_sdf

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 341

Page 342: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

write_vhdl

現在のネットリストを VHDL 形式でエクスポートします。

構文

write_vhdl [-dir arg] [-cell arg] [-mode arg][-nolib] [-write_all_overrides] [-top_module arg][-sdf_anno arg] -sdf_path arg [-quiet] [file]

表示結果

出力ファイルまたはディレクトリ名

使用法

名前 オプション デフォルト 説明

-dir-dir-dir ○ 指定したディレクトリにファイルを書き込みます。

-cell-cell-cell ○ デザイン全体 des.subblk.cpu のように、書き込むデザインのルートを指定します。

-mode-mode-mode ○ design 有効な値は design、port、staまたは timing_sim です。

-nolib-nolib-nolib ○ すべてのライブラリからのセルを 1 つのライブラリにまとめます。

-write_all_overrides-write_all_overrides-write_all_overrides ○ デフォルト値と同じであっても、書き込みパラメータがザイリンクス プリミティブに上書きされます。

-top_module-top_module-top_module ○ 新しい最上位モジュール名

最上位モジュール名を netlistなどのカスタムの名前に置き換えます。

-sdf_anno-sdf_anno-sdf_anno ○ sdf_annotate システム タスク文が生成されるかどうかを指定します。

-sdf_path-sdf_path-sdf_path × SDF ファイルのディレクトリまでのパス

-quiet-quiet-quiet ○ コマンド エラーを無視します。

file ○ この名前のファイルを書き込みます。 -dir とは併用できません。

説明

VHDL ソース ファイルをインポートします。このコマンドは、add_files および import_files コマン

ドとかなり類似しています。読み込まれたファイルは、現在のソース ファイルセットに追加され

ます。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

342 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 343: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

引数

-library-library-library arg - VHDL ファイルの参照するライブラリを指定します。

file - インポートする VHDL ファイルの名前を指定します。

メモ : パスがファイル名の一部として指定されない場合は、現在の作業ディレクトリまたは

PlanAhead が起動されたディレクトリの該当ファイルに書き込まれます。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

次の例では、指定した VHDL ファイルを読み込んで、アクティブなソース ファイルセットに追

加しています。

read_verilog C:/Data/FPGA_Design/new_module.vhdl

関連文書

• add_files

• import_files

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 343

Page 344: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

write_xdc

XDC 情報をファイルまたはディレクトリにエクスポートします。

構文

write_xdc [-no_fixed_only] [-constraints arg] [-pblocks args][-cell arg] [-sdc] [-no_tool_comments] [-quiet] file

表示結果

出力ファイルまたはディレクトリ名

使用法

名前 オプション デフォルト 説明

-no_fixed_only-no_fixed_only-no_fixed_only ○ 配置が固定されているかどうかに関わらず、すべての配置をエクスポートします (デフォルトでは、固定された配置のみがエクスポートされます)。

-constraints-constraints-constraints ○ valid 無効と示される制約を含めます。有効な値は valid、invalid、または all (デフォルトは valid) です。

-pblocks-pblocks-pblocks ○ これらの Pblock の配置をエクスポートします (-cell とは併用できません)。

-cell-cell-cell ○ このセルの配置をエクスポートします (-pblocks とは併用できません)。

-sdc-sdc-sdc ○ すべてのタイミング制約をエクスポートします。

-no_tool_comments-no_tool_comments-no_tool_comments ○ UCF から変換する際にツールで生成された詳細コメントを XDC に書き込みません。

-quiet-quiet-quiet ○ コマンド エラーを無視します。

file × 出力ファイル (-pblocks、-cellで指定したディレクトリ)

説明

物理制約をザイリンクス デザイン制約 (XDC) にエクスポートします。XDC は最上位レベル

からエクスポートできるほか (デフォルト)、特定の Pblock や階層セルからエクスポートするこ

ともできます。

このコマンドは、UCF ファイルを含むデザインから XDC ファイルを作成するために使用でき

ます。アクティブな制約ファイルセットからの制約はすべて、それらが複数ファイルからの制約

であっても、XDC にエクスポートされます。

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

344 http://japan.xilinx.com UG789 2011 年 7 月 6 日

Page 345: PlanAhead ソフトウェア Tcl コマンド リファレンス …...• set_clock_gating_check:クロックゲート付きチェックをキャプチャします。• set_clock_groups:専用または非同期のクロックグループを設定します。•

第 2 章 : アルファベット別 SDC および Tcl コマンド

引数

-no_fixed_only-no_fixed_only-no_fixed_only - 固定の有無に関係なく LOC をすべて制約ファイルにエクスポートします。

デフォルトでは、固定された LOC 制約のみが XDC ファイルに書き込まれます。固定された

LOC はユーザーの割り当てた配置で、固定されていない LOC はツールで自動的に割り当

てられた配置です。

-constraints-constraints-constraints arg - 有効 (valid)、無効 (invalid) と指定した制約、またはすべての制約 (valid と

invalid の両方) がエクスポートされます。デフォルトでは、有効な制約のみが XDC ファイルに

エクスポートされますが、-constraints に VALID、INVALID、または ALL といった値を指定す

ることもできます。

-pblocks-pblocks-pblocks arg - 制約をエクスポートする Pblock を 1 つまたは複数指定します。

-cell-cell-cell arg - 制約をエクスポートする現在のデザインの階層セルの名前を指定します。制約は、

指定したセルに相対的な指定した XDC ファイルに書き込まれます。

メモ : -cell オプションを指定する場合は、デザインを開いておく必要があります。

-sdc-sdc-sdc - 現在のデザインからタイミング制約のみを SDC フォーマットでエクスポートします。そ

の他の定義された制約は、エクスポートされません。

-no_tool_comments-no_tool_comments-no_tool_comments - XDC ファイルにツール生成のコメントが書き込まれないようになります。

このオプションを指定しない場合は、XDC ファイルの作成に関するコメントおよび警告が追

加されます。

-quiet-quiet-quiet - コマンドをメッセージなしに実行し、コマンド ライン エラーを無視して、コマンドが実

行エラーになった場合でもエラー メッセージを表示しないようにします。

file - 作成する XDC ファイルの名前を指定します。XDC ファイルのデフォルトのファイル拡

張子は、.ucf です。

メモ : パスがファイル名の一部として指定されない場合は、現在の作業ディレクトリまたは

PlanAhead が起動されたディレクトリの該当ファイルに書き込まれます。

-pblock または -cell オプションのいずれかが使用される場合、この引数で書き込まれる各

Pblock やセルの XDC ファイルのディレクトリ名を指定します。XDC ファイルの名前は、その

Pblock またはセルと同じになります。指定したファイルセットが存在しない場合は、PlanAhead

でエラーが表示されます。

次の例では、デザインのすべての Pblock の固定された LOC と固定されていない LOC を含

めた有効な制約と無効な制約が書き込まれます。

write_xdc -no_fixed_only -constraints all -pblocks [get_pblocks] C:/Data/FPGA_Design

関連文書

read_xdc

PlanAhead ソフトウェア Tcl コマンド リファレンス ガイド

UG789 2011 年 7 月 6 日 http://japan.xilinx.com 345