98
José Manuel Mendías Cuadros Dpto. Arquitectura de Computadores y Automática Universidad Complutense de Madrid Tema 4: Módulos combinacionales básicos Fundamentos de computadores I

Tema 4: Módulos combinacionalesbásicos

  • Upload
    others

  • View
    0

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Tema 4: Módulos combinacionalesbásicos

José Manuel Mendías CuadrosDpto. Arquitectura de Computadores y AutomáticaUniversidad Complutense de Madrid

Tema 4:Módulos combinacionales básicosFundamentos de computadores I

Page 2: Tema 4: Módulos combinacionalesbásicos

2

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos

Decodificador.Multiplexor. Bus. Codificador. ROM (Read Ony Memory). Comparador. Sumador/Restador.  ALU (Arithmetic Logic Unit).Transparencias basadas en los libros: 

• R. Hermida, F. Sánchez y E. del Corral. Fundamentos de computadores.• D. Gajsky. Principios de diseño digital.

Page 3: Tema 4: Módulos combinacionalesbásicos

3

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

E

2n-1

0 z0

z2 -1

0

n-1xn-1

x0

... ...

n

si la entrada toma la configuración binaria p, la salida (p)10‐ésima se activa

zi = Eꞏmi(x)

Decodificador n a 2n

x n entradas de datos

z 2n salidas de datos

E 1 entrada de capacitación (op)

zi =1 si E=1 y (x)10 = i

0 en otro caso

Page 4: Tema 4: Módulos combinacionalesbásicos

4

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

Implementación directax1 x0 E

z0

z1

z2

z3

Decodificador 2 a 4

Page 5: Tema 4: Módulos combinacionalesbásicos

5

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

30 21

z0 z1 z2 z3

0 1

x0 x1

30 21

z4 z5 z6 z7

0 1

30 21

z8 z9 z10 z11

0 1

30 21

z12 z13 z14 z15

0 1

x2 x3

Implementación en árbolDecodificador 4 a 16

E

Page 6: Tema 4: Módulos combinacionalesbásicos

6

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

30 21

z0 z1 z2 z3

0 1

x0 x1

30 21

z4 z5 z6 z7

0 1

30 21

z8 z9 z10 z11

0 1

30 21

z12 z13 z14 z15

0 1

E30 21

0 1

x2 x3

Implementación en árbolDecodificador 4 a 16

Page 7: Tema 4: Módulos combinacionalesbásicos

7

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

30 21

z0 z1 z2 z3

0 1

x0 x1

30 21

z4 z5 z6 z7

0 1

30 21

z8 z9 z10 z11

0 1

30 21

z12 z13 z14 z15

0 1

E30 21

0 1

x2 x3

Implementación en árbolDecodificador 4 a 16

Page 8: Tema 4: Módulos combinacionalesbásicos

8

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos

Aplicaciones al diseño:1. Habilitar selectivamente 1 de n subcomponentes cada uno 

asociado a un índice (dirección) binaria.2. Implementar directamente SPC usando puertas OR adicionales 

(que sumen cada unos de los mintérminos de la FC).

3

0

2

10

1

x0x1

x1 x0 x1 x0

2

Page 9: Tema 4: Módulos combinacionalesbásicos

9

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

...

Multiplexor 2n a 1

z =xi si E=1 y (s)10 = i

0 en otro caso

2n-1

0x0

x2 -1

z

n

E

0n-1

...

s0sn-1

si la entrada de control toma la configuración binaria p, la salida equivale a la entrada (p)10‐ésima

z = Eꞏ( xiꞏmi(s) )

x 2n entradas de datos 

s n entradas de control

E 1 entrada de capacitación (op)

z 1 salida de datos

Page 10: Tema 4: Módulos combinacionalesbásicos

10

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

x0

x1

x2

x3

s0s1 E

z

Implementación directaMultiplexor 4 a 1

Page 11: Tema 4: Módulos combinacionalesbásicos

11

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

01010101

x2x3

s0

s1

s2

x0x1x6x7 x4x5

Implementación en árbolMultiplexor 8 a 1

z

Page 12: Tema 4: Módulos combinacionalesbásicos

12

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

01010101

0101

x2x3

s0

s1

x0x1x6x7 x4x5

Implementación en árbolMultiplexor 8 a 1

z

Page 13: Tema 4: Módulos combinacionalesbásicos

13

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

01010101

0101

01

z

x2x3

s0

s1

s2

x0x1x6x7 x4x5

Implementación en árbolMultiplexor 8 a 1

Page 14: Tema 4: Módulos combinacionalesbásicos

14

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

01010101

0101

01

z

x2x3

s0

s1

s2

x0x1x6x7 x4x5

Implementación en árbolMultiplexor 8 a 1

Page 15: Tema 4: Módulos combinacionalesbásicos

15

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos

Aplicaciones al diseño:1. Conectar selectivamente varias entradas una misma salida.2. Implementar directamente FC que tengan el mismo número de 

variables que entradas de control (transcribiendo su tabla de verdad).3. Implementar funciones de manera que las EC a simplificar tengan 

menos variables.x1x0

x3x20 1 23

00

01

00 01

4 5 67

11 10

11

10

12 13 1415

8 9 1011

0

1

f2

31

00

1

0

2

31

10

x0x1

x1 x010

2 3

x3x2

01

x0x1f0 f1 f3 f2

f0

f1

f2

f3

Page 16: Tema 4: Módulos combinacionalesbásicos

16

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos

...

Multiplexor 2n a 1 de k bits

2n-1

0x0

x2 -1

z

n

0n-1

...

s0sn-1

k

k

ksi la entrada de control toma la configuración binaria p, la salida equivale a la entrada (p)10‐ésima

x 2n entradas de datos de k bits

s n entradas de control

E 1 entrada de capacitación (op)

z 1 salida de datos de k bits

E

z =xi si E=1 y (s)10 = i

0 en otro caso

zj = Eꞏ( xijꞏmi(s) )

Page 17: Tema 4: Módulos combinacionalesbásicos

17

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos

01010101

y1x1

s

y0x0y3x3 y2x2

z3 z2 z1 z0

Multiplexor 2 a 1 de 4 bits

s

x

z

01

y

4 4

4

Page 18: Tema 4: Módulos combinacionalesbásicos

18

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos y C2 43 91 7D

x 01 AB 77 A8 68

s

s

x

z

01

y

8 8

8

z

Page 19: Tema 4: Módulos combinacionalesbásicos

19

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos C2 43 91 7D

01 AB 77 A8 68

z C2

retardo propagación

s

z

01

8 8

8

s

y

x

x y

Page 20: Tema 4: Módulos combinacionalesbásicos

20

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos C2 43 91 7D

01 AB 77 A8 68

z C2 43

retardo propagación

s

z

01

8 8

8

s

y

x

x y

Page 21: Tema 4: Módulos combinacionalesbásicos

21

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos C2 43 91 7D

01 AB 77 A8 68

z C2 43 AB

retardo propagación

s

z

01

8 8

8

s

y

x

x y

Page 22: Tema 4: Módulos combinacionalesbásicos

22

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos C2 43 91 7D

01 AB 77 A8 68

z C2 43 AB 77 A8 91 7D

retardo propagación

s

z

01

8 8

8

s

y

x

x y

Page 23: Tema 4: Módulos combinacionalesbásicos

23

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

k

k

k

...

0

0n-1

sn-1 s0

2n-1

x0

x2 -1n

z

...

...

si la entrada de control toma la configuración binaria p, la salida equivale a la entrada (p)10‐ésima

x 2n entradas de datos de k bits

s n entradas de control

z 1 salida de datos de k bits

Page 24: Tema 4: Módulos combinacionalesbásicos

24

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

z =

(i)2 si E=1 y xi = 1 y j, j≠i, xj=0

0 si E=0 ó si E=1 y i xi=0

‐ en otro caso

A =1 si E=1 y i, xi=1

0 en otro caso

......

Codificador 2n a n

x 2n entradas de datos 

z n salidas de datos

E 1 entrada de capacitación (op)

A 1 salida de actividad

A

2n‐1

0x0

x2 -1

0

n‐1 zn-1

z0

n

E

zi = Eꞏ( xj ) con j { (an-1...a0)2 / ai = 1 }A = Eꞏ( xi )

si se activa la entrada p‐ésima y solo esa, la salida codifica p en binario

Page 25: Tema 4: Módulos combinacionalesbásicos

25

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

x0x7 E

z0

z1

z2

A

entrada activada z2 z1 z0

x0 0 0 0x1 0 0 1x2 0 1 0x3 0 1 1x4 1 0 0x5 1 0 1x6 1 1 0x7 1 1 1

Implementación directaCodificador  8 a 3

Page 26: Tema 4: Módulos combinacionalesbásicos

26

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

......

Codificador deprioridad 2n a n

A

2n-1

0x0

x2 ‐1

0

n-1 zn‐1

z0

n

Ei

Eo

x 2n entradas de datos 

z n salidas de datos

Ei 1 entrada de capacitación (op)

Eo 1 salida de capacitación (op)

A 1 salida de actividad

la salida codifica en binario la entrada activa de más peso

z =(i)2 si Ei=1 y xi = 1 y j, j>i, xj=0

0 en otro caso

A =1 si Ei=1 y i, xi=1

0 en otro caso

Eo =1 si Ei=1 y j, xj = 0 

0 en otro caso

Page 27: Tema 4: Módulos combinacionalesbásicos

27

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

01

n-1

E

0

1

2 -1n

z0

z1

zn-1

v2 -1n

x0

x1

x2 -1n

Res

oluc

ión

depr

iorid

ades

Ei

A

Eo

A

v1

v0

vi = xiꞏ( xj ) con j > xi

Page 28: Tema 4: Módulos combinacionalesbásicos

28

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

x0

x1

x2

x3

v0

v1

v2

v3

Implementación directaResolución de prioridades 

Codificador 4 a 2

Page 29: Tema 4: Módulos combinacionalesbásicos

29

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

A

3 02 1

x0x1x2x3

01A

3 02 1

x4x5x6x7

01A

3 02 1

x8x9x10x11

01A

3 02 1

x12x13x14x15

01

z2z3

A

z0z1

Implementación en árbol

Codificador 16 a 4

Page 30: Tema 4: Módulos combinacionalesbásicos

30

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

A

3 02 1

x0x1x2x3

01A

3 02 1

x4x5x6x7

01A

3 02 1

x8x9x10x11

01A

3 02 1

x12x13x14x15

01

z2z3

3 02 101

A

z0z1

22

22

Implementación en árbol

Codificador 16 a 4

Page 31: Tema 4: Módulos combinacionalesbásicos

31

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

A

3 02 1

x0x1x2x3

01A

3 02 1

x4x5x6x7

01A

3 02 1

x8x9x10x11

01A

3 02 1

x12x13x14x15

01

A

3 02 1

01

z2z3

3 02 101

A

z0z1

22

22

Implementación en árbol

Codificador 16 a 4

Page 32: Tema 4: Módulos combinacionalesbásicos

32

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

A

3 02 1

x0x1x2x3

01A

3 02 1

x4x5x6x7

01A

3 02 1

x8x9x10x11

01A

3 02 1

x12x13x14x15

01

A

3 02 1

01

z2z3

3 02 101

A

z0z1

22

22

Implementación en árbol

Codificador 16 a 4

Page 33: Tema 4: Módulos combinacionalesbásicos

33

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos

3 02 1

x8x9x10x11

2A

7 46 5

x12x13x14x15

01

z2z3 z1

Implementación en cascada

Codificador 16 a 4

Ei EoE

3 02 1

x0x1x2x3

2A

7 46 5

x4x5x6x7

01

Ei Eo

z0A

Page 34: Tema 4: Módulos combinacionalesbásicos

34

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos

3 02 1

x8x9x10x11

2A

7 46 5

x12x13x14x15

01

z2z3 z1

Implementación en cascada

Codificador 16 a 4

Ei EoE

3 02 1

x0x1x2x3

2A

7 46 5

x4x5x6x7

01

Ei Eo

z0A

Page 35: Tema 4: Módulos combinacionalesbásicos

35

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos

3 02 1

x8x9x10x11

2A

7 46 5

x12x13x14x15

01

z2z3 z1

Implementación en cascada

Codificador 16 a 4

Ei EoE

3 02 1

x0x1x2x3

2A

7 46 5

x4x5x6x7

01

Ei Eo

z0A

Page 36: Tema 4: Módulos combinacionalesbásicos

36

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos

3 02 1

x8x9x10x11

2A

7 46 5

x12x13x14x15

01

z2z3 z1

Implementación en cascada

Codificador 16 a 4

Ei EoE

3 02 1

x0x1x2x3

2A

7 46 5

x4x5x6x7

01

Ei Eo

z0A

Page 37: Tema 4: Módulos combinacionalesbásicos

37

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos

3 02 1

x8x9x10x11

2A

7 46 5

x12x13x14x15

01

z2z3 z1

Implementación en cascada

Codificador 16 a 4

Ei EoE

3 02 1

x0x1x2x3

2A

7 46 5

x4x5x6x7

01

Ei Eo

z0A

Page 38: Tema 4: Módulos combinacionalesbásicos

38

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

Aplicaciones al diseño:1. Asociar un código a cada componente de un vector de entrada.

A3

0

2

1 0

1

RSW0

RSW1

RSW2

RSW3

Tecl

ado

linea

l

código de latecla pulsada

indica si hay algunatecla pulsada

Page 39: Tema 4: Módulos combinacionalesbásicos

39

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

RO

Md

OE

a

a 1 entrada de dirección de k bits 

d 1 salida de datos de n bits

CE 1 entrada de capacitación (op)

OE 1 entrada de capacitación de lectura (op)

dispositivo programable capaz de implementar n FC de k variables 

almacenando sus tablas de verdad 

Array de 2kpuertas AND de k entradas

fijas

Array de n puertas OR 

de 2k entradas programables

2k na d

k

k n

ROM 2k×n(2k palabras de n bits)

memoria no volátil de capaz de almacenar 2k palabras de n bits cada una

CE

Page 40: Tema 4: Módulos combinacionalesbásicos

40

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21 a1 a0

d0d1d2

Array de puertas OR

Array de puertas AND

fija programable

ROM 4×3

Page 41: Tema 4: Módulos combinacionalesbásicos

41

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21 CE

Array de puertas OR

Array de puertas AND

OEfija programable d0d1d2

a1 a0

ROM 4×3

Page 42: Tema 4: Módulos combinacionalesbásicos

42

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

fija programable

0

3

1

21

0

Decodificador 2 a 4

d0d1d2

a1 a0

ROM 4×3

Page 43: Tema 4: Módulos combinacionalesbásicos

43

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos

Aplicaciones al diseño:o Implementar directamente FC almacenando su tabla de verdad.

a1 a0 d2 d1 d0

0 0 0 1 1 0

1 0 1 1 1 0

2 1 0 0 1 1

3 1 1 1 0 0

d0d1d2

0

3

1

21

0

a1

a0110110011100

d0d1d2

a1

a0

ROM

d0 = a1∙a0

d1 = a1∙a0

d2 = a1 + a0

Page 44: Tema 4: Módulos combinacionalesbásicos

44

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos

Cuando a cambia, la ROM recupera el dato correspondienteo El dato solo aparece en d si CE y OE están activadas

OE

CE

a

d

tiempo deacceso

Page 45: Tema 4: Módulos combinacionalesbásicos

45

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos

Mask Programmable ROMo Se programa durante la fabricación del chip. o No puede borrarse/reprogramarse.

PROM (Programmable ROM)o Se programa eléctricamente usando un programador.o No puede borrarse/reprogramarse.

EPROM (Erasable Programmable ROM)o Se programa eléctricamente usando un programador.o Se borra (chip completo) exponiéndola a luz ultravioleta.

EEPROM (Electrically Erasable Programmable ROM) o Se programa/borra (palabra) eléctricamente usando un programador.

Flash memoryo Se programa/borra (bloque) eléctricamente sin requerir programador.

Page 46: Tema 4: Módulos combinacionalesbásicos

46

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos

La capacidad de las memorias se mide en bytes (8 bits)o Cuando el número de bytes es alto, se utilizan prefijos.

Históricamente, los prefijos indican cantidades potencias de 2• Kilobyte (KB)  = 210 bytes  = 1.024 bytes• Megabyte (MB)  = 220 bytes  = 1.048.576 bytes• Gigabyte (GB)  = 230 bytes  = 1.073.741.824 bytes

Sin embargo, desde hace algunos años su significado se ha homogeneizado con el definido en el Sistema Internacional de unidades (potencias de 10)

• Kilobyte (kB)  = 103 bytes  = 1.000 bytes• Megabyte (MB)  = 106 bytes  = 1.000.000 bytes• Gigabyte (GB)  = 109 bytes  = 1.000.000.000 bytes

o Y se han definido nuevos prefijos para indicar las potencias de 2 • Kibibyte (KiB)  = 210 bytes  = 1.024 bytes• Mebibyte (MiB)  = 220 bytes  = 1.048.576 bytes• Gibibyte (GiB)  = 230 bytes  = 1.073.741.824 bytes

o No obstante, todavía no está generalizado el uso de los nuevos prefijos .

Page 47: Tema 4: Módulos combinacionalesbásicos

47

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos

Varias ROM se pueden componer para comportarse como una ROM de mayor anchura de palabra.

a10 10

8

ROM 210×8

8

ROM 210×8

10

8

ROM 210×8

10

8

ROM 210×8

32

dImplementación multimóduloROM 210×32 (4 KiB) usando 4 ROM 210×8 (1 KiB)

A B C D

Page 48: Tema 4: Módulos combinacionalesbásicos

48

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos

Varias ROM se pueden componer para comportarse como una ROM de mayor profundidad.

10

8

ROM 210×8

8

ROM 210×8

10

8

ROM 210×8

10

8

ROM 210×8

bus

OE OE OE OE

a9..0

8

a11..10

01232

10

dImplementación multimódulo

ROM 212×8 (4 KiB) usando 4 ROM 210×8 (1 KiB)

A B C D

Page 49: Tema 4: Módulos combinacionalesbásicos

49

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos

Distintas organizaciones de ROM dan lugar a distintos mapas de memoria:

ROM 212×8

ROM 210×8

ROM 210×8

ROM 210×8

ROM 210×8

000

3FF

000

FFF

000

FFF

3FF

400

7FF

800

BFFC000781516232431

031

000

3FF

07 07

ROM 210×8

ROM 210×8

ROM 210×8

ROM 210×8

ROM 210×32

rango de bits  cubierto por cada ROM

rang

ode

 dire

ccione

scub

iertopo

r cad

aRO

M

A B C D

A

B

C

D

Page 50: Tema 4: Módulos combinacionalesbásicos

50

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos

PLA

ROM

PAL

CPLD

interconexiones(re)programables

Page 51: Tema 4: Módulos combinacionalesbásicos

51

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

x, y 2 entradas de datos de n bits

Gi, Li 2 entrada de acarreo

Go, Lo 2 salidas de comparación

compara las magnitudes de x e y

=/</>

yxnn

Go Lo

GiLi

Go =1 si (x>y) o (x=y y Gi>Li)

0 en otro caso

Lo =1 si (x<y) o (x=y y Gi<Li)

0 en otro caso

x = y

x ≠ yx > y

x < y

Page 52: Tema 4: Módulos combinacionalesbásicos

52

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

xi yi Gi Li Go Lo0 0 0 0 0 00 0 0 1 0 10 0 1 0 1 00 0 1 1 0 00 1 0 0 0 10 1 0 1 0 10 1 1 0 0 10 1 1 1 0 11 0 0 0 1 01 0 0 1 1 01 0 1 0 1 01 0 1 1 1 01 1 0 0 0 01 1 0 1 0 11 1 1 0 1 01 1 1 1 0 0

yixi

Go

Lo

LiGi

𝐺 𝑥 𝑦 𝑥 𝐺 𝐿 𝑦 𝐺 𝐿𝐿 𝑥 𝑦 𝑥 𝐺 𝐿 𝑦 𝐺 𝐿

CMP

Page 53: Tema 4: Módulos combinacionalesbásicos

53

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

Implementación en serie

CMP

y0x0

Comparador de 4 bitsCMP

y1x1

CMP

y2x2y3x3

GoLo

CMP

y0x0y1x1

CMP

y2x2y3x3

CMPGoLo

Implementación en árbolComparador de 4 bits

Page 54: Tema 4: Módulos combinacionalesbásicos

54

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

+

yx

z

cico

nn

n

x, y 2 entradas de datos de n bits

ci 1 entrada de acarreo (carry)

z 1 salida de datos de n bits

co 1 salida de acarreo (carry)

realiza la suma binaria: x + y + ci

z = (x + y + ci) mod 2n

co =1 si (x + y + ci) ≥ 2n

0 en otro caso

Page 55: Tema 4: Módulos combinacionalesbásicos

55

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

Implementación conpropagación de acarreos

FA

z0

y0x0

Sumador de 4 bitsciFA

z1

y1x1

FA

z2

y2x2

FA

z3

y3x3

coyixi

cico

zi(*) xꞏy + xꞏc + yꞏc = xꞏy + (xꞏyꞏc + xꞏyꞏc) + (xꞏyꞏc + xꞏyꞏc) =

= xꞏy + xꞏyꞏc + xꞏyꞏc = xꞏy + (xꞏy + xꞏy)ꞏc = xꞏy + (xy)ꞏc

zi = (xi yi) ci

co = xiꞏyi + xiꞏci + yiꞏci= xiꞏyi + (xi yi )ꞏci (*)

ci xi yi co zi0 0 0 0 00 0 1 0 10 1 0 0 10 1 1 1 01 0 0 0 11 0 1 1 01 1 0 1 01 1 1 1 1

FA(Full Adder)

Page 56: Tema 4: Módulos combinacionalesbásicos

56

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos

El sumador permite sumar tanto 2 números codificados en binario puro como 2 números codificados en C2o El resultado será coherente con la codificación de las entradas.

Si los números son sin signo (binario puro)o co indica si se produce desbordamiento.

Si los números son con signo (en C2)o El desbordamiento se detecta chequeando si el signo del resultado 

es coherente con el signo de los operandos.

zn-1

v

yn-1xn-1

signos dex, y, z

(-x) + (-y) sale positivo

(+x) + (+y) sale negativo

Page 57: Tema 4: Módulos combinacionalesbásicos

57

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos

FA

z0

y0x0

FA

z1

y1x1

FA

z2

y2x2

FA

z3

y3x3

v

cico

x3 y3 z3 v

0 0 0 00 1 1 01 0 1 01 1 0 10 0 1 10 1 0 01 0 0 01 1 1 0

desbordamiento C2

Page 58: Tema 4: Módulos combinacionalesbásicos

58

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos

FA

z0

y0x0

FA

z1

y1x1

FA

z2

y2x2

FA

z3

y3x3

v

cico

c2 x3 y3 c3 z3 v

0 0 0 0 0 00 0 1 0 1 00 1 0 0 1 00 1 1 1 0 11 0 0 0 1 11 0 1 1 0 01 1 0 1 0 01 1 1 1 1 0

desbordamiento C2

c3 c2

Page 59: Tema 4: Módulos combinacionalesbásicos

59

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos

FA

z0

y0x0

FA

z1

y1x1

FA

z2

y2x2

FA

z3

y3x3

cico

c2 c3 v

0 0 00 0 00 0 00 1 11 0 11 1 01 1 01 1 0

v

desbordamiento C2

c3 c2

Page 60: Tema 4: Módulos combinacionalesbásicos

60

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos

Varios sumadores se pueden componer en serie para para comportarse como un sumador de mayor anchura.

+

y3..0x3..0

z3..0

ci+

y7..4x7..4

z7..4

4 4 4 4

44

co

Implementación serieSumador de 8 bits

Page 61: Tema 4: Módulos combinacionalesbásicos

61

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

yx

z

bibo

nn

n

x, y 2 entradas de datos de n bits

bi 1 entrada de acarreo (borrow)

z 1 salida de datos de n bits

bo 1 salida de acarreo (borrow)

realiza la resta binaria: x – y – bi

z = (x – y – bi) mod 2n

bo =1 si (x – y – bi) < 0

0 en otro caso

Page 62: Tema 4: Módulos combinacionalesbásicos

62

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

Implementación conpropagación de acarreos

Restador de 4 bits

zi = (xi yi) bi

bo = xiꞏyi + xiꞏbi + yiꞏbi

yixi

bibo

zi

bi xi yi bo zi0 0 0 0 00 0 1 1 10 1 0 0 10 1 1 0 01 0 0 1 11 0 1 1 01 1 0 0 01 1 1 1 1

= xiꞏyi + (xi yi )ꞏbi

FS

z0

y0x0

biFS

z1

y1x1

FS

z2

y2x2

FS

z3

y3x3

bo

FS(Full Subtractor)

Page 63: Tema 4: Módulos combinacionalesbásicos

63

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos

El restador permite restar tanto 2 números codificados en binario puro como 2 números codificados en C2o El resultado será coherente con la codificación de las entradas.

Si los números son sin signo (binario puro)o bo indica si se produce desbordamiento.

Si los números son con signo (en C2)o El desbordamiento se detecta chequeando si el signo del resultado 

es coherente con el signo de los operandos.

zn-1

v

yn-1xn-1

signos dex, y, z

(-x) - (+y) sale positivo

(+x) - (-y) sale negativo

Page 64: Tema 4: Módulos combinacionalesbásicos

64

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos

FS

z0

y0x0

FS

z1

y1x1

FS

z2

y2x2

FS

z3

y3x3

v

bibo

x3 y3 z3 v

0 0 0 00 1 1 11 0 1 01 1 0 00 0 1 00 1 0 01 0 0 11 1 1 0

desbordamiento C2

desbordamiento C2

Page 65: Tema 4: Módulos combinacionalesbásicos

65

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos

FS

z0

y0x0

FS

z1

y1x1

FS

z2

y2x2

FS

z3

y3x3

v

bibo

b2 x3 y3 b3 z3 v

0 0 0 0 0 00 0 1 1 1 10 1 0 0 1 00 1 1 0 0 01 0 0 1 1 01 0 1 1 0 01 1 0 0 0 11 1 1 1 1 0

desbordamiento C2

desbordamiento C2

b3 b2

Page 66: Tema 4: Módulos combinacionalesbásicos

66

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos

FS

z0

y0x0

FS

z1

y1x1

FS

z2

y2x2

FS

z3

y3x3

bibo

c2 c3 v

0 0 00 1 10 0 00 0 01 1 01 1 01 0 11 1 0

vdesbordamiento C2

desbordamiento C2

b3 b2

Page 67: Tema 4: Módulos combinacionalesbásicos

67

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos

Un restador también puede diseñarse usando un sumador

FA

x0

ciFA

y1x1

FA

y2x2

FA

y3x3

co

y0

v

z0z1z2z3

Page 68: Tema 4: Módulos combinacionalesbásicos

68

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos

Un restador también puede diseñarse usando un sumadorz = x – y – bi = x + (–y) – bi =C2 x + C2(y) – bi = x + C1(y) + 1 – bi = x + y + bi

FA

x0

biFA

y1x1

FA

y2x2

FA

y3x3

bo

y0

v

z0z1z2z3

Implementación alternativa conpropagación de acarreos

Restador de 4 bits

Page 69: Tema 4: Módulos combinacionalesbásicos

69

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos

Un restador también puede diseñarse usando un sumadorz = x – y – bi = x + (–y) – bi =C2 x + C2(y) – bi = x + C1(y) + 1 – bi = x + y + bi

FA

x0

biFA

y1x1

FA

y2x2

FA

y3x3

bo

y0

v

z0z1z2z3

Implementación alternativa conpropagación de acarreos

Restador de 4 bits

Page 70: Tema 4: Módulos combinacionalesbásicos

70

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos

Varios restadores se pueden componer en serie para para comportarse como un restador de mayor anchura.

y3..0x3..0

z3..0

bi–

y7..4x7..4

z7..4

4 4 4 4

44

bo

Implementación serieRestador de 8 bits

Page 71: Tema 4: Módulos combinacionalesbásicos

71

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

+/–

yx

z

op

nn

n

cv

a, b 2 entradas de datos de n bits

op 1 entrada de selección de operación

z 1 salida de datos de n bits

c 1 salida de acarreo (carry)

v 1 salida de overflow (opcional)

z = (x + y) mod 2n

c =1 si (x + y) ≥ 2n

0 en otro caso

v =1 si [xn‐1=yn‐1=0 y zn‐1=1] ó

[xn‐1=yn‐1=1 y zn‐1=0]

0 en otro caso

z = (x – y) mod 2n

c =0 si (x – y) < 0

1 en otro caso

v  = 1 si [zn‐1=yn‐1=0 y xn‐1=1] ó[zn‐1=yn‐1=1 y xn‐1=0]

0 en otro caso

op = 0 op = 1

realiza la suma/resta de x e y

Page 72: Tema 4: Módulos combinacionalesbásicos

72

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

z = x + y = x + y + 0si op=0

Page 73: Tema 4: Módulos combinacionalesbásicos

73

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

z = x + y = x + y + 0z = x – y = x + (–y) =C2=C2 x + C2(y) = x + C1(y) + 1 = x + y + 1 

si op=0si op=1

Page 74: Tema 4: Módulos combinacionalesbásicos

74

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

z = x + y = x + y + 0z = x – y = x + (–y) =C2=C2 x + C2(y) = x + C1(y) + 1 = x + y + 1 

si op=0si op=1 z = x + (y op) + op

FA

z0

y0x0

FA

z1

y1x1

FA

z2

y2x2

FA

z3

y3x3

op

c

Page 75: Tema 4: Módulos combinacionalesbásicos

75

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

FA

z0

y0x0

FA

z1

y1x1

FA

z2

y2x2

FA

z3

y3x3

op

vc

b

Implementación conpropagación de acarreosSumador/restador de 4 bits

Page 76: Tema 4: Módulos combinacionalesbásicos

76

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos

Pueden añadirse puertos comunes para carry/borrowz = x + y + cbiz = x – y – cbi = x + (–y) – cbi =C2=C2 x + C2(y) – cbi = x + C1(y) + 1 – cbi= x + y + 1 – cbi = x + y + cbi

si op=0si op=1 z = x + (y op) + (cbi op)

z0

y0x0

FA

z1

y1x1

FA

z2

y2x2

FA

z3

y3x3

op

cbi

cbo

vFA

Page 77: Tema 4: Módulos combinacionalesbásicos

77

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos

Varios sumadores/restadores se pueden componer en serie para para comportarse como un sumador/restador de mayor anchura.

y3..0x3..0

z3..0

cbi

y7..4x7..4

z7..4

4 4 4 4

44

cbo

Implementación serieSumador/restador de 8 bits

+/– +/–v op

Page 78: Tema 4: Módulos combinacionalesbásicos

78

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

x, y 2 entradas de datos de n bits

m 1 entrada de selección de modo

op 1 entrada de selección de operación

s 1 salida de datos de n bits

c 1 salida de acarreo (carry)

v 1 salida de overflow

z 1 salida de detección de cero

n 1 salida de detección de negativo

yx

s

m

nn

n

c

opvz ALU

2

m op1 op0 s

1 0 0 x + y1 0 1 x – 1 = x + (‐1) =C2 x + 11 1 0 x – y1 1 1 x + 1 = x – (‐1) =C2 x – 1

m op1 op0 s

0 0 0 not( x )0 0 1 and( x, y )0 1 0 x0 1 1 or( x, y )

operaciones aritméticasoperaciones lógicas

n

Page 79: Tema 4: Módulos combinacionalesbásicos

79

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

+/– op1

n n

x

cv

n

m op1 op0 s

1 0 0 x + y1 0 1 x – 1 = x + (‐1) =C2 x + 11 1 0 x – y1 1 1 x + 1 = x – (‐1) =C2 x – 1

operaciones aritméticas

Page 80: Tema 4: Módulos combinacionalesbásicos

80

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

+/– op1

n n

x y

cv

op0

n

op0

yn-1 y1 y0

m op1 op0 s

1 0 0 x + y1 0 1 x – 1 = x + (‐1) =C2 x + 11 1 0 x – y1 1 1 x + 1 = x – (‐1) =C2 x – 1

operaciones aritméticas

Page 81: Tema 4: Módulos combinacionalesbásicos

81

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

30 21

0

1op1op0

n

x y

xn-1 yn-1 x0 y0x1 y1

m op1 op0 s

0 0 0 not( x )0 0 1 and( x, y )0 1 0 x0 1 1 or( x, y )

operaciones lógicas

n

Page 82: Tema 4: Módulos combinacionalesbásicos

82

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

30 21

0

1op1op0

nn

operaciones lógicas

n

x y

m op1 op0 s

0 0 0 not( x )0 0 1 and( x, y )0 1 0 x0 1 1 or( x, y )

xn-1 yn-1 x0 y0x1 y1

Page 83: Tema 4: Módulos combinacionalesbásicos

83

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

30 21

0

1op1op0

nn n

operaciones lógicas

xn-1 yn-1 x0 y0x1 y1

n

x y

m op1 op0 s

0 0 0 not( x )0 0 1 and( x, y )0 1 0 x0 1 1 or( x, y )

xn-1 yn-1 x0 y0x1 y1

Page 84: Tema 4: Módulos combinacionalesbásicos

84

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

xn-1 yn-1 x0 y0x1 y1

30 21

0

1op1op0

nn n n

operaciones lógicas

xn-1 x0x1

n

x y

m op1 op0 s

0 0 0 not( x )0 0 1 and( x, y )0 1 0 x0 1 1 or( x, y )

xn-1 yn-1 x0 y0x1 y1

Page 85: Tema 4: Módulos combinacionalesbásicos

85

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

+/– op1

30 21

0

1op1op0

n n nn n n

cv

op0

m op1 op0 s

1 0 0 a + b1 0 1 a – 1 = a + (‐1) =C2 a + 11 1 0 a – b1 1 1 a + 1 = a – (‐1) =C2 a – 1

m op1 op0 s

0 0 0 not( a )0 0 1 and( a, b )0 1 0 a0 1 1 or( a, b )

operaciones aritméticasoperaciones lógicas

n n

x y

Page 86: Tema 4: Módulos combinacionalesbásicos

86

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

+/– op1

m

s

10

n

30 21

0

1op1op0

n n nn n n

cv

op0

n n

x y

Page 87: Tema 4: Módulos combinacionalesbásicos

87

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

+/– op1

m

s

10

n

30 21

0

1op1op0

n n nn n n

z

cv

op0

n

n n

sn-1

s1s0

z

x y

Page 88: Tema 4: Módulos combinacionalesbásicos

88

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

+/– op1

m

s

10

n

30 21

0

1op1op0

n n nn n n

z

cv

op0

n

sn-1 = nn-1

+

n n

x y

Page 89: Tema 4: Módulos combinacionalesbásicos

89

FC‐1

tema 4:

Mód

ulos com

bina

cion

ales

básicos

vers

ión

30/0

8/21

+/– op1

m

s

10

n

30 21

0

1op1op0

n n nn n n

z

cv

op0

n

sn-1 = nn-1

+

n n

x y

Page 90: Tema 4: Módulos combinacionalesbásicos

90

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos

La ALU puede usarse para comparar númeroso Para ello no es necesario añadir un comparador, basta con restar los 

operandos y comprobar el estado de los flags.o Por ejemplo, al restar x – y el flag Z se activa solo cuando x = y

flags a comprobar tras realizar x – y

comparación operandossin signo

operandoscon signo (en C2)

x = y Z Zx ≠ y Z Z

x < y C N V

x ≤ y Z + C Z + (N V)

x > y Z ∙ C Z ∙ (N V)

x ≥ y C (N V)

Page 91: Tema 4: Módulos combinacionalesbásicos

91

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos

Los módulos presentan algunas características interesantes:o Tienen estructuras genéricas fácilmente escalables.o Procesan palabras de datos y no solo bits individuales.o Pueden realizar distintas funciones según el valor de ciertas entradas 

de control.o Tienen funcionalidades abstractas que permiten diseñar/describir de 

manera estructurada sistemas complejos sin tener recurrir a EC/FC:• Basta con interconectarlos sin crear realimentaciones• Y usar discrecionalmente puertas (glue logic) para adaptar señales.

Page 92: Tema 4: Módulos combinacionalesbásicos

92

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos

En muchos casos es posible obtener directamente una red de módulos combinacionales desde un enunciado.

x

z

n

n

valor absoluto de un número en C2

z = abs( x ) =C2x si xn‐1 = 0

C2(x) = not(x)+1 si xn‐1 = 1

z = abs( x ) =x si x ≥ 0

–x si x < 0

Page 93: Tema 4: Módulos combinacionalesbásicos

93

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos

En muchos casos es posible obtener directamente una red de módulos combinacionales desde un enunciado.

x

z

n

n

valor absoluto de un número en C2

10

n

x

z = abs( x ) =C2x si xn‐1 = 0

C2(x) = not(x)+1 si xn‐1 = 1

z = abs( x ) =x si x ≥ 0

–x si x < 0

z

n

n-1+

Page 94: Tema 4: Módulos combinacionalesbásicos

94

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos

En muchos casos es posible obtener directamente una red de módulos combinacionales desde un enunciado.

x

z

n

n

valor absoluto de un número en C2

10

n

x

z = abs( x ) =C2x si xn‐1 = 0

C2(x) = not(x)+1 si xn‐1 = 1

z = abs( x ) =x si x ≥ 0

–x si x < 0

z

n

n-1+

Page 95: Tema 4: Módulos combinacionalesbásicos

95

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos

En muchos casos es posible obtener directamente una red de módulos combinacionales desde un enunciado.

x

z

n

n

valor absoluto de un número en C2

+10

n

n n

x

n

n

0

1

z

n-1

z = abs( x ) =C2x si xn‐1 = 0

C2(x) = not(x)+1 si xn‐1 = 1

z = abs( x ) =x si x ≥ 0

–x si x < 0

+

Page 96: Tema 4: Módulos combinacionalesbásicos

96

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos

En muchos casos es posible obtener directamente una red de módulos combinacionales desde un enunciado.

x

z

n

n

valor absoluto de un número en C2

+10

n

n n

x

n

0

1

zz = abs( x ) =C2

x si xn‐1 = 0

C2(x) = not(x)+1 si xn‐1 = 1

z = abs( x ) =x si x ≥ 0

–x si x < 0

n

n-1+

Page 97: Tema 4: Módulos combinacionalesbásicos

97

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos

Biblioteca de celdas: CMOS 90 nm

Módulo Área (m2)

Retardo (ps)

Consumoestático(nW)

Consumodinámico(nW/MHz)

11.0592  223 84 8639

23.0400 250 163 15169

29.4912191 (z0)189 (z1)132 (z2)127 (z3)

23 543

29.4912 205 (s)226 (c) 159 5374 (s)

713 (c)

fuen

te: S

ynop

sys

(SAE

D E

DK

90 n

m)

Page 98: Tema 4: Módulos combinacionalesbásicos

98

FC‐1

vers

ión

30/0

8/21

tema 4:

Mód

ulos com

bina

cion

ales

básicos

Licencia CC (Creative Commons)o Ofrece algunos derechos a terceras personas bajo ciertas 

condiciones. Este documento tiene establecidas las siguientes:

Más información: https://creativecommons.org/licenses/by‐nc‐sa/4.0/

Reconocimiento (Attribution): En cualquier explotación de la obra autorizada por la licenciahará falta reconocer la autoría. 

No comercial (Non commercial): La explotación de la obra queda limitada a usos no comerciales.

Compartir igual (Share alike):La explotación autorizada incluye la creación de obras derivadas siempre que mantengan la misma licencia al ser divulgadas.