20
Copyright © Semiconductor Technology Academic Research Center, 2006-2007, All rights reserved. システム・デザイン・フォーラム 2007 TLM標準化の動向について 半導体理工学研究センター 開発第2部 高位設計開発室 武井

TLM標準化の動向について - JEITA 半導体&システム設計技 …...2006/06/20  · Rom S-Records S1130000285F245F2212226A0 S1130010000200080008262904 S113002041E900084E4223430

  • Upload
    others

  • View
    0

  • Download
    0

Embed Size (px)

Citation preview

Page 1: TLM標準化の動向について - JEITA 半導体&システム設計技 …...2006/06/20  · Rom S-Records S1130000285F245F2212226A0 S1130010000200080008262904 S113002041E900084E4223430

Copyright © Semiconductor Technology Academic Research Center, 2006-2007, All rights reserved.

システム・デザイン・フォーラム 2007

TLM標準化の動向について

半導体理工学研究センター

開発第2部

高位設計開発室

武井 勉

Page 2: TLM標準化の動向について - JEITA 半導体&システム設計技 …...2006/06/20  · Rom S-Records S1130000285F245F2212226A0 S1130010000200080008262904 S113002041E900084E4223430

2Copyright © Semiconductor Technology Academic Research Center, 2006-2007, All rights reserved.

目次

1. なぜTLMか

2. 各団体の活発な標準化活動

3. TLM普及のための次のステップ

4. STARCの取り組み

Page 3: TLM標準化の動向について - JEITA 半導体&システム設計技 …...2006/06/20  · Rom S-Records S1130000285F245F2212226A0 S1130010000200080008262904 S113002041E900084E4223430

3Copyright © Semiconductor Technology Academic Research Center, 2006-2007, All rights reserved.

1. なぜTLMか

SoCの複雑大規模化に対して

1985 1990 1995 2000 2005 2010 2015

システム規模

大規模複雑化設計検証困難

抽象レベルの高位化再利用合成

設計生産性

設計抽象レベルの高位化が必須

RTL

TLM

Page 4: TLM標準化の動向について - JEITA 半導体&システム設計技 …...2006/06/20  · Rom S-Records S1130000285F245F2212226A0 S1130010000200080008262904 S113002041E900084E4223430

4Copyright © Semiconductor Technology Academic Research Center, 2006-2007, All rights reserved.

但し、現状、モデル開発に障害ありモデリングスタイルが統一されていないベンダツールに依存したモデリング記述になるモデルの再利用や流通が難しいモデル開発に時間・コストがかかる

高い抽象レベルモデルの必要性

•設計検証期間短縮•最適設計達成

•高い抽象レベルTLM

•高速シミュレーション•モデル作成容易•モデル再利用

•システム全体検証•アーキテクチャ探索•早期のSW開発

標準化が重要!

Page 5: TLM標準化の動向について - JEITA 半導体&システム設計技 …...2006/06/20  · Rom S-Records S1130000285F245F2212226A0 S1130010000200080008262904 S113002041E900084E4223430

5Copyright © Semiconductor Technology Academic Research Center, 2006-2007, All rights reserved.

TLM(Transaction-Level Modeling)とは

関数コールによる通信を用いたモデリングで通信と計算を分離RTLより高い複数の抽象レベルのモデリング(アルゴリズムレベル~RTLの間)

write(addr,data)

ピン精度

RTL RTL

TL TL

Page 6: TLM標準化の動向について - JEITA 半導体&システム設計技 …...2006/06/20  · Rom S-Records S1130000285F245F2212226A0 S1130010000200080008262904 S113002041E900084E4223430

6Copyright © Semiconductor Technology Academic Research Center, 2006-2007, All rights reserved.

・TLMの良い定義がない。皆のTLMの考えが一致していない。Prof. Dan Gajski

・抽象レベルを明確にする必要。統一したAPIが必要。Prof. Donatella Sciuto

: (DATE’03)

TLM普及に必要なこと

統一した定義と標準化抽象レベルTLM APIトランザクションデータメンバモデリングガイドライン

Page 7: TLM標準化の動向について - JEITA 半導体&システム設計技 …...2006/06/20  · Rom S-Records S1130000285F245F2212226A0 S1130010000200080008262904 S113002041E900084E4223430

7Copyright © Semiconductor Technology Academic Research Center, 2006-2007, All rights reserved.

2.各団体の活発なTLM標準化活動

http://www.ieee.org/

http://www.spiritconsortium.org/http://www.systemc.org/

http://www.ocpip.org/

http://www.greensocs.com/

http://www.accellera.org/http://www.systemverilog.org/

各団体が標準化推進中

標準化承認 IEEE

OSCI

OCP-IP

GreenSocs

AccelleraSPIRIT

SystemCの標準化推進

SystemVerilogの標準化推進

IP-XACTの標準化推進

Page 8: TLM標準化の動向について - JEITA 半導体&システム設計技 …...2006/06/20  · Rom S-Records S1130000285F245F2212226A0 S1130010000200080008262904 S113002041E900084E4223430

8Copyright © Semiconductor Technology Academic Research Center, 2006-2007, All rights reserved.

OSCI TLM WG Roadmap(旧)

Source : http://www-ti.informatik.uni-tuebingen.de/~systemc/systemc.html14th ESCUG Meeting, OSCI General Update & Working Group Plans

Page 9: TLM標準化の動向について - JEITA 半導体&システム設計技 …...2006/06/20  · Rom S-Records S1130000285F245F2212226A0 S1130010000200080008262904 S113002041E900084E4223430

9Copyright © Semiconductor Technology Academic Research Center, 2006-2007, All rights reserved.

OSCI TLM WG Roadmap(新)

Source : ECSI Institute Workshop on Transaction Level Modeling StandardsOSCI TLM 2.0 Announcement Draft Available for Public review Dec. 4, 2006

TLM 2.0 Public review版(9月公開予定→12月公開)

Page 10: TLM標準化の動向について - JEITA 半導体&システム設計技 …...2006/06/20  · Rom S-Records S1130000285F245F2212226A0 S1130010000200080008262904 S113002041E900084E4223430

10Copyright © Semiconductor Technology Academic Research Center, 2006-2007, All rights reserved.

OSCI TLM 2.0 (1/3)

TLM1.0:主にトランザクションを転送する為のAPIを提案TLM2.0:主に転送する物(Bus-based通信データ)を提案

TLM 2.0 Public review版• Generic payload 次ページ

• sc_time parameterbool nb_put( const T & , const sc_time & );等

• Analysis interfaceTLM Portを介してのトランザクションのモニタ

Page 11: TLM標準化の動向について - JEITA 半導体&システム設計技 …...2006/06/20  · Rom S-Records S1130000285F245F2212226A0 S1130010000200080008262904 S113002041E900084E4223430

11Copyright © Semiconductor Technology Academic Research Center, 2006-2007, All rights reserved.

OSCI TLM 2.0 (2/3)

m_export_id

m_priority

m_byte_enable_period

m_byte_enable *

m_block_size

m_data *

m_custom_vector_ptr *

m_transaction_id

m_master_thread_id

m_block_address_incr

m_block_mode

m_address

m_mode

m_command

m_custom_vector_ptr *

m_priority

m_export_id

m_block_size

m_data *

m_master_thread_id

m_transaction_id

m_status

・Generic payload案tlm_request tlm_response

現在、Public reviewでフィードバック受付中(~2月9日)変更の可能性有

拡張部分を独自に使用すると互換性がなくなるので注意

Page 12: TLM標準化の動向について - JEITA 半導体&システム設計技 …...2006/06/20  · Rom S-Records S1130000285F245F2212226A0 S1130010000200080008262904 S113002041E900084E4223430

12Copyright © Semiconductor Technology Academic Research Center, 2006-2007, All rights reserved.

OSCI TLM 2.0 (3/3)

• sc_time parameter (Timed TLM core i/f)

bool nb_put(const T&, sc_time &) bool nb_can_put(sc_time &)

bool nb_get(T&, sc_time &)bool nb_can_get(sc_time &)

bool nb_put(const T&)bool nb_can_put()sc_event &ok_to_put()

bool nb_get(T&)bool nb_can_get()sc_event &ok_to_get()...

Unidirectional Non-Blocking

void put(const T&)void get(T&)void peek(T&)

UnidirectionalBlocking

void transport( const REQ &, RSP &, sc_time &)

void transport(const REQ&, RSP&)

Bidirectional Blocking

TimedUntimed

channel

ok_to_getput(REQ)

Δts,pop

ok_to_put

master slave

nb_put(RSP,Δtlatency)

nb_get(REQ,Δts,pop)

Δtlatency

Page 13: TLM標準化の動向について - JEITA 半導体&システム設計技 …...2006/06/20  · Rom S-Records S1130000285F245F2212226A0 S1130010000200080008262904 S113002041E900084E4223430

13Copyright © Semiconductor Technology Academic Research Center, 2006-2007, All rights reserved.

GreenSocs

SystemCベースのオープンソースコミュニティGreenBus等のSystemC環境の開発・保守オープンソースとして公開OSCI, OCP, SPIRITのメンバとして、連携した標準化活動DAC2005時に設立プロジェクト参加企業が開発費負担

GreenBusバスのモデルを作成するための、SystemC TLMライブラリ特定のバスプロトコルを提供しているのではなく、バスを作りこむ枠組みを提供している。ユーザーが、特定のプロトコルの作り込みを行なう。Genericプロトコル例がライブラリで提供されている。TLM2.0のAPI、トランザクションデータ検討に貢献。

Page 14: TLM標準化の動向について - JEITA 半導体&システム設計技 …...2006/06/20  · Rom S-Records S1130000285F245F2212226A0 S1130010000200080008262904 S113002041E900084E4223430

14Copyright © Semiconductor Technology Academic Research Center, 2006-2007, All rights reserved.

SPIRIT

DAC2003時に設立。現在57社参加。SPIRIT: Structure for Packaging, Integrating and Re-using IP within Tool-flows

IP-XACT with ESL extension v1.4• 現在IEEE標準化(P1685)を進めているv1.2に対

して、TLMに対応したESL拡張が行われている。• IP-XACT自体は言語中立であるが、SystemCや

SystemVerilog等のTLM IPの表現や各抽象レベルモデルの扱い方法検討と検証を進めている。

Design Environment

HDL

Module uartinput din [7:0]input sdi;output sdo

Software

int uartTest(){

*(reg)=0x1;return (0);

}

Design Docs

Parts List1 x UART2 x OCP Bridge1 x Interrupt

Simulator

map WORK mylib

timescale 1ns

SystemC

systemc module (nand2)

{sc_in<bool>

a,b;

PSL

sequence s1 is {pkt_sop; (not pkt_xfer_en_n [*1 to 100]); pkt_eop};

SPIRIT XML<vendor>mentor</vendor><name>UART</name>

<busInterface>VPB</busInterface><busInteface>Serial</busInterface>

<model>Verilog</model>

<function>Swdriver</function>

<fileSet>verilog_source</fileSet>

Design Team DataOwner UART v1.304John W - designed 20/Jun/06

Owner DSP v3.145Wally R - designed 15/May/05

Owner FlexRay v1.03Serge L - designed 13/03/05

Test Plan

Set register 10 = 0x1Check Interrupt

Rom S-Records

S1130000285F245F2212226A0S1130010000200080008262904S113002041E900084E4223430S11323484729387ABF9834230S107003000144ED492S9030000FC

HDL

Module uartinput din [7:0]input sdi;output sdo

Software

int uartTest(){

*(reg)=0x1;return (0);

}

Design Docs

Parts List1 x UART2 x OCP Bridge1 x Interrupt

Simulator

map WORK mylib

timescale 1ns

SystemC

systemc module (nand2)

{sc_in<bool>

a,b;

PSL

sequence s1 is {pkt_sop; (not pkt_xfer_en_n [*1 to 100]); pkt_eop};

SPIRIT XML<vendor>mentor</vendor><name>UART</name>

<busInterface>VPB</busInterface><busInteface>Serial</busInterface>

<model>Verilog</model>

<function>Swdriver</function>

<fileSet>verilog_source</fileSet>

Design Team DataOwner UART v1.304John W - designed 20/Jun/06

Owner DSP v3.145Wally R - designed 15/May/05

Owner FlexRay v1.03Serge L - designed 13/03/05

Test Plan

Set register 10 = 0x1Check Interrupt

Rom S-Records

S1130000285F245F2212226A0S1130010000200080008262904S113002041E900084E4223430S11323484729387ABF9834230S107003000144ED492S9030000FC

IPコンテンツを表記するためのschemaなどフレームワーク開発のための標準化を進めるコンソーシアム。

Page 15: TLM標準化の動向について - JEITA 半導体&システム設計技 …...2006/06/20  · Rom S-Records S1130000285F245F2212226A0 S1130010000200080008262904 S113002041E900084E4223430

15Copyright © Semiconductor Technology Academic Research Center, 2006-2007, All rights reserved.

SPIRIT

IP-XACT v1.4 (‘06年12月にβ一般公開予定であったが、α3メンバ内公開に変更)

Source : ECSI TLM Workshop 2005, SPIRIT standard evolutions to cover higher abstractions levelIP-SOC 2006, http://www.spiritconsortium.org/press/presentations/20061205_IP-XACT_with_ESL.pdf

・TLM IP Modeling(SystemC, SystemVerilog等)・TLM(PV,PVT,CA)、TLM-RTL混在等のサポート

成果物として、schema, doc., examples, semantic rules checker, XSLT converter(バージョン変換), TGI(Tight Generator Interface) などを提供

Page 16: TLM標準化の動向について - JEITA 半導体&システム設計技 …...2006/06/20  · Rom S-Records S1130000285F245F2212226A0 S1130010000200080008262904 S113002041E900084E4223430

16Copyright © Semiconductor Technology Academic Research Center, 2006-2007, All rights reserved.

3.TLM普及のための次のステップ

言語標準化

API標準化

モデル接続schema標準化

モデル再利用・流通のための標準化

標準化団体の標準化活動

再利用性の高いモデル構造

デバグ・プロファイリング用APIの標準化

抽象レベル定義

統一したモデリングスタイル

言語やAPIなどの基本標準

ガイドライン

基本標準

設計者が利用する視点からの応用標準

ガイドラインなどの応用標準と

Page 17: TLM標準化の動向について - JEITA 半導体&システム設計技 …...2006/06/20  · Rom S-Records S1130000285F245F2212226A0 S1130010000200080008262904 S113002041E900084E4223430

17Copyright © Semiconductor Technology Academic Research Center, 2006-2007, All rights reserved.

ガイドライン開発

TLM標準設計フローとユースケース作成

TLMの使用目的明確化

既存のTLM i/fと抽象レベルを調査

必要なTLM APIや再利用性の高いモデリング手法検討

抽象レベル定義

使用目的に対応した抽象レベルの明確化

モデリングとリファインメント手順の明確化

ユースケース実現に必要な抽象レベルとリファインメントパスを決定

モデル試作

メソドロジ開発

各種モデルの評価ガイドラインへの反映

各EDAツールへの反映

記述ルール策定 チェッカ・教材作成

ガイドライン開発

Page 18: TLM標準化の動向について - JEITA 半導体&システム設計技 …...2006/06/20  · Rom S-Records S1130000285F245F2212226A0 S1130010000200080008262904 S113002041E900084E4223430

18Copyright © Semiconductor Technology Academic Research Center, 2006-2007, All rights reserved.

再利用性を高めるポイント→計算と通信の明確な分離

Bus A Bus B

計算と通信を分離して再利用性を高める計算と通信の切り口は、データの入力・出力計算部分では、通信プロトコル等を意識しない

チャネルで通信の抽象レベルやプロトコルの違いを隠蔽

計算

通信部

抽象度中

抽象度低 抽象度低

Page 19: TLM標準化の動向について - JEITA 半導体&システム設計技 …...2006/06/20  · Rom S-Records S1130000285F245F2212226A0 S1130010000200080008262904 S113002041E900084E4223430

19Copyright © Semiconductor Technology Academic Research Center, 2006-2007, All rights reserved.

4. STARC高位設計開発室の活動

Phase1:2006年4月~2008年3月TL設計の実用化 STARCAD-SLD

開発項目リファレンスとなるTLモデリングガイドライン開発実用的なTL設計メソドロジ開発

2006年度の活動(抜粋)• TLM標準設計フローとユースケース作成• 通信の抽象レベル定義• TLM API検討• モデル試作• ガイドライン作成 など

Page 20: TLM標準化の動向について - JEITA 半導体&システム設計技 …...2006/06/20  · Rom S-Records S1130000285F245F2212226A0 S1130010000200080008262904 S113002041E900084E4223430

20Copyright © Semiconductor Technology Academic Research Center, 2006-2007, All rights reserved.

最後に

各団体の活動によりTLM標準化の取り組みが進んでいます

今後、TLM普及のためにはモデリングガイド等の充実が必要です

STARCはTLM実用化のために、ガイドライン&メソドロジの開発を進めます