4
TUGAS PENDAHULUAN MODUL 2 RANGKAIAN KOMBINASIONAL (Sebelum mengerjakan soal TP, silahkan membaca aturan pengerjaan terlebih dahulu.) 1. Jelaskan tujuan praktikum dan peralatan yang digunakan pada praktikum modul 2 2. Sebutkan kode IC dibawah ini : (74 LS ……) a. Decoder 3 to 8 b. Decoder BCD to Seven Segment c. Multiplexer 2 to 1 3. Bagaimana konfigurasi pin pada seven segment? Gambarkan. 4. Apakah bisa merancang MUX 4 to 1 dari MUX 2 to 1? Jika bisa, gambarkan rangkaiannya. 5. Rancanglah full adder 3 bit dari full adder 1 bit! Gambarkan bloknya. 6. Apa yang Anda ketahui tentang software Quartus! 7. Jelaskan arti dari program dibawah ini : (penjelasan harus tiap baris) library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity AND3Input is port ( A: in std_logic; B: in std_logic; C: in std_logic; Z: out std_logic); end AND3Input; architecture Behavioral of AND3Input is begin Z <= A AND B AND C; end Behavioral; Jawaban

TP modul 2 new

Embed Size (px)

DESCRIPTION

elk

Citation preview

Page 1: TP modul 2 new

TUGAS PENDAHULUANMODUL 2

RANGKAIAN KOMBINASIONAL

(Sebelum mengerjakan soal TP, silahkan membaca aturan pengerjaan terlebih dahulu.)

1. Jelaskan tujuan praktikum dan peralatan yang digunakan pada praktikum modul 22. Sebutkan kode IC dibawah ini : (74 LS ……)

a. Decoder 3 to 8b. Decoder BCD to Seven Segmentc. Multiplexer 2 to 1

3. Bagaimana konfigurasi pin pada seven segment? Gambarkan.4. Apakah bisa merancang MUX 4 to 1 dari MUX 2 to 1? Jika bisa, gambarkan rangkaiannya.5. Rancanglah full adder 3 bit dari full adder 1 bit! Gambarkan bloknya.6. Apa yang Anda ketahui tentang software Quartus!7. Jelaskan arti dari program dibawah ini : (penjelasan harus tiap baris)

library IEEE;use IEEE.STD_LOGIC_1164.ALL;

entity AND3Input isport ( A: in std_logic;

B: in std_logic;C: in std_logic; Z: out std_logic);

end AND3Input;

architecture Behavioral of AND3Input is

beginZ <= A AND B AND C;

end Behavioral;

Jawaban

Tujuan Praktikum

1. Mengenal dan memahami prinsip kerja decoder, adder, dan multiplexer2. Mengetahui dan dapat mengaplikasikan konsep perancangan rangkaian decoder, dan multiplexer3. Mengetahui dan memahami aplikasi rangkaian driver seven segment4. Mempelajari metode component instantiation pada VHDL

Page 2: TP modul 2 new

Peralatan Praktikum1. Personal Computer2. Software Xilinx ISE 8.13. Digiboard4. IC74LS138, 74LS47, 74LS08, 74LS32, 74LS157, 74LS86, 74LS04

2. Kode IC

a. 74LS138

b. 74LS47

c. 74LS157

3. Konfigurasi pin seven segment

4. Mux 4 to 1 dari mux 2 to 1

Mux 2 to 1 Mux 4 to 1 gabungan dari mux 2 to 1

Page 3: TP modul 2 new

5. software Quartus, yaitu sebuah tool yang digunakan untuk mendukung perancangan sistem digital khusus untuk produk-produk programmable devices keluarga Altera

6.

library IEEE; = perintah program diakses dari library bernama IEEEuse IEEE.STD_LOGIC_1164.ALL; = mengambil bagian dari library IEEE dengan tipe data STD_LOGIC_1164 dan semua data diambil.

entity AND3Input is = memilih port logika yang dipakai (AND) dengan 3 inputanport ( A: in std_logic; = A sebagai input 1 dengan tipe data std_logic

B: in std_logic; = B sebagai input 2 dengan tipe data std_logicC: in std_logic; = C sebagai input 3 dengan tipe data std_logicZ: out std_logic); = Z sebagai output dengan tipe data std_logic

end AND3Input; = menutup perintah logika AND

architecture Behavioral of AND3Input is = Syarat / pemenuhan kondisi logika AND 3 input

begin = memulai perintah kondisiZ <= A AND B AND C; = Z adalah outpun dari A B C dengan logika AND

end Behavioral; = kondisi berakhir.