65
XAPP1248 (v1.2) 2015 8 14 japan .xilinx.com 1 本資料は表記のバージ ョ ンの英語版を翻訳し たもので、内容に相違が生じ る場合には原文を優先し ます。 資料によっては英語版の更新に対応していないものがあります。 日本 語版は参考用 と し てご使用の上、 最新情報につき ま し ては、 必ず最新英語版を ご参照 く だ さ い。 : 概要 放送業界向けの映像機器には、 SMPTE (Society of Motion Picture and Television Engineers) SDI (Serial Digital Interface) 規格が 広く使用されています。 このインターフェイスは、 放送局スタジオや映像制作会社で使用されており、 非圧縮のデジタル 映像と多重音声チャネルなどの埋め込まれた補助データを共に伝送します。 UHD-SDI と総称される 6G-SDI および 12-SDI は、 SDI 規格の最新拡張版で、 Ultra HD (超高細精度) ビデオ フォーマッ トおよび高フレーム レートの HD ビデオ フォー マッ ト を伝送するために、 よ り広い帯域幅を提供します。 ザイリンクスの LogiCORE™ IP SMPTE UHD-SDI は、 デバイ ス固有の制御機能を持たない一般的な UHD-SDI 受信/送信 データパスです。 このアプリ ケーシ ョ ン ノ ー ト では、 完全な UHD-SDI インターフェイスを構築するために、 UltraScale™ GTH ト ランシーバーと SMPTE UHD-SDI LogicCORE IP を合わせて使用するための制御ロジッ クを含むモジュールを提供 し ま す。 ま た、 ザ イ リ ン ク ス UltraScale FPGA KCU105 評価ボード を使用する SDI の設計例を紹介し ます。 はじめに ザイリンクスの LogiCORE IP SMPTE UHD-SDI (以下、 UHD-SDI コアという ) は、 UltraScale GTH ト ラ ン シーバー と 接続す る こ と で、 SMPTE SD-SDIHD-SDI3G-SDI6G-SDI、 および 12G-SDI 規格をサポートする SDI インターフェイスを実 装できます。 UHD-SDI コアと GTH ト ランシーバーを接続して完全な SDI インターフェイスを実装するには、 ロジックを 追加する必要があ り ます。 このアプ リ ケーシ ョ ン ノートでは、この付加的な制御ロジックとインターフェイス ロジックに ついて説明し、 必要となる制御モジュールおよびインターフェイス モジュールを Verilog ソース コードで提供します。 こ の資料に出て く る SDI と は、 SD-SDIHD-SDI3G-SDI6G-SDI、 および 12G-SDI を総称する SMPTE ファミリのイン ターフェイス規格のことです。 UltraScale™ GTH ト ラ ンシーバーは、 12G-SDI を含むすべての SDI ビット レートに対応できます。 CPLL の利用によって ビット レートが制限され、 -1 スピード グレードの場合は 3G-SDI-2 および -3 スピード グレードの場合は 6G-SDI となり ます。 スピード グレード とパッケージの各組み合わせでサポート される GTH ト ラ ンシーバーの最大ラ イ ン レ ー ト は、 Kintex UltraScale アーキテクチャ データシート : DC 特性および AC ス イ ッ チ特性』 [参照 16] の「GTH ト ラ ンシーバーのス イッチ特性」 のセクションを参照してください。 デバ イ ス固有の制御 ロ ジ ッ ク の主な機能は次の と お り です。 GTH ト ラ ン シーバーの リ セ ッ ト ロジック 5 つの SDI 規格をサポートするために、 GTH RX/TX シリアル ク ロ ッ ク 分周器を動的に切 り 換え る機能 HD-SDI3G-SDI6G-SDI、 および 12G-SDI 規格の 2 つの異な る ビ ッ ト レート をサポートするために、 TX の基準ク ロ ッ ク を動的に切 り 換え る 機能 ° 1.485Gb/s および 1.485/1.001Gb/s (HD-SDI モー ド の場合) ° 2.97Gb/s および 2.97/1.001Gb/s (3G-SDI モー ド の場合) ° 5.94Gb/s および 5.94/1.001Gb/s (6G-SDI モー ド の場合) ° 11.88Gb/s および 11.88/1.001Gb/s (12G-SDI モー ド の場合) 12G-SDI 規格の 2 つの異な る ビ ッ ト レート をサポートするために、 RX の基準 ク ロ ッ ク を動的に切 り 換え る 機能 ° 11.88Gb/s および 11.88/1.001Gb/s (12G-SDI モー ド の場合) GTH RXDATA および TXDATA ポート幅を動的に切り替える機能 ° 20 ビット RXDATA および TXDATA (SD-SDIHD-SDI、 および 3G-SDI モー ド の場合) ° 40 ビット RXDATA および TXDATA (6G-SDI および 12G-SDI モー ド の場合) アプリケーション ノート : GTH ト ランシーバー、 UltraScale アーキテクチャ XAPP1248 (v1.2) 2015 8 14 UltraScale GTH ト ランシーバーを使用し SMPTE SDI インターフェイスの実装 著者 : Gilbert MagnayeJohn Snow

UltraScale GTH トランシーバーを使用した SMPTE …...GTH トランシーバーと SMPTE UHD-SDI LogicCORE IP を合わせて使用するための制御ロジックを含むモジュールを提供

  • Upload
    others

  • View
    120

  • Download
    0

Embed Size (px)

Citation preview

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  1

本資料は表記のバージ ョ ンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。 資料によっては英語版の更新に対応していないものがあります。 日本語版は参考用としてご使用の上、 新情報につきましては、 必ず 新英語版をご参照く ださい。

 :

概要放送業界向けの映像機器には、 SMPTE (Society of Motion Picture and Television Engineers) SDI (Serial Digital Interface) 規格が広く使用されています。 このインターフェイスは、 放送局スタジオや映像制作会社で使用されており、 非圧縮のデジタル映像と多重音声チャネルなどの埋め込まれた補助データを共に伝送します。UHD-SDI と総称される 6G-SDI および 12-SDIは、 SDI 規格の 新拡張版で、 Ultra HD (超高細精度) ビデオ フォーマッ トおよび高フレーム レートの HD ビデオ フォーマッ ト を伝送するために、 よ り広い帯域幅を提供します。

ザイ リ ンク スの LogiCORE™ IP SMPTE UHD-SDI は、 デバイス固有の制御機能を持たない一般的な UHD-SDI 受信/送信データパスです。 このアプリ ケーシ ョ ン ノートでは、 完全な UHD-SDI インターフェイスを構築するために、 UltraScale™GTH ト ランシーバーと SMPTE UHD-SDI LogicCORE IP を合わせて使用するための制御ロジッ クを含むモジュールを提供します。 また、 ザイ リ ンクス UltraScale FPGA KCU105 評価ボードを使用する SDI の設計例を紹介します。

はじめにザイ リ ンクスの LogiCORE IP SMPTE UHD-SDI (以下、 UHD-SDI コアという ) は、 UltraScale GTH ト ランシーバーと接続するこ とで、 SMPTE SD-SDI、 HD-SDI、 3G-SDI、 6G-SDI、 および 12G-SDI 規格をサポートする SDI インターフェイスを実装できます。 UHD-SDI コアと GTH ト ランシーバーを接続して完全な SDI インターフェイスを実装するには、 ロジッ クを追加する必要があ り ます。このアプリ ケーシ ョ ン ノートでは、この付加的な制御ロジッ ク と インターフェイス ロジッ クについて説明し、 必要となる制御モジュールおよびインターフェイス モジュールを Verilog ソース コードで提供します。

この資料に出てく る SDI とは、 SD-SDI、 HD-SDI、 3G-SDI、 6G-SDI、 および 12G-SDI を総称する SMPTE ファ ミ リのインターフェイス規格のこ とです。

UltraScale™ GTH ト ランシーバーは、 12G-SDI を含むすべての SDI ビッ ト レートに対応できます。 CPLL の利用によってビッ ト レートが制限され、 -1 スピード グレードの場合は 3G-SDI、 -2 および -3 スピード グレードの場合は 6G-SDI とな ります。 スピード グレード とパッケージの各組み合わせでサポート される GTH ト ランシーバーの 大ラ イン レー ト は、『Kintex UltraScaleアーキテクチャ データシート : DC 特性および AC スイ ッチ特性』 [参照 16] の「GTH ト ランシーバーのスイ ッチ特性」 のセクシ ョ ンを参照して ください。

デバイス固有の制御ロジッ クの主な機能は次のとおりです。

• GTH ト ランシーバーのリセッ ト ロジッ ク

• 5 つの SDI 規格をサポートするために、 GTH RX/TX シ リ アル ク ロ ッ ク分周器を動的に切り換える機能

• HD-SDI、 3G-SDI、 6G-SDI、 および 12G-SDI 規格の 2 つの異なるビッ ト レート をサポートするために、 TX の基準クロ ッ クを動的に切り換える機能

° 1.485Gb/s および 1.485/1.001Gb/s (HD-SDI モードの場合)

° 2.97Gb/s および 2.97/1.001Gb/s (3G-SDI モードの場合)

° 5.94Gb/s および 5.94/1.001Gb/s (6G-SDI モードの場合)

° 11.88Gb/s および 11.88/1.001Gb/s (12G-SDI モードの場合)

• 12G-SDI 規格の 2 つの異なるビッ ト レート をサポートするために、 RX の基準クロ ッ クを動的に切り換える機能

° 11.88Gb/s および 11.88/1.001Gb/s (12G-SDI モードの場合)

• GTH RXDATA および TXDATA ポート幅を動的に切り替える機能

° 20 ビッ ト RXDATA および TXDATA (SD-SDI、 HD-SDI、 および 3G-SDI モードの場合)

° 40 ビッ ト RXDATA および TXDATA (6G-SDI および 12G-SDI モードの場合)

アプリケーシ ョ ン ノート : GTH ト ランシーバー、 UltraScale アーキテクチャ

XAPP1248 (v1.2) 2015 年 8 月 14 日

UltraScale GTH ト ランシーバーを使用した SMPTE SDI インターフェイスの実装著者 : Gilbert Magnaye、 John Snow

はじめに

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  2

• SD-SDI モードの場合にデータを回復させるデータ リ カバリ ユニッ ト

• RX が整数フレーム レート信号 (1.485Gb/s および 2.97Gb/s などのライン レート ) または分数フレーム レート信号(1.485/1.001Gb/s および 2.97/1.001Gbs などのライン レート ) のいずれを受信しているかを判断する RX ビッ ト レート検出機能

このアプリ ケーシ ョ ン ノートでは、GTH ト ランシーバー用制御モジュールのインスタンス、GTH チャネル インスタンス、および UHD-SDI コアのインスタンス、 およびそれらに必要な接続を含むラ ッパー ファ イルも提供しています。 これを利用するこ とで、 SDI インターフェイスを簡単に構築できます。

このアプリ ケーシ ョ ン ノートで使用される用語については、 「用語解説」 を参照して ください。 図 1 の簡略ブロ ッ ク図では、 さまざまなコンポーネン ト を組み合わせて SDI インターフェイスを形成しているこ とを示しています。

• UHD-SDI コアは、 Vivado® IP カタログから利用可能な SMPTE UHD-SDI コアを指しています。

• 制御モジュールとは、 GTH ト ランシーバーが SMPTE UHD-SDII コアを使用して SDI インターフェイスを実装する際に必要となるさまざまなデバイス固有の機能を実装するモジュールです。 このアプリ ケーシ ョ ン ノートでは、制御モジュールをソース コード形式で提供します。

• GTH Wizard IP は、 1 つの GTHE3_CHANNEL ト ランシーバーとそれに対応した制御モジュールを含む UltraScaleTransceiver Wizard モジュールです。 このラ ッパーは、 Vivado IP カタログから利用可能な UltraScale FPGAs TransceiverWizard で生成されます。

• SDI Wrapper は、 UHD-SDI コア、 GTH ウ ィザード IP、および制御モジュールをインスタンシエート して相互接続するためのラ ッパー モジュールです。このアプリ ケーシ ョ ン ノートでは、SDI Wrapper をソース コード形式で提供します。

• SDI Wrapper Support モジュールには、 1 つの GTH クワ ッ ドに対して SDI Wrapper インスタンスが 1 つとGTHE3_COMMON プ リ ミ テ ィブが 1 つ含まれています。 このラ ッパーは各クワ ッ ドに 1 つインスタンシエー ト し、QPLL ク ロ ッ ク、 基準クロ ッ ク、 ロ ッ ク出力は、 同じ クワ ッ ドで違うチャネルにある SDI Wrapper へ接続する必要があ り ます。 SDI アプリ ケーシ ョ ンで QPLL を使用しない場合、 このラ ッパーは必要あ り ません。

図 1 について説明します。

1. オプシ ョ ンのオーディオ エンベッダーは単独コアであ り、 UHD-SDI コアには含まれていません。 また、 このアプ リケーシ ョ ン ノートでは説明していません。

X-Ref Target - Figure 1

図 1 :一般的な SDI RX/TX インターフェイスのブロック図

機能

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  3

機能UHD-SDI コアの製品ガイ ドには、UHD-SDI コアのすべての機能およびコアでサポート される SMPTE 規格が記載されています。 詳細は、 製品ガイ ドを参照してください。 また、 製品ガイ ドでは、 さまざまな SDI モードで動作するコアの入力/出力のタイ ミ ング図も示しています。

この資料では、 多重化されていない SDI データ ス ト リームのこ とを 「基本データ ス ト リーム」 と呼びます。 たとえば、HD-SDI 信号は 2 つの基本データ ス ト リーム (通常、 Y データ ス ト リームと C データ ス ト リーム) で構成されており、 10ビッ トの仮想 HD-SDI インターフェイスに多重化されます。同様に、 3G-SDI level A 信号も 2 つの基本データ ス ト リーム (データ ス ト リーム 1 とデータ ス ト リーム 2) で構成されており、10 ビッ トの仮想 3G-SDI インターフェイスに多重化されます。 3G-SDI level B 信号の場合は、各 HD-SDI 信号に Y データ ス ト リームと C データ ス ト リームがある 4 つの基本データ ス ト リームで構成されており、3G-SDI level B インターフェイスに集約されます。この 4 つの基本ス ト リームは、10 ビットの仮想 3G-SDI インターフェイスに多重化され、 4 ウェイ インターリーブとな り ます。 6G-SDI と 12G-SDI では、 1 つのSDI インターフェイスで 大 16 の基本データ ス ト リームをインターリーブが可能です。 この資料では、 UHD-SDI コア名および UHD-SDI ラ ッパーのポート名で、 これらのデータ ス ト リームを ds1 ~ ds16 と しています。

UHD-SDI コアの TX および RX は、データ ス ト リーム入力/出力で多重化されていない基本データ ス ト リームのみを受信/送信します。 データ ス ト リームの多重化/逆多重化は、 UHD-SDI コア内で実行され、 コアの外で実行するものではあ り ません。 ただし、 SD-SDI は例外です。 ST 259 SD-SDI 規格は、 Y コンポーネン ト と C コンポーネン ト を両方伝搬するシングル データ ス ト リームを定義します。 これは、 複数の EAV と SAV がインターリーブされていないため、 UHD-SDI コアでは基本データ ス ト リームと見なされます。

UHD-SDI コアは、ネイティブ ビデオ フォーマッ ト と基本データ ス ト リーム間のマッピングを行いません。ユーザー アプリ ケーシ ョ ンは、 UHD-SDI ト ランス ミ ッ ターへ基本データ ス ト リームを送信する前に、 これらのス ト リームに対して必要なビデオ マッピングを行い、 その後、 UHD-SDI レシーバーによって出力された基本ス ト リームからビデオ イ メージを再度構築する必要があ り ます。SD-SDI と単一リ ンク HD-SDI 上のすべてのビデオ フォーマッ ト、および 3G-SDI level A の1080p 50、 59.94、 60Hz 4:2:2 YCBCR 10 ビッ ト ビデオの場合、 これらのフォーマッ トのデータ ス ト リームと、 UHD-SDI コアへ入力または出力される基本データ ス ト リームに 1 対 1 となっているため、 マッピングは必要あ り ません。 これは、 2つの HD-SDI ビデオ フォーマッ トが 1 つの 3G-SDI インターフェイスに集約されるデュアル ス ト リーム モードの 3G-SDIlevel B-DS にも当てはま り ます。 デュアル リ ン ク HD-SDI、 3G-SDI level B-DL、 マルチ リ ン ク 3G-SDI、 6G-SDI、 および12G-SDI の場合、 基本データ ス ト リームに対するビデオ フォーマッ トのマッピングが必要であ り、 これは UHD-SDI コアでは行われません。

6G-SDI の場合、 UHD-SDI コアは 大 8 個の基本データ ス ト リームをサポート します。 12G-SDI の場合、 UHD-SDI コアは大 16 個の基本データ ス ト リームをサポート します。 SMPTE 6G-SDI および 12G-SDI のマッピングに関する資料では、

「データ ス ト リーム」 という用語が、多重化されたデータ ス ト リームと多重化されていない (基本) データ ス ト リームの両方に対して使用されているため、 各マッピング方法で使用される基本データ ス ト リーム数を判断する際は注意が必要です。 伝送されるデータ フォーマッ トによって、 6G-SDI インターフェイス上では 4 個または 8 個の基本データ ス ト リームがインターリーブされ、 12G-SDI インターフェイス上では 8 個または 16 個の基本データ ス ト リームがインターリーブされます。 16 ウェイ インターリーブは、デュアル リ ンク 12G-SDI でのみ可能です。 UHD-SDI TX は、 tx_mux_pattern ポートを使用して入力でアクティブなス ト リーム数を把握する必要があ り ます。 UHD-SDI RX は、 入力される SDI 信号に含まれる基本データ ス ト リーム数を自動で判断し、それらのデータ ス ト リームを適切に逆多重化して、入力信号に含まれる基本データ ス ト リーム数を rx_active_stream ポートに示します。

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

このセクシ ョ ンでは、 『UltraScale アーキテクチャ GTH ト ランシーバー ユーザー ガイ ド』 (UG576) [参照 13] を補足する内容を提供します。 こ こでは、 UHD-SDI アプリ ケーシ ョ ンに重要な GTH ト ランシーバーの機能および動作要件を中心に説明します。

GTH ト ランシーバー ポートの命名は『UltraScale アーキテクチャ GTH ト ランシーバー ユーザー ガイ ド』 (UG576) [参照 13]で使用されている規則に従います。 この規則は、 ポートのベース名にのみ使用します。 GTH ウ ィザード モジュールの作成に UltraScale FPGAs Transceiver Wizard を使用した場合、 すべての入力ポートには、 名前の後ろに _in が追加され、 すべての出力ポートには _out が追加されます。 たとえば、 この資料で txpllclksel となっているポートは、 GTH ラ ッパー内での実際の名前は txpllclksel_in とな り ます。

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  4

GTH ト ランシーバーを使用するアプリ ケーシ ョ ンでは、 いくつかのク ロ ッ クが必要です。 データ ス ト リームにデータを追加したり削除してクロ ッ クを補正できない SDI プロ ト コルでは、 アプリ ケーシ ョ ン内でクロ ッ クがどのよ うに生成および使用されるかに細心の注意が必要です。GTH ト ランシーバーを駆動するには基準クロ ッ クが必要です。GTH ト ランシーバー ク ワ ッ ドにある PLL (位相ロ ッ ク ループ) が基準クロ ッ クを使用して、 各ト ランシーバーの受信部および送信部用のシ リ アル ク ロ ッ クを生成します。 「GTH ト ランシーバーの基準クロ ッ ク」 で詳し く説明するよ うに、 GTH ト ランス ミ ッターのシ リ アル ビッ ト レートは、 供給される基準クロ ッ クの整数倍とな り ます。 さ らに、 SDI ト ランス ミ ッ ター データパスの入力に与えられるビデオ データ レートは、 GTH ト ランス ミ ッ ターで使用される基準クロ ッ ク周波数と正確に一致する (または正確な整数倍となる ) 必要があ り ます。 したがって、 送信されるビデオ ス ト リームのデータ レートへ周波数が確実に固定するよ うに、 ト ランス ミ ッ ターの基準クロ ッ クを生成する設計を行う必要があ り ます。

UltraScale FPGAs Transceiver Wizard で GT IP を生成する と きに ト ランス ミ ッ ターのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クを有効化する と、GTH ト ランス ミ ッ ターのクロ ッキングはこのブロ ッ クで管理されます。ヘルパー ブロ ック内の BUFG_GT で txusrclk および txusrclk 出力が駆動され、 その周波数は、 GTH ト ランス ミ ッ ターの txdata ポートへ供給されるデータのワード レート と同じになり ます。 txusrclk および txusrclk は、 シ リ アル ク ロ ッ クが PLL によってワードレート と等し くなるよ うに分周されるこ とで、GTH ト ランス ミ ッ ター内で生成されます。 ト ランス ミ ッ ターのユーザー クロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クの詳細は、 『UltraScale FPGAs Transceivers Wizard LogiCORE IP 製品ガイ ド』(PG182) [参照 15] を参照して ください。

ただし、GTH レシーバーの基準クロ ッ クは入力される SDI 信号のビッ ト レート と正確な関係を持つ必要はあ り ません。これは、 GTH レシーバーのクロ ッ ク データ リ カバリ (CDR) ユニッ トが、 大 ±1,250ppm ( 6.6Gbps)、 つま り基準クロ ッ ク周波数による設定どおりに公称ビッ ト レートから ±200ppm (> 8.0Gbps) でビッ ト レート を受信できるためです。このため、入力される SDI 信号と正確な周波数関係を持たないローカルのオシレーターでレシーバー基準クロ ッ クが生成可能になり ます。 GTH レシーバーは、 入力される SDI ビッ ト レートに周波数ロッ ク された リ カバリ ク ロ ッ クを生成します。 これらのクロ ッ クは、GTH Wizard IP からレシーバーのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロッ クの rxusrclk および rxusrclk2 ポートに出力され、 BUFG_GT で駆動されます。 後ほど詳し く説明しますが、 rxusrclk と rxusrclk2 は、 SD-SDI信号を受信する場合を除いて、 すべての SDI ライン レート を受信する際の真のリ カバリ ク ロ ッ クです。

SDI アプリ ケーシ ョ ンには、 も う 1 つクロ ッ クが必要です。 これはフ リーランニングの固定周波数クロ ッ クであ り、 GTHト ランシーバーの DRP (ダイナミ ッ ク リ コンフ ィギュレーシ ョ ン ポート ) 用クロ ッ ク と して使用されます。 通常、 これと同じ クロ ッ クが SDI Wrapper の制御モジュールに供給され、 タイ ミ ング制御に使用されます。 このクロ ッ クの有効な周波数範囲は UltraScale FPGAs Transceiver Wizard で示され、 通常は 3.125 ~ 200MHz です。 このク ロ ッ クの周波数は、 SDI アプリ ケーシ ョ ンのその他のクロ ッ クやデータ レートに対して特定の関係持つ必要はあ り ません。 SDI モードを変更した際に、 このクロ ッ クの周波数を変更しないでください。 常に同じ公称周波数で動作する必要があ り ます。 また、 SDI アプリケーシ ョ ンが動作中は停止できません。 このクロ ッ クは、 デバイス内のすべての SDI インターフェイスで使用可能です。

rxusrclk および txusrclk の周波数は、 SDI モードや GTH ト ランシーバーの rxdata および txdata のポートの幅に依存します。この関係は、GTH ト ランシーバーのアーキテクチャによって固定されています。場合によって、データ ス ト リームのデータ レートがク ロ ッ ク周波数よ り も低くなる こ とがあるため、 RX と TX はク ロ ッ ク イネーブルを使用して、 データ ス トリーム伝送データ レー ト を調整します。 表 1 に、 各 SDI モードにおけるアクテ ィブ データ ス ト リーム数、 rxdata/txdataポート幅、 rxoutclk/txoutclk 周波数、 およびクロ ッ ク イネーブルを示します。 ク ロ ッ ク イネーブルは、 2 データ ワード サイクルでクロ ッ ク イネーブルがアサート中のクロ ッ ク数と して表わされます。 つま り、 1/1 はクロ ッ ク サイクルごとにクロ ッ ク イネーブルがアサート されるこ とを意味し、2/2 は 2 ク ロ ッ ク サイクルに 1 回クロ ッ ク イネーブルがアサート され(50% デューティ サイクル)、4/4 は 4 ク ロ ッ ク サイ クルに 1 回クロ ッ ク イネーブルがアサート されるこ と (25% デューティサイ クル) を意味します。 また、 5/6 は、 5 ク ロ ッ クまたは 6 ク ロ ッ ク サイクルのいずれかに 1 回クロ ッ ク イネーブルがアサート され、平均する と 5.5 ク ロ ッ ク サイ クルに 1 回 とな り ます (ク ロ ッ ク イネーブルのハイ パルス間に 1 インスタンスの 5 ク ロ ッ ク サイクル、 それに続いてクロ ッ ク イネーブルのハイ パルス間に 1 インスタンスの 6 ク ロ ッ ク サイクルが来るパターンが繰り返される )。

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  5

GTH ト ランシーバーの基準クロック

UltraScale GTH ト ランシーバーはクワッ ドに分けられています。各クワッ ドには 4 つの GTHE3_CHANNEL ト ランシーバープリ ミ ティブ、および 2 つのクワ ッ ド PLL (QPLL0 と QPLL1) を含む GTHE3_COMMON プリ ミ ティブが 1 つあり ます (図 2参照)。 QPLL0 および QPLL1 で生成されたク ロ ッ クは、 ク ワ ッ ド内の 4 つすべての ト ランシーバーへ分配されます。 各GTHE3_CHANNEL には、チャネル PLL (CPLL) と呼ばれる専用の PLL があ り、その ト ランシーバーの RX および TX へのみクロ ッ クを供給できます。 クワ ッ ド内の各 RX と TX ユニッ トでは、 ク ロ ッ ク ソース と して QPLL0 または QPLL1 のいずれかまたは両方を使用すべきか、 または CPLL を使用すべきかを個別に設定できます。 さ らに、 この RX/TX ユニッ トは、 ク ロ ッ ク ソースを QPLL0、 QPLL1、 CPLL 間で動的に切り換え可能です。 このコンフ ィギュレーシ ョ ンと動的切り換え機能は、 SDI アプリ ケーシ ョ ンに特に有効です。

重要 : CPLL と QPLL はそれぞれ、 大ライン レートが 6.25Gbps および 16.375Gbps です。つま り、 QPLL は 12G-SDI まで対応できますが、 CPLL は 6G-SDI ライン レート までしかサポートできません。 -1 スピード グレードの UltraScale GTH トランシーバーの場合、 CPLL の 大ライン レートは 4.25Gbps であるため、 サポートは 大 3G-SDI に制限されるこ とに留意して ください。この制限があるのは -1 スピード グレード デバイスのみです。詳細は、『Kintex UltraScale アーキテクチャデータシート : DC 特性および AC スイ ッチ特性』 (DS892) [参照 16] の 「GTH ト ランシーバーのスイ ッチ特性」 のセクシ ョンを参照して ください。

表 1 : クロック周波数とクロック  イネーブルの要件

SDI モードアクテ ィブな 

データ  スト リームRX/TXDATA ビッ ト幅 RX/TXOUTCLK 周波数 クロック  イネーブル

SD-SDI 1 20 148.5MHz 5/6

HD-SDI 2 20 74.25 または 74.25/1.001MHz 1/1

3G-SDI A 2 20 148.5 または 148.5/1.001MHz 1/1

3G-SDI B 4 20 148.5 または 148.5/1.001MHz 2/2

6G-SDI 4 40 148.5 または 148.5/1.001MHz 1/1

6G-SDI 8 40 148.5 または 148.5/1.001MHz 2/2

12G-SDI 8 40 297 または 297/1.001MHz 2/2

12G-SDI 16 40 297 または 297/1.001MHz 4/4

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  6

一般的な UHD-SDI アプリ ケーシ ョ ンでは、 9 つの異なるビッ ト レート をサポートするために GTH ト ランシーバーが必要です。

• 270Mb/s (SD-SDI の場合)

• 1.485Gb/s (HD-SDI の場合)

• 1.485/1.001Gb/s (HD-SDI の場合)

• 2.97Gb/s (3G-SDI の場合)

• 2.97/1.001Gb/s (3G-SDI の場合)

• 5.94Gb/s (6G-SDI の場合)

• 5.94/1.001Gb/s (6G-SDI の場合)

• 11.88Gb/s (12G-SDI の場合)

• 11.88/1.001Gb/s (12G-SDI の場合)

GTH ト ランシーバーの RX 部に含まれる CDR ユニッ トは、6.6Gb/s 未満の基準周波数から 大 ±1250ppm のビッ ト レートを受信できます。 HD-SDI、 3G-SDI、 6G-SDI、 および 12G-SDI には、 正確に 1000ppm 異なる 2 つのビッ ト レートがあ り ます。 HD-SDI、 3G-SDI、 および 6G-SDI の場合、 1 つの基準クロ ッ ク周波数を使用して両方のビッ ト レート を受信するこ と

X-Ref Target - Figure 2

図 2 : UltraScale GTH ト ランシーバーのクワッ ド  コンフ ィギュレーシ ョ ン

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  7

が可能です。 これと同じ基準クロ ッ ク周波数で SD-SDI の受信も可能です。 つま り、 12G-SDI を除くすべての SDI モードで必要な RX 基準クロ ッ ク周波数は 1 つのみです。 一方、 12G-SDI レートの場合は、 CDR ユニッ トの基準クロ ッ ク周波数に対する許容誤差がわずか ±200ppm であるため、2 つの 12G-SDI ビッ ト レート を受信するには 2 つの異なる基準クロ ッ ク周波数が必要二なり ます。 これらの 2 つの基準クロ ッ ク周波数は通常、 148.5MHz で 11.88Gb/s を受信し、 148.5/1.001MHzで 11.88/1.001Gb/s を受信します。

このため、 ほとんどの SDI アプリ ケーシ ョ ンでは、 2 つの基準クロ ッ クを GTH クワ ッ ドへ供給します。 通常、 2 つの基準ク ロ ッ クの組み合わせは 148.5MHz と 148.5/1.001MHz です。 このアプ リ ケーシ ョ ン ノー ト の説明ではこの 148.5MHz と148.5/1.001MHz 基準クロ ッ ク周波数を使用します。

GTH ト ランシーバーの基準クロ ッ ク ソースは、 アプリ ケーシ ョ ンによって異なり ます。 レシーバーの基準クロ ッ ク ソースは、 入力される SDI ビッ ト レート と正確に一致する必要がないため、 ローカルのオシレーターで対応できます。 一方、GTH ト ランス ミ ッ ターのライン レートは、常に基準クロ ッ ク周波数の整数倍であるため、 ト ランス ミ ッ ターの基準クロ ック周波数は、送信されるデータのデータ レート と正確な関係を持つ必要があ り ます。 ほとんどの場合、 ト ランス ミ ッ ターの基準クロ ッ クはゲンロ ッ ク PLL で生成されるため、スタジオのビデオ基準信号から GTH ト ランス ミ ッ ター ライン レート を生成します。 SDI パススルー接続などの場合、 ト ランス ミ ッ ター ライン レートは、 SDI 信号を受信している GTH レシーバーのリ カバリ ク ロ ッ クから生成されます。そのよ うな場合、ト ランス ミ ッ ターの基準クロ ッ ク と して使用する前に、外部 PLL でリ カバリ ク ロ ッ クのジッ ターを軽減する必要があ り ます。

一般的な UHD-SDI アプリ ケーシ ョ ンでは、 2 つの基準クロ ッ クが QPLL0 と QPLL1に接続されます。 クワ ッ ド内の各ト ランシーバーの RX ユニッ ト と TX ユニッ トは、その時点で必要なビッ ト レートに従って PLL ク ロ ッ クを動的に切り替えます。PLL の TX および RX ユニッ ト シ リ アル ク ロ ッ ク ソースの選択には、GTH txsysclksel および rxsysclksel ポート を使用します。 図 3 に、 この一般的な SDI アプ リ ケーシ ョ ンのコンフ ィギュレーシ ョ ンを示します。 図 3 では、 インプ リ メ ンテーシ ョ ンで動的に使用されないマルチプレクサーを配線に置き換えており、 クワ ッ ド間の基準クロ ッ ク配線は表示していません。 1 つの 12G-SDI ビッ ト レートのみサポートするアプ リ ケーシ ョ ンでは、 一方の基準クロ ッ クを CPLL へ接続し、 も う一方を QPLL0/1 へ接続するこ とができます。

また、各 GTH RX および TX ユニッ トには、選択したクロ ッ クを指定可能な 2 の累乗の整数値で分周するシ リ アル ク ロ ック分周器があ り ます。 これによって、 たとえば、 クワ ッ ド内のすべての RX ユニッ トは QPLL からの同じ クロ ッ ク周波数を使用しながら も、 異なるシ リ アル ク ロ ッ ク分周値を用いて異なるライン レートで動作できるよ うにな り ます。 3G-SDI、6G-SDI、 および 12G-SDI ビッ ト レートは HD-SDI、 3G-SDI、 および 6G-SDI ビッ ト レートの 2 倍の速度となるため、 この機能は SDI インターフェイスに非常に有効です。 270Mb/s SD-SDI の場合、 GTH ト ランシーバーは 11 倍のオーバーサンプリ ング テクニッ クによって 3G-SDI ライン レートで動作します。RX および TX ユニッ トでは、 2 の累乗値で指定した異なる 4 つの分周器を用いてクロ ッ ク ソースを分周できるため、基準クロ ッ ク周波数を 2 つ使用するだけですべての SDI ビット レートの送受信が可能です。

RX および TX ユニッ トのシ リ アル ク ロ ッ ク分周器の値は、RXOUT_DIV および TXOUT_DIV 属性を利用して DRP から動的に変更可能です。

図 3 に示すコンフ ィギュレーシ ョ ンは、 ほとんどの SDI アプリ ケーシ ョ ンに 適なソ リ ューシ ョ ンです。 その理由は、 次のとおりです。

• レシーバーは、 QPLL0 と QPLL1 を使用してすべての SDI ビッ ト レート を受信でき、 基準クロ ッ クから生成されたシリ アル ク ロ ッ クをクワ ッ ド内のすべてのレシーバーへ供給します。

• ト ランス ミ ッ ターは、 サポート されているすべての SDI ビッ ト レートで送信するのに必要な 2 つのシ リ アル ク ロ ックを得るため、 QPLL0 と QPLL1 からのクロ ッ クを動的に切り換えるこ とができます。

• クワ ッ ド内の 4 つのレシーバーと 4 つのト ランス ミ ッ ターは完全に独立しているため、 それぞれ異なる SDI ビッ トレートで動作でき、ほかの RX や TX ユニッ トへ干渉するこ とな く ビッ ト レート を動的に切り換えるこ と も可能です。

• ゲンロ ッ ク機能を搭載したアプリ ケーシ ョ ンでは、 新のゲンロ ッ ク PLL が同期基準入力信号から 2 つの基準クロ ック周波数を同時に提供できます。

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  8

図 3 について説明します。

1. GTH RX インターフェイスおよび内部ビッ ト幅は、 現時点での SDI モード とデータ ス ト リームのインターリーブ パターンに応じて、 RX_DATA_WIDTH および RX_INT_DATAWIDTH DRP 属性を使用して動的に変更されます。

2. GTH TX インターフェイスおよび内部ビッ ト幅は、 現時点での SDI モード とデータ ス ト リームのインターリーブ パターンに応じて、 TX_DATA_WIDTH および TX_INT_DATAWIDTH DRP 属性を使用して動的に変更されます。

SDI アプリ ケーシ ョ ンによっては、SDI ト ランス ミ ッ ターが同じ公称ビッ ト レートで送信していても、わずかに異なるビット レート で動作する場合があ り ます。 これは、 各 TX のビッ ト レート が、 関連する SDI RX で受信される SDI のビッ トレート と正確に一致しなければならない SDI ルーターで見られます。 同じ公称ビッ ト レート で送信する 2 つの ト ランスミ ッ ターの実際のビッ ト レートには、 数 ppm の差があ り ます。 このよ うなアプリ ケーシ ョ ンは、 各 TX ユニッ トが CPLLを排他的に使用できる UltraScale GTH のクワッ ド アーキテクチャによってサポートできますが、 これには各 CPLL に専用の基準クロ ッ ク周波数を供給する必要があ り、 その上、 使用可能な GTH 基準クロ ッ ク入力数には制限があ り ます。 基準クロ ッ ク入力は各 GTH クワ ッ ドに 2 つずつあり ます。クワ ッ ドは上下クワッ ドからの基準クロ ッ クを使用できるため、デバイス内の複数の GTH クワ ッ ドに 5 つの異なる基準クロ ッ ク周波数 (RX ユニッ トに 1 つ、 4 つの TX ユニッ トに 4 つ) を供給できますが、 デバイス内の GTH TX すべてが独自の基準クロ ッ クを持つには、 基準クロ ッ ク入力数が足り ません。 このよ うな場合、そのシ リ アル ク ロ ッ クの周波数から ± 数百 ppm で GTH TX をプルできる PICXO テクニッ クが非常に有効です。 このため、各 SDI TX のビッ ト レートが受信される SDI 信号のビッ ト レート と個別にロ ッ クする必要があるアプ リケーシ ョ ンでは、 図 3 に示す一般的な基準クロ ッ クを利用して実装し、 さ らに PICXO テクニッ クを利用して各 GTH TXにそれぞれの SDI ト ランス ミ ッ ターの正確なビッ ト レート を設定します。 このアプリ ケーシ ョ ン ノートでは、 PICXO について説明していません。 PICXO の使用については、 ザイ リ ンクス テクニカル サポート までお問い合わせください。

X-Ref Target - Figure 3

図 3 : SDI 用の GTH 基準クロックのインプリ メンテーシ ョ ン  (一般的な場合)

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  9

リセッ ト

GTH ト ランシーバーには、『UltraScale アーキテクチャ GTH ト ランシーバー ユーザー ガイ ド』 [参照 13] で説明されているとおり、 非常に特殊な リセッ ト要件があ り ます。 GTH ト ランシーバーでは、 PLL のリセッ ト と GTH ト ランシーバーのリセッ ト (gttxreset および gtrxreset) を注意深く調整する必要があ り ます。 この調整は、UltraScale FPGAs Transceiver Wizard を使用して GTH ト ランシーバーを生成する際に、 ク ロ ッキング ネッ ト ワーク と リセッ ト コン ト ローラー ヘルパー ブロ ックを有効にする と簡単になり ます。 リセッ ト コン ト ローラー ヘルパー ブロ ッ クが、複雑な GTH ト ランシーバーのリセット シーケンスに対応するよ う調整します。このアプリ ケーシ ョ ン ノートで提供する制御モジュールは、すべての UHD-SDIコア コンフ ィギュレーシ ョ ン アップデート用のリセッ ト アサーシ ョ ンを管理し、 GTH ト ランシーバーが確実かつ適切に動作するよ うにします。

GTH TX のリセッ ト

UltraScale FPGAs Transceiver Wizard は、 GTH ト ランシーバーの TX 部を リセッ トするために 3 つの方法を提供します。

• gtwiz_reset_all_in : アクティブ High 信号であ り、TX と RX 部の PLL、および GTH ト ランシーバーのアクティブなデータ方向を リセッ トするユーザー信号です。この リセッ トは、TX および RX GTH 部に影響を与えるため、通常はスタート コンディシ ョ ン中はアサート されます。

• gtwiz_reset_tx_pll_ および _datapath_in : アクティブ High 信号であ り、 GTH ト ランシーバーの TX データ方向および関連する PLL を リセッ トするユーザー信号です。 特に、 TX PLL の基準クロ ッ クが変更されたと きには、 このリセッ トが有用です。

• gtwiz_reset_tx_datapath_in : アクティブ High 信号であ り、ト ランシーバー プリ ミ ティブの TX データ方向を リセッ トするユーザー信号です。 このリセッ ト信号は、 tx_mode、 tx_m、および tx_mux_pattern ポートの少なく と も 1 つが変更される と、 SDI TX アプリ ケーシ ョ ンに対してアサート されます。

QPLL と CPLL を使用する場合、これらの 2 種類の PLL の動作周波数範囲は異なり ます。SDI アプリ ケーシ ョ ンでは、QPLLからのシ リ アル ク ロ ッ クは、 CPLL からのシ リ アル ク ロ ッ クの 2 倍の周波数とな り ます。 このため、 SDI Wrapper の tx_m入力ポートが変更されて、 2 つの PLL 間で GTH TX の動的切り替えが要求される と き、 ト ランス ミ ッ ターが同じ SDI モードのままの場合には、TXOUT_DIV DRP 属性を介してシ リ アル ク ロ ッ ク分周器を動的に変更する必要があ り ます。たとえば、 シ リ アル ク ロ ッ ク ソース と して QPLL を使用する 1.485Gb/s の HD-SDI ビッ ト レートから、 シ リ アル ク ロ ッ ク ソース と して CPLL を使用する 1.485/1.001 の HD-SDI ビッ ト レートへ切り替える場合には、 txsysclksel ポート と TXOUT_DIVDRP 属性の両方を変更する必要があ り ます。 ただし、 SDI Wrapper の tx_mode 入力ポートで選択された SDI モードが tx_mポー ト と同時に変更される場合、 シ リ アル ク ロ ッ ク分周器の変更が必要とは限り ません。 たとえば、 CPLL を使用するHD-SDI モードから QPLL を使用する 3G-SDI モードへ変更する場合、CPLL から QPLL への変更ではシ リ アル ク ロ ッ ク周波数が必然的に増加し、 結果と してライン レートが 2 倍になるため、 Txrate ポート を変更する必要はあ り ません。

tx_mode ポートは、 GTH ト ランシーバーのデータ幅に影響します。 たとえば、 6G-SDI または 12G-SDI の場合、 内部データ幅と インターフェイス データ幅は 4 バイ ト と 40 ビッ トにそれぞれ変更する必要があ り ます。 ビッ ト レートがよ り低い場合は、 これらのパラ メーターは 2 バイ トおよび 20 ビッ トに設定されます。 UHD-SDI TX と GTH ト ランシーバーの TX部のデータ幅は常に一致する必要があ り ます。 これらは、 GTH ト ランシーバー内のインターフェイス幅と内部データ幅の設定に使用する RX_DATA_WIDTH および RX_INT_DATAWIDTH DRP 属性を変更して一致させるこ とができます。

tx_mode と tx_m は、 SDI Wrapper の独立した入力ポー ト であるため、 これらのポー ト の一方が変更された場合には、txsysclksel ポート、 TXOUT_DIV、 RX_DATA_WIDTH、 および RX_INT_DATAWIDTH DRP 属性が動的に変更される前に、わずかなセ ト リ ング遅延が適用されます。 この遅延によって、 も う一方のポートが変更されるまでに短い時間が与えられるため、 この間に TX 制御ロジッ クはこれらのポートおよび DRP 属性の変更が必要であるかを判断します。

SDI Wrapper には TX 部のリセッ ト入力が 2 つあり ます。

• tx_rst_in : High にアサート される と、 UHD-SDI コアの SDI TX データ パス、 TX コン ト ローラー モジュール、 およびGTH ト ランシーバーの TX 部を リセッ ト します。

• gth_wiz_reset_tx_pll_and_datapath_in : High にアサート される と、 TX に関連する PLL を リセッ ト してから、 GTH ト ランシーバーの TX 部を リセッ ト します。

GTH RX のリセッ ト

TX 部と同様に、 ユーザー アプリ ケーシ ョ ンでは、 このセクシ ョ ンで説明したすべての RX リ セッ ト と動的変更動作が互いに干渉しないよ うに SDI 制御モジュールによって慎重に調整されます。

UltraScale FPGAs Transceiver Wizard は、 GTH ト ランシーバーの RX 部を リセッ トするために 3 つの方法を提供します。

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  10

• gtwiz_reset_all_in : アクティブ High 信号であ り、TX と RX 部の PLL、および GTH ト ランシーバーのアクティブなデータ方向を リセッ トするユーザー信号です。この リセッ トは、TX および RX GTH 部に影響を与えるため、通常はスタート コンディシ ョ ン中はアサート されます。

• gtwiz_reset_rx_pll_and_datapath_in : アクティブ High 信号であ り、 GTH ト ランシーバーの RX データ方向および関連する PLL を リセッ トするユーザー信号です。 特に、 RX PLL の基準クロ ッ クが変更されたと きには、 このリセッ トが有用です。

• gtwiz_reset_rx_datapath_in : アクティブ High 信号であ り、 ト ランシーバー プリ ミ ティブの RX データ方向を リセッ トするユーザー信号です。 このリセッ ト信号は、 rx_mode、 rx_m、および rx_active_streams ポートの少なく と も 1 つが変更される と、 SDI RX アプリ ケーシ ョ ンに対してアサート されます。

CPLL および QPLL は、 6.6Gbps ビッ ト レートに対して ±1250ppm の許容範囲があるため、 1 つの CPLL または QPLL でSD-SDI から 6G-SDI のすべてのビッ ト レート (0ppm および 1000ppm) をサポート します。 一方、 12G-SDI の場合は、 2 つのビ ッ ト レー ト をサポー ト するために、 GTH ト ラ ンシーバーの QPLL0 と QPLL1 の両方が必要にな り ます。 つま り、12G-SDI アプ リ ケーシ ョ ンでは、 11.88Gbps から 11.88/1.001Gbps へ、 またはその逆方向へ切り替える際に、 rxsysclksel を変更する必要があ り ます。

SDI モード (SD-SDI、 HD-SDI、 3G-SDI、 6G-SDI、 および 12G-SDI) を変更する と、 4 つの項目 (rxcdrhold ポート、 イコライゼーシ ョ ンの有効化/無効化 (LPM および DFE)、 RXCDR_CFG 属性、 RXOUT_DIV、 RX_DATA_WIDTH、 およびRX_INT_DATA_WIDTH 属性) を 1 つまたは複数を変更する必要があ り ます。 RXCDR_CFG2、 RXOUT_DIV、RX_DATA_WIDTH、 および RX_INT_DATA_WIDTH 属性は、 DRP を介して変更されます。 RX SDI モードが SD-SDI の場合は、 rxcdrhold ポート を High にアサート します。 LPM および DFE は、 SD-SDI の場合に無効に設定し、 その他の SDI ライン レートの場合に有効に設定します。 HD-SDI、 3G-SDI、 6G-SDI、および 12G-SDI へ切り替えて現在のライン レートにCDR を 適化する と、 RXCDR_CFG2 属性が変更されます。 RXOUT_DIV 属性は、 GTH RX 用のシ リ アル ク ロ ッ ク分周器を制御します。 GTH RX は、 これらの 4 つのいずれかに動的変更された後、 GT Wizard の gtwiz_reset_rx_datapath_in ポートを使用して リセッ トする必要があ り ます。 SDI モードの変更シーケンスでこれらの 1つ以上が変更される場合は、 すべての変更が行われた後に gtwiz_reset_rx_datapath_in を 1 サイクル間アサートする必要があ り ます。

SDI Wrapper には RX 部のリセッ ト入力が 2 つあり ます。

• rx_rst_in : High にアサート される と、 UHD-SDI コアの SDI RX データ パス、 RX コン ト ローラー モジュール、 およびGTH ト ランシーバーの RX 部を リセッ ト します。

• gth_wiz_reset_rx_pll_and_datapath_in : High にアサート される と、 RX に関連する PLL を リセッ ト してから、 GTH ト ランシーバーの RX 部を リセッ ト します。

SDI アプリケーシ ョ ンの GTH PLL の使用例

このセクシ ョ ンでは、 SDI アプリ ケーシ ョ ンで使用される PLL およびト ランシーバーの一般的なコンフ ィギュレーシ ョ ンについて説明します。 すべてのコンフ ィギュレーシ ョ ンについて言及しているわけではあ り ませんが、 PLL のリセッ ト信号と ロ ッ ク信号の適切な接続については十分に説明しています。

SDI Wrapper には 4 つの固定パラ メーターがあ り、 これらは QPLL からのシ リ アル ク ロ ッ ク ソース、 または CPLL からのシ リ アル ク ロ ッ ク ソースを指定します。 PLL ク ロ ッ クの配線は、 これらの属性で制御されません。 これらは、 適切な RXおよび TX シ リ アル ク ロ ッ ク分周器の値を計算し、 TX の場合は、 rx_m および tx_m の現在の値に基づいて、 GTH WizardIP の rxpllclksel_in および txpllclksel_in を駆動する値を計算するために使用されます。 これらの 4 つのパラ メーターは、 2ビッ ト バイナリ値で、 次の説明のとおりに指定する必要があ り ます。

• RXPLLCLKSEL_RX_M_0 パラ メーターは、 rx_m が Low のと きの GTH RX のクロ ッ ク ソースに基づいて、 2'b00(CPLL)、 2'b11 (QPLL0)、 あるいは 2'b10 (QPLL1) に設定します。

• RXPLLCLKSEL_RX_M_1 パラ メーターは、 rx_m が high で rx_mode が 3'b110 (12G 11.88/1.001Gb/s) のと きの GTH RXのクロ ッ ク ソースに基づいて、 2'b00 (CPLL)、 2'b11 (QPLL0)、 あるいは 2'b10 (QPLL1) に設定します。

• TXPLLCLKSEL_TX_M_0 パラ メーターは、 tx_m が Low のと きの GTH TX のクロ ッ ク ソースに基づいて、 2'b00(CPLL)、 2'b11 (QPLL0)、 あるいは 2'b10 (QPLL1) に設定します。

• TXPLLCLKSEL_TX_M_1 パラ メーターは、 tx_m が High のと きの GTH TX のクロ ッ ク ソースに基づいて、 2'b00(CPLL)、 2'b11 (QPLL0)、 あるいは 2'b10 (QPLL1) に設定します。

RX ク ロ ッ クには 2 つのパラ メーターがあ り、SDI Wrapper の rx_m ポート を使用する 2 つの PLL ク ロ ッ ク ソース間の動的切り替えに対応します。 RXPLLCLKSEL_RX_M_0 は、 tx_m が Low のと きに GT Wizard IP の rxpllclksel_in を駆動するために使用され、 RXPLLCLKSEL_RX_M_1 は、 rx_m が High で rx_mode が 3'b110 (12G-SDI /1.001) の場合に使用されます。 RX

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  11

PLL を動的に切り替えないアプ リ ケーシ ョ ンでは、 RX PLL のク ロ ッ ク ソースに従って、 RXPLLCLKSEL_RX_M_0 とRXPLLCLKSEL_RX_M_1 に同じ値を指定してください。

RX と同様、TX ク ロ ッ クには 2 つのパラ メーターがあ り、SDI Wrapper の tx_m ポート を使用する 2 つの PLL ク ロ ッ ク ソース間の動的切り替えに対応します。 TXPLLCLKSEL_TX_M_0 は、 tx_m が Low のと きに txpllclksel_in を駆動するために使用され、TXPLLCLKSEL_TX_M_1 は、 tx_m が High のと きに使用されます。TX PLL を動的に切り替えないアプリ ケーシ ョンでは、 TX PLL のクロ ッ ク ソースに従って、 TXPLLCLKSEL_TX_M_0 と TXPLLCLKSEL_TX_M_1 に同じ値を指定してください。

使用モデル 1 : クワッ ド内の 1 つのト ランシーバーがアクテ ィブで、 RX と  TX は QPLL0/QPLL1 を動的に切り替える場合

図 4 に示すこの使用モデルでは、 クワッ ド内にアクティブな ト ランシーバーが 1 つあ り、 RX と TX シ リ アル ク ロ ッ クはQPLL0 または QPLL1 のいずれかで供給されます。 この使用モデルは、 両方の 12G-SDI ビッ ト レートがサポート されている場合に推奨されるクロ ッキングです。

次の接続およびコンフ ィギュレーシ ョ ンが必要です。

• 基準クロ ッ ク 148.5MHz および 148.35MHz を gth_qpll0_refclk_p/n_in および gth_qpll1_refclk_p/n_in ポートへそれぞれ接続します。

• gth_cpll_refclk_p_in と gth_cpll_refclk_n_in ポートは 0 に接続します。

• gth_drpclk_in は、 GTH Wizard IP の生成中に指定されたクロ ッ クに接続します。 このアプリ ケーシ ョ ン ノートでは27MHz です。

• gth_wiz_reset_tx_pll_and_datapath_in および gth_wiz_reset_rx_pll_and_datapath_in 入力ポートは、QPLL0 および QPLL1 への基準クロ ッ ク ソースが安定している場合のみ Low になる必要があ り ます。

• SDI Wrapper Support の RXPLLCLKSEL_RX_M_0 パラ メーターは、 2'b11 (QPLL0) に設定します。

• SDI Wrapper Support の RXPLLCLKSEL_RX_M_1 パラ メーターは、 2'b10 (QPLL1) に設定します。

• SDI Wrapper Support の TXPLLCLKSEL_TX_M_0 パラ メーターは、 2'b11 (QPLL0) に設定します。

• SDI Wrapper Support の TXPLLCLKSEL_TX_M_1 パラ メーターは、 2'b10 (QPLL1) に設定します。

• 基準クロ ッ クの変更や中断によって QPLL0 を リセッ ト しなければならない場合は、 SDI Wrapper Support のgth_qpll0_reset_in 入力をアサート します。

• 基準クロ ッ クの変更や中断によって QPLL1 を リセッ ト しなければならない場合は、 SDI Wrapper Support のgth_qpll1_reset_in 入力をアサート します。

X-Ref Target - Figure 4

図 4 : PLL 使用モデル 1 およびモデル 2

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  12

使用モデル 2 : クワッ ド内の 1 つのト ランシーバーがアクテ ィブで、 RX が QPLL1 で TX が QPLL0 によってクロック供給される場合

図 4 に示すこの使用モデルでは、クワ ッ ド内にアクティブな ト ランシーバーが 1 つあり、GTH RX は QPLL1 でクロ ッ ク供給され、 GTH TX は QPLL0 でクロ ッ ク供給されます。

次の接続が必要です。

• 基準クロ ッ クを gth_qpll0_refclk_p/n_in および gth_qpll1_refclk_p/n_in ポートへそれぞれ接続します。

• gth_cpll_refclk_p_in と gth_cpll_refclk_n_in ポートは 0 に接続します。

• gth_drpclk_in は、 GTH Wizard IP の生成中に指定されたクロ ッ クに接続します。 このアプリ ケーシ ョ ン ノートでは27MHz です。

• gth_wiz_reset_tx_pll_and_datapath_in 入力ポートは、 QPLL0 への基準クロ ッ ク ソースが安定している場合のみ Low になる必要があ り ます。

• gth_wiz_reset_rx_pll_and_datapath_in 入力ポートは、 QPLL1 への基準クロ ッ ク ソースが安定している場合のみ Low になる必要があ り ます。

• SDI Wrapper Support の RXPLLCLKSEL_RX_M_0 パラ メーターは、 2'b10 (QPLL1) に設定します。

• SDI Wrapper Support の RXPLLCLKSEL_RX_M_1 パラ メーターは、 2'b10 (QPLL1) に設定します。

• SDI Wrapper Support の TXPLLCLKSEL_TX_M_0 パラ メーターは、 2'b11 (QPLL0) に設定します。

• SDI Wrapper Support の TXPLLCLKSEL_TX_M_1 パラ メーターは、 2'b11 (QPLL0) に設定します。

• 基準クロ ッ クの変更や中断によって QPLL0 を リセッ ト しなければならない場合は、 SDI Wrapper Support のgth_qpll0_reset_in 入力をアサート します。

• 基準クロ ッ クの変更や中断によって QPLL1 を リセッ ト しなければならない場合は、 SDI Wrapper Support のgth_qpll1_reset_in 入力をアサート します。

使用モデル 3 : クワッ ド内の複数のト ランシーバーがアクテ ィブで、 RX と  TX は QPLL0/QPLL1 を動的に切り替える場合

図 5 に示すこの使用モデルには、 クワ ッ ド内に複数のアクティブ ト ランシーバーがあ り ます。 すべての GTH レシーバーは、QPLL から クロ ッ ク供給されます。すべての GTH ト ランス ミ ッ ターは、QPLL0 と QPLL1 で個別に切り替え可能です。このモデルは、 図 3 に示す一般的な使用モデルに当てはま り ます。

この使用モデルでは、 SDI Wrapper Support が 1 つインスタンシエート されており、GTHE3 Common Primitive と関連する差動クロ ッ ク バッファーを含みます。 その他の SDI チャネル用に複数の SDI Wrapper ( 大 3 つ) がインスタンシエート されます。

この使用モデルは、 クワ ッ ド内で複数のト ランシーバーがアクティブで、 いずれも SDI インターフェイスを実装している一般的な例です。 ク ワ ッ ド内のアクテ ィブな GTH RX および TX ユニッ トは、 QPLL0 または QPLL1 からのシ リ アル クロ ッ クを使用します。 図 5 に、 この使用例のモジュールを示します。

この使用モデルでは、 SDI Wrapper Support が QPLL0 および QPLL1 マスターと して指定され、 GTH Common Primitive のQPLL0RESET および QPLL1RESET ポート を制御します。 SDI Wrapper は QPLL リ セッ ト を制御しませんが、 SDI WrapperSupport の QPLL0/QPLL1 ロ ッ ク出力をモニターします。

次の接続が必要です。

• 基準クロ ッ ク 148.5MHz および 148.35MHz を gth_qpll0_refclk_p/n_in および gth_qpll1_refclk_p/n_in ポートへそれぞれ接続します。

• gth_cpll_refclk_p_in と gth_cpll_refclk_n_in ポートは 0 に接続します。

• gth_drpclk_in は、 GTH Wizard IP の生成中に指定されたクロ ッ クに接続します。 このアプリ ケーシ ョ ン ノートでは27MHz です。

• gth_wiz_reset_tx_pll_and_datapath_in および gth_wiz_reset_rx_pll_and_datapath_in 入力ポートは、QPLL0 および QPLL1 への基準クロ ッ ク ソースが安定している場合のみ Low になる必要があ り ます。

• SDI Wrapper Support および SDI Wrapper の RXPLLCLKSEL_RX_M_0 パラ メーターは、 2'b11 (QPLL0) に設定します。

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  13

• SDI Wrapper Support および SDI Wrapper の RXPLLCLKSEL_RX_M_1 パラ メーターは、 2'b10 (QPLL1) に設定します。

• SDI Wrapper Support および SDI Wrapper の TXPLLCLKSEL_TX_M_0 パラ メーターは、 2'b11 (QPLL0) に設定します。

• SDI Wrapper Support および SDI Wrapper の TXPLLCLKSEL_TX_M_1 パラ メーターは、 2'b10 (QPLL1) に設定します。

• 基準クロ ッ クの変更や中断によって QPLL0 を リセッ ト しなければならない場合は、 SDI Wrapper Support のgth_qpll0_reset_in 入力をアサート します。

• 基準クロ ッ クの変更や中断によって QPLL1 を リセッ ト しなければならない場合は、 SDI Wrapper Support のgth_qpll1_reset_in 入力をアサート します。

• SDI Wrapper Support の qpll0/1_clk、 qpll0/1_refclk、 および qpll0/1_lock 出力ポートは、 SDI Wrapper の対応するポートへ接続します。

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  15

使用モデル 4 : 1 つのクワッ ド内で複数のト ランシーバーがアクテ ィブで、 すべての RX が QPLL1 を使用し、 すべての TX が QPLL0 を使用する場合

図 5 に示すこの使用モデルでには、 クワ ッ ド内に複数のアクティブ ト ランシーバーがあ り ます。 すべてのレシーバーは、QPLL1 から クロ ッ ク供給されます。 各ト ランス ミ ッ ターは、 QPLL0 からのみクロ ッ ク供給されます。

次の接続が必要です。

• 基準クロ ッ クを gth_qpll0_refclk_p/n_in および gth_qpll1_refclk_p/n_in ポートへそれぞれ接続します。

• gth_cpll_refclk_p_in と gth_cpll_refclk_n_in ポートは 0 に接続します。

• gth_drpclk_in は、 GTH Wizard IP の生成中に指定されたクロ ッ クに接続します。 このアプリ ケーシ ョ ン ノートでは27MHz です。

• gth_wiz_reset_tx_pll_and_datapath_in 入力ポートは、 QPLL0 への基準クロ ッ ク ソースが安定している場合のみ Low になる必要があ り ます。

• gth_wiz_reset_rx_pll_and_datapath_in 入力ポートは、 QPLL1 への基準クロ ッ ク ソースが安定している場合のみ Low になる必要があ り ます。

• SDI Wrapper Support および SDI Wrapper の RXPLLCLKSEL_RX_M_0 パラ メーターは、 2'b10 (QPLL1) に設定します。

• SDI Wrapper Support および SDI Wrapper の RXPLLCLKSEL_RX_M_1 パラ メーターは、 2'b10 (QPLL1) に設定します。

• SDI Wrapper Support および SDI Wrapper の TXPLLCLKSEL_TX_M_0 パラ メーターは、 2'b11 (QPLL0) に設定します。

• SDI Wrapper Support および SDI Wrapper の TXPLLCLKSEL_TX_M_1 パラ メーターは、 2'b11 (QPLL0) に設定します。

• 基準クロ ッ クの変更や中断によって QPLL0 を リセッ ト しなければならない場合は、 SDI Wrapper Support のgth_qpll0_reset_in 入力をアサート します。

• 基準クロ ッ クの変更や中断によって QPLL1 を リセッ ト しなければならない場合は、 SDI Wrapper Support のgth_qpll1_reset_in 入力をアサート します。

• SDI Wrapper Support の qpll0/1_clk、 qpll0/1_refclk、 および qpll0/1_lock 出力ポートは、 SDI Wrapper の対応するポートへ接続します。

使用モデル 5 : クワッ ド内の 1 つのト ランシーバーがアクテ ィブで、 RX は QPLL1 を使用し、 TX は QPLL0/QPLL1 を動的に切り替える場合

図 6 に示すこの使用モデルでは、 QPLL が 1 つしか使用されないため、 TX および RX では 1 つの 12G-SDI ビッ ト レートしかサポート されません。 6G-SDI の両方のビッ ト レートおよびそれよ り低いライン レートは、TX および RX でサポートされます。TX は、QPLL1 と CPLL との間で切り替え可能ですが、RX は、 6.6Gbps のビッ ト レートで許容誤差が ±1250ppmの QPLL1 を使用します。

次の接続が必要です。

• 1 つの基準クロ ッ クを gth_qpll1_refclk_p_in および gth_qpll1_refclk_n_in ポートへ接続します。

• 1 つの基準クロ ッ クを gth_cpll_refclk_p_in および gth_cpll_refclk_n_in ポートへ接続します。

• gth_qpll0_refclk_p_in および gth_qpll0_refclk_n_in ポートは 0 に接続します。

• gth_drpclk_in は、 GTH Wizard IP の生成中に指定されたクロ ッ クに接続します。 このアプリ ケーシ ョ ン ノートでは27MHz です。

• gth_wiz_reset_tx_pll_and_datapath_in 入力ポートは、QPLL1 および CPLL への基準クロ ッ ク ソースが安定している場合のみ Low になる必要があ り ます。

• gth_wiz_reset_rx_pll_and_datapath_in 入力ポートは、 QPLL1 への基準クロ ッ ク ソースが安定している場合のみ Low になる必要があ り ます。

• SDI Wrapper Support の RXPLLCLKSEL_RX_M_0 パラ メーターは、 2'b10 (QPLL1) に設定します。

• SDI Wrapper Support の RXPLLCLKSEL_RX_M_1 パラ メーターは、 2'b10 (QPLL1) に設定します。

• SDI Wrapper Support の TXPLLCLKSEL_TX_M_0 パラ メーターは、 2'b10 (QPLL1) または 2'b00 (CPLL) に設定します。

• SDI Wrapper Support の TXPLLCLKSEL_TX_M_1 パラ メーターは、 基準クロ ッ クの接続に応じて 2'b00 (CPLL) または2'b10 (QPLL1) のいずれかに設定する必要があ り、 TXPLLCLKSEL_TX_M_0 では使用されません。

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  16

• 基準クロ ッ クの変更や中断によって QPLL1 を リセッ ト しなければならない場合は、 SDI Wrapper Support のgth_qpll1_reset_in 入力をアサート します。

SDI 電気的インターフェイス

GTX ト ランシーバーから /GTH ト ランシーバーへ送信されるシ リ アル信号を SDI の電気的規格へ変換するには、 外部にSDI ケーブル イコライザーとケーブル ド ラ イバーが必要です。

外部 SDI ケーブル イコライザーを使用し、シングルエンドの 75 SDI 信号を GTH ト ランシーバーのレシーバー入力信号要件に対応する 50 差動信号へ変換する必要があ り ます。 複数のメーカーが、 それぞれに適切な SDI ケーブル イコラ イザーを提供しています。 これらのケーブル イコライザーの差動出力は、 同相電圧の差が生じるため、 通常、 AC カップリングを用いて GTH レシーバー入力信号と接続する必要があ り ます。図 7 に、標準的な SDI ケーブル イコラ イザーと GTHレシーバーのインターフェイス例を示します。

重要 : 外部 SDI ケーブル イコラ イザーと GTH RX のシ リ アル入力間の AC カップリ ング キャパシタの電気容量値は、 SDIパソ ロジカル信号を減衰させる こ とな く渡すのに十分な大き さが必要です。 少な く と も 1.0F 以上の AC カップ リ ングキャパシタが必要で、 推奨値は 4.7F です。

GTH RX の差動入力には、 ビルト インの差動終端があ り ます。 『UltraScale アーキテクチャ GTH ト ランシーバー ユーザーガイ ド』 [参照 13] で説明している とおり、 SDI アプリ ケーシ ョ ンの GTH RX 入力の RX 終端使用モードは 3 が推奨されています。 SDI アプリ ケーシ ョ ンの場合、 GTH の内部プログラム可能な終端電圧は 800mV に設定します。

図 7 について説明します。

X-Ref Target - Figure 6

図 6 : PLL の使用モデル 5

X-Ref Target - Figure 7

図 7 : SDI ケーブル イコライザーと  GTH レシーバー入力のインターフェイス

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  17

1. SDI ケーブル イコライザーと BNC コネクタ間のネッ ト ワークに関しては、 SDI ケーブル イコライザーのメーカーが提供する資料を参照して ください。

同様に、 GTH ト ランス ミ ッ ターの差動シ リ アル出力は、 SDI ケーブル ド ラ イバーの入力へ接続し、通常は AC カップ リ ングを用いて接続します (図 8 参照)。 ケーブル ド ラ イバーは、 電気的特性が SDI 仕様を満たすよ うに、 GTH ト ランス ミ ッターからの差動信号をシングルエンド信号へ変換します。通常、 SDI ケーブル ド ラ イバーには、 スルー レート を設定するためのスルー レート制御入力があ り ます。 SD-SDI のスルー レート要件は、 HD-SDI、 3G-SDI、 6G-SDI、 および 12G-SDIのスルー レート要件とは大き く異な り ます。 SDI ケーブル ド ラ イバーのスルー レート制御入力は通常 FPGA で制御されますが、 このアプリ ケーシ ョ ン ノートでは、 12G-SDI FMC 拡張カードを使用してケーブル ド ラ イバーのスルー レート を内部制御しています。 このアプリ ケーシ ョ ン ノートで提供する制御モジュールは、その他の使用例で外部の SDI ケーブルド ライバーで使用するためのスルー レート制御入力を生成します。

重要 : GTH TX シ リ アル出力と外部 SDI ケーブル ド ラ イバーの入力間の AC カップリ ング キャパシタの電気容量値は、SDIパソ ロジカル信号を減衰させる こ とな く渡すのに十分な大き さが必要です。 少な く と も 1.0F 以上の AC カップ リ ングキャパシタが必要で、 推奨値は 4.7F です。

図 8 について説明します。

1. SDI ケーブル イコライザーと BNC コネクタ間のネッ ト ワークに関しては、 SDI ケーブル イコライザーのメーカーが提供する資料を参照して ください。

SD‐SDI の考察

SD‐SDI の受信

270Mb/s ビッ ト レートの SD-SDI は、 GTH RX でサポート されている 低ラインレート よ り も低くな り ます。 270Mb/s のSD-SDI を受信するには、 GTH RX を非同期オーバーサンプラーと して使用し、 ビッ ト ト ランザクシ ョ ンが行われる場所を問わずに 270Mb/s の 11 倍 (2.97 ギガサンプル/秒) で SD-SDI ビッ ト ス ト リームをサンプリ ングします。GTH RX のクロ ック データ リ カバリ (CDR) ユニッ トが GTH ト ランシーバーの rxcdrhold 入力ポート を High にアサート し、基準クロ ッ クにロ ッ ク します。 これによ り、CDR が低速な SD-SDI 信号にロッ クするこ とを防ぎ、 SD-SDI 信号のオーバーサンプリ ングをよ り一定して実行できます。

SD-SDI 信号を受信する際には、LPM (低電力モード ) の自動適応機能と DFE (判定帰還等化) を無効にする必要があ り ます。低速ビ ッ ト レー ト での長いラ ン レ ングスは、 イ コ ラ イザーに問題が生させます。 LPM の自動適応機能は、GTHE3_CHANNEL プリ ミ ティブの次のポート を High にアサート して無効化します。

• RXLPMGCOVRDEN

• RXLPMHFOVRDEN

• RXLPMLFKLOVRDEN

• RXLPMOSOVRDEN

• RXOSOVRDEN

DFE イコライゼーシ ョ ンは、 GTHE3_CHANNEL プリ ミ ティブの次のポート を High にアサート して無効化します。

X-Ref Target - Figure 8

図 8 : SDI ケーブル ド ライバーと  GTH ト ランスミ ッ ター出力のインターフェイス

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  18

• RXDFEAGCOVRDEN

• RXDFELFOVRDEN

• RXDFETAP2OVRDEN

• RXDFETAP3OVRDEN

• RXDFETAP4OVRDEN

• RXDFETAP5OVRDEN

• RXDFETAP6OVRDEN

• RXDFETAP7OVRDEN

• RXDFETAP8OVRDEN

• RXDFETAP9OVRDEN

• RXDFETAP10OVRDEN

• RXDFETAP11OVRDEN

• RXDFETAP12OVRDEN

• RXDFETAP13OVRDEN

• RXDFETAP14OVRDEN

• RXDFETAP15OVRDEN

• RXDFEUTOVRDEN

UltraScale FPGAs Transceiver Wizard を使用する場合、GTH Wizard IP のこれらのポートはデフォルトで無効に設定されているため、 手動で有効化する必要があ り ます。 これらのポー ト は、 ポー ト名の末尾に 「_in」 が付加された形で [StructuralOptions] タブに表示されます。 も容易な方法は、 GTH ラ ッパーの rxcdrhold_in ポート を GTH Wizard IP のこれらのポートへ接続するこ とです。 レシーバーが SD-SDI モードのと き、 rxcdrhold_in ポートは SDI 制御ロジッ クによって High に駆動されるため、 この方法で接続されている場合、 これらの 3 つのポートは High に駆動されます。

FPGA のプログラマブル ロジッ クに実装されたデータ リ カバリ ユニッ ト (DRU) は、 GTH RX でオーバーサンプルされたSD-SDI データを解析し、 各ビッ トの も確実な値を決定して回復データを出力します。 この DRU は UHD-SDI コアの一部ではなく、 このアプリ ケーシ ョ ン ノートの SDI 制御モジュールの一部と して提供されています。

このアプリ ケーシ ョ ン ノートで提供してする DRU については、 ザイ リ ンクス アプリ ケーシ ョ ン ノート 『20 ビッ ト幅のオーバーサンプル データをベース とするク ロ ッ クおよびデータ リ カバリ ユニッ ト 』 [参照 18] で説明しています。 このアプリ ケーシ ョ ン ノートでは DRU の動作理論について説明していますが、 UHD-SDI リ ファレンス デザインで DRU の使用するために不可欠というわけではあ り ません。

SMPTE ST 259 (SD-SDI 規格) では、 270Mb/s 以外のビッ ト レート も定められています。DRU は、 270Mb/s シ リ アル データの 11倍のオーバーサンプ リ ングのみをサポートするために、 SDI 制御モジュールにインスタンシエート されていますが、その他の SD-SDI ビッ ト レート をサポートする必要があるアプ リ ケーシ ョ ンでは、 DRU を使用してこれらのビッ ト レート も受信できます。この DRU は分数のオーバーサンプリ ング係数をサポート しているため、追加の RX 基準クロ ッ ク周波数を使用しな く ても 270Mb/s 以外の SD-SDI ビ ッ ト レー ト を受信できます。 SMPTE ST 344 で指定されている 540Mb/sSD-SDI ビッ ト レートは、 GTH ト ランシーバーでサポート されるライン レート範囲内であるため、 GTH RX でこれを受信する目的で DRU を使用する必要はあ り ません。 ただし、 DRU を使用せずに 540Mb/s ビッ ト レート を受信するには、 その他の SDI ビッ ト レートで使用されているものとは異なる基準クロ ッ ク周波数が必要です。 このため、 DRU を使用して 5.5倍のオーバーサンプリ ングで 540Mb/s ST344 を受信した方が、 標準の SDI 基準クロ ッ ク周波数を使用できるので、 よ り簡単な方法といえます。ザイ リ ンクスでは、 その他の SD-SDI ビッ ト レート をサポートするサンプル デザインを提供していません。

DRU はリ カバリ ク ロ ッ クを提供しません。 また、 GTH RX の CDR ユニッ トは、 その基準クロ ッ クにロ ッ ク されているため、 SD-SDI モードでは rxusrclk は入力されるビッ ト レートにロ ッ ク されません。 DRU は、 出力で 10 ビッ ト データ ワードが有効であるこ とを示すデータ ス ト ローブ信号を生成します。 UHD-SDI コアは、 このデータ ス ト ローブ信号を使用してクロ ッ ク イネーブルを生成します。 これは 27MHz レートでアサート され、 GTH からの rxusrclk ク ロ ッ クに対して通常5/6/5/6 のクロ ッ ク サイクル リ ズムでアサート されます。SD-SDI 動作中の v_smpte_uhdsdi_rxtx ラ ッパーからの rx_ce_out信号は、 DRU のデータ ス ト ローブ信号で生成されるため、同じ リ ズムとな り ます。 DRU データ ス ト ローブと rx_ce_sd 信号は、 通常の 5/6/5/6 リ ズムから外れる場合があ り ます。 これは、 実際の SD-SDI ビッ ト レート と、 GTH RX が使用する PLLへ供給されるローカル基準クロ ッ クの周波数の間に発生したずれを DRU が補正するために生じるものです。

図 9 に、 SD-SDI 動作中の 27MHz rx_ce_out ポート を示したオシロスコープのスク リーン キャプチャを示します。 画面中央の rx_ce_out の立ち上がりエッジでスコープが ト リガーされています。スコープは無制限に継続するモードであ り、数分

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  19

間分の波形を取るこ とができました。 波形は温度で色分けし、 信号の も標準的な位置を示す赤色から、 極めてまれな位置を示す青色で表示されています。 このスク リーン キャプチャの作成に用いられた SD-SDI 入力信号は、 GTH レシーバーで使用されるローカル基準クロ ッ ク と非同期です。中心パルスの左側または右側のいずれかにある rx_ce_out 信号は、中心パルスから常に 5 または 6 ク ロ ッ ク サイクルの間隔があ り ます。これは、rx_ce_out 信号のリズムが 5/6/5/6 であるためです。

ト レースの左右両端にある 2 つのパルスは、 5/6/5/6 リ ズムによって中心パルスから名目上 11 サイクル ク ロ ッ ク離れています。 この名目上の位置は、 黄色と赤色のパルスでマーク されています。 一番右のパルスには、 中心パルスの立ち上がりエッジから 11 サイ クル ク ロ ッ クの位置を縦方向の黄色い破線カーソルで示しています。 青色のパルスで両側を挟まれた黄色と赤色のパルスは、ローカルの基準クロ ッ ク と入力される SD-SDI 信号の周波数差を補うために、DRU が rx_ce_out の周期を 10 ク ロ ッ ク サイクルまたは 12 ク ロ ッ ク サイクルのいずれかにする必要があるこ とを表しています。

このアプリ ケーシ ョ ン ノートの SD-SDI DRU は、 生成済みの nidru_20_wrapper.vhd という名前のファイル内に暗号化された状態で提供されています。 DRU で使用される暗号化は、 ほとんどの合成およびシ ミ ュレーシ ョ ン ソフ ト ウェアと互換性があ り ます。

SD‐SDI の送信

SD-SDI の受信と同様に、低速な 270Mb/s SD-SDI ビッ ト レートの送信は、GTH TX で直接サポート されていません。SD-SDI信号を送信するには、 GTH TX を 2.97Gb/s ラ イン レート用にコンフ ィギュレーシ ョ ンします。 UHD-SDI コアは送信される各ビッ ト を 11 回複製するため、 UHD-SDI コアから出力され てGTH Wizard IP の gth_txn_out ポートへ入力されるデータには、各ビッ トが 11 回連続して複製されたものが含まれます。 終的に GTH TX から出力される信号は、有効な 270Mb/sSD-SDI 信号とな り ます。

X-Ref Target - Figure 9

図 9 : SD‐SDI クロック  イネーブル信号のキャプチャ  (オシロスコープ画面)

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  20

SD‐SDI リカバリ  クロックの生成

SD-SDI モードの場合、 CDR ユニッ トは SD-SDI ビッ ト ス ト リームではなく、基準クロ ッ クの周波数にロ ッ ク されるため、GTH RX の rxusrclk は実際はリ カバリ ク ロ ッ クではあ り ません。入力される SD-SDI ビッ ト ス ト リームのデータ レート を示す唯一の信号は、 SDI Wrapper の 27MHz rx_ce_out 出力です。

一部のビデオ アプリ ケーシ ョ ン、特に、SDI インターフェイス上に回復されたビデオ データを再送信する必要がないビデオ アプリ ケーシ ョ ンでは、 rx_ce_out ポートが リ カバリ ク ロ ッ ク と して十分機能します。 通常、 この信号は、 GTH RX レシーバーからの rxusrclk でク ロ ッ ク供給されているダウンス ト リーム モジュール用のク ロ ッ ク イネーブルと して使用されます。 UHD-SDI コア内の SDI データパスはこのよ うに機能しています (rx_ce_out ポート をク ロ ッ ク イネーブルと して使用)。

GTH TX を使用し、 受信したビデオ データを SD-SDI 信号と して再送信する場合は、 低ジッターのリ カバリ ク ロ ッ クが必要です。 リ カバリ ク ロ ッ クは、 GTH TX 向けにシ リ アル ク ロ ッ クを生成する PLL の基準クロ ッ ク と して使用できるよ うに、十分に低ジッターの必要があ り ます。 さ らに、 GTH TX ト ランス ミ ッ ターが 11 倍のオーバーサンプリ ングを実行して270Mb/s SD-SDI データを送信できるよ うに、 リ カバリ ク ロ ッ クの周波数は 148.5MHz となるこ とが求められます。 これには、 外部に配置される低帯域幅の PLL を使用する必要があ り ます。 UltraScale の MMCM ( ミ ッ クス ド モード ク ロ ッ ク マネージャー ) の帯域幅は広すぎるため、 SDI レシーバーから rx_ce_out ポートに現れる大量の低周波ジッターを適切に除去できません。 このジッ ター除去には、 Texas Instruments 社製 LMH1983 および Silicon Labs 社製 Si5328 が効果的です。 これらのデバイスは、 rx_ce_out ポート を 27MHz の基準クロ ッ ク と して使用し、 それを 大 148.5MHz のいずれかまで逓倍できる と同時にジッ ターも除去できます。 終的にジッターが除去されたクロ ッ クは、 GTH TX の基準クロ ッ ク と しての使用に 適です。 このアプリ ケーシ ョ ン ノートで提供するパススルー デモでは、 SD-SDI モードで、 このよ うにして Si5328を使用して 27MHz rx_ce_out ポー ト から GTH TX 用の 148.5MHz 基準ク ロ ッ ク を生成しています。 HD-SDI、 3G-SDI、6G-SDI、 または 12G-SDI のいずれかを再送信する場合は、 同じ Si5328 を再プログラムして GTH RX の rxusrclk 出力からジッ ターを排除し、 HD-SDI の場合はその周波数を 2 逓倍して GTH TX 用に低ジッ ターの 148.5MHz 基準クロ ッ クを生成します。

も う 1 つの方法は、 外部ゲンロ ッ ク PLL を使用し、 回復されたビデオ データからのビデオ同期信号にロ ッ ク させる方法です。 ゲンロ ッ ク PLL の出力が SD-SDI リ カバリ ク ロ ッ ク とな り ます。

リ カバリ ク ロ ッ クは、 外部のビデオ ASSP (Application-Specific Standard Product) デバイスの駆動に必要な場合があ り ます。SD-SDI モードの場合、 このよ う なク ロ ッ クには 27MHz 周波数が必要で、 rx_ce_out ポートに現れる信号よ り も低いジッターが求められますが、 GTH TX の基準クロ ッ クを生成する場合と同様に極端に低いジッ ターは必要あ り ません。 前述のテクニッ クを使用するこ と も可能ですが、外部コンポーネン ト を使用せずに FPGA 全体にリ カバリ ク ロ ッ クを生成する方が理想的です。 rx_ce_out ポートはジッターが大きすぎるため、 UltraScale MMCM の基準クロ ッ ク入力と して直接使用できませんが、 図 10 に示すよ うに、 未使用 GTH TX ト ランス ミ ッ ターを用いて SD-SDI リ カバリ ク ロ ッ クを生成する方法があ り ます。

GTH Wizard IP の未使用 GTH TX の gtwiz_userdata_tx_in ポートへ制御モジュールの recclk_txdata ポート を接続します。GTHTX は、 SDI 入力信号を受信している GTH RX と同じ基準クロ ッ クを使用する必要があ り ます。 rxusrclk は、 GT Wizard IPの gtrefclk0_in へ配線可能で、 txpllclksel_in は CPLL を使用するよ うに設定する必要があ り ます。 GTH TX は、 エンコードなしで 20 ビッ トの gtwiz_userdata_tx_in ポート を使用する 2.97Gb/s ライン レート用にコンフ ィギュレーシ ョ ンします。

このよ うにコンフ ィギュレーシ ョ ンした場合、 GTH TX のシ リ アル出力は、 入力される SD-SDI 信号へ周波数がロ ッ ク された 270MHz ク ロ ッ ク とな り ます。 つま り、 これは SD-SDI の真のリ カバリ ク ロ ッ ク とな り ます。 GTH TX のシ リアル出力ピンは、CML 出力を正し く終端して LVDS へ転換するこ とで、UltraScale FPGA のグローバルまたはリージ ョナル ク ロ ック LVDS 入力へ接続できます。 さ らに、 FPGA 内で必要と される場合に 270MHz ク ロ ッ クを使用できます。 たとえば、 このクロ ッ クを 10 で分周し、 内部/外部ビデオ データパスを駆動する 27MHz リ カバリ ク ロ ッ クを得るこ とが可能です。 この信号は、 十分ジッターが低いため、 MMCM の基準クロ ッ ク と して使用できます。

DRU の recclk_txdata ポートは、 SDI 制御モジュールから SDI Wrapper の出力ポートへ接続されていません。 ただし、 この機能が必要な場合は、 この出力ポート を追加するために SDI Wrapper を変更できます。

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  21

SD-SDI リ カバリ ク ロ ッ クの生成に使用される GTH TX は、SDI 用にコンフ ィギュレーシ ョ ンする必要はあ り ませんが、エンコードなしで常に 2.97Gb/s で動作するこ とが求められます。 制御モジュールの recclk_txdata ポートから GTH Wizard IPの gtwiz_userdata_tx_in ポートへ送信されたデータ信号が、 GTH TX シ リ アル出力ピンに対して 270MHz ク ロ ッ クを生成します。生成されたクロ ッ クのエッジは、2.97Gb/s ラ イン レートの ± 1 ビッ ト時間で移動し、出力信号の周波数が入力 SD-SDI信号のビッ ト レート と正確に一致するよ うに変更されます。 このため、 GTH TX で生成された 270MHz ク ロ ッ クのサイクル間ジッターは、+/-337ps (2.97Gb/s の 1 ビッ ト時間は 337ps) と GTH TX 出力信号に本来生じるジッ ターを合計した値となり ます。 これを図 11 に示します。一番上のト レースは、 GTH TX で生成された 270MHz ク ロ ッ クです。画面中央のリ カバリ ク ロ ッ クの立ち上がりエッジでスコープが ト リガーされています。ト リガー ポイン トの左右いずれかのサイクルの立ち上がりエッジを観察する と、 これらの立ち上がりエッジはそれぞれが明確に区別された立ち上がりポイン ト を示しているため、 ±337ps のサイクル間ジッターを容易に確認できます。 図 11 の下にある ト レースは、 も う 1 つの GTH TX で再送信されている SD-SDI です。

X-Ref Target - Figure 10

図 10 : GTH TX を使用して SD‐SDI リカバリ  クロックを生成

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  22

RX SDI モードの自動検出

UHD-SDI コアは、 GTH RX で受信する SDI 信号の SDI モード (SD、 HD、 3G、 6G、 または 12G-SDI) を自動で判断できます。 現時点で SDI 入力信号にロッ ク されていないと判断された場合、 UHD-SDI コアは GTH の rxdata 出力ポートに適切なSDI データを確実に検出するまで 5 つの異なる SDI モードで GTH RX を順に制御します。 これが検出される と、 UHD-SDIコアは rx_mode_locked_out ポート をアサート し、 GTH CDR が SDI 信号へロッ ク したこ とを示します。 sdi_mode_out ポートには RX がロ ッ ク した SDI モードが示されます。

こ こで注意すべき点は、 rx_mode_locked 信号は GTH RX が SDI 信号にロッ ク しているこ とを UHD-SDI コアが認識しているか否かを示すにすぎません。 単に、 UHD-SDI コアのモード検索ステート マシンが依然と して正しい SDI モードを検索し続けているか否かを示すものです。 このため、 rx_mode_locked を UHD-SDI RX のロ ッ ク ステータスを示すインジケーターと見なすこ とはできません。

GTH RX が入力 SDI 信号にロッ ク しておらず、正しい SDI モードを決定するために UHD-SDI コアがアクティブに GTH RXを制御している場合、 rx_mode_locked 信号が一時的にアサート される可能性があ り ます。 これは、 入力されるデータが有効な SAV シーケンス と して ラ ンダムに現れる場合に生じ ます。 SAV シーケンスが検出される と、 UHD-SDI コアはrx_mode_locked をアサート し、 検索を一時停止して適切なデータが受信されるのを待ちます。 しかし、 特定のタイムアウト期間内に適切なデータが受信されない場合は、 rx_mode_locked 信号がネゲート され、 SDI モード検索が再開します。

SDI モード検索アルゴ リズムは、 UHD-SDI ラ ッパーの rx_mode_en_in ポートで有効化された SDI モードにロ ッ ク しよ う とするだけです。この 6 ビッ ト ポートには、HD-SDI (bit 0)、SD-SDI (bit 1)、3G-SDI (bit 2)、6G-SDI (bit 3)、12G-SDI (11.88Gb/s(bit 4) の場合)、および 12G-SDI (11.88/1.001Gb/s (bit 5) の場合) を有効化するためのビッ トがあ り ます。 GTH RX は、 2 つの12G-SDI ラ イン レー ト に異なる基準ク ロ ッ ク周波数で設定する必要があるため、 モード検索アルゴ リ ズムは 2 つの12G-SDI ライン レート を異なる SDI モード と見なします。 また、 rx_mode_en_in ポートには個別のイネーブル ビッ トがあるため、 2 つの 12G-SDI ラ イン レー トの一方のみをモード検索に含むよ うに指定するこ とできます。 この方法は、 GTH

X-Ref Target - Figure 11

図 11 : GTH ト ランシーバーを使用して SD‐SDI リカバリ  クロックを生成

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  23

RX が 2 つの 12G-SDI ライン レート をスキャンするたびに QPLL の基準クロ ッ ク周波数が頻変更されるこ とが好まし くないアプリ ケーシ ョ ンで有効です。

rx_mode_en_in ポートは動的に変更可能です。 ただし、 rx_mode_en_in ポートのビッ ト を動的にク リ アするこ とで無効化されるモードに UHD-SDI RX がすでにロ ッ ク している場合、UHD-SDI RX はそのモードへのロ ッ クが自動的に外されるわけではあ り ません。UHD-SDI RX は、入力 SDI 信号が変更または UHD-SDI RX がリセッ ト されるまで SDI モードにロ ッ ク されたままにな り、 SDI モード検索アルゴ リズムに対して rx_mode_en_in ポートの新しい設定を使用して SDI モードを特定するよ うに強制します。

UHD-SDI コアの自動 SDI モード検索アルゴ リズムは無効にできます。 このアルゴ リ ズムは、 rx_mode_detect_en_in ポートが High の場合のみ有効になり ます。 このポートが Low の場合、 rx_forced_mode_in ポート を使用して UHD-SDI RX に対してどの SDI モードで動作するかを指示する必要があ り ます。 rx_mode_detect_en_in が Low に遷移し、 SDI モード検索アルゴ リズムが無効の場合、 SDI RX は rx_forced_mode_in ポートで指定されたモードにな り、 rx_mode_locked 出力は常に Highになり ます。 したがって、 rx_mode_locked はロッ ク インジケーターまたはこのモードのデータ有効インジケーターと して使用できません。 モード検索アルゴ リズムが無効の場合、 rx_forced_mode_in を動的に変更する と、 SDI 制御ロジッ クが新しい SDI モードの必要に応じて GTH RX の設定を動的に変更します。

RX のビッ ト  レート検出

UHD-SDI コアは、 GTH RX で受信する SDI 信号の SDI モード (SD-SDI、 HD-SDI、 3G-SDI、 6G-SDI、 および 12G-SDI) を自動で判断できます。現時点で SDI 入力信号にロッ ク されていないと判断された場合、UHD-SDI コアは GTH Wizard IP のgtwiz_userdata_rx_out 出力ポートに適切な SDI データを確実に検出するまで 5 つの異なる SDI モードで GTH RX を順に制御します。 これが検出される と、 UHD-SDI コアは rx_mode_locked 出力をアサート し、 SDI 信号へロッ ク したこ とを示します。 rx_mode 出力ポートには RX がロ ッ ク した SDI モードが示されます。 HD-SDI、 3G-SDI、および 6G-SDI モードの場合、GTX RX は整数フレーム レート または分数フレーム レートのいずれの SDI 信号を受信しているかを示しません。 つま り、HD-SDI モードの 1.485Gb/s と 1.485/1.001Gb/s の違いを示すこ とができません。

しかし、 UHD-SDI コアが HD-SDI、 3G-SDI、 6G-SDI、 および 12G-SDI モードの場合、 入力 SDI 信号のビ ッ ト レー ト がbitrate/1 または bitrate/1.001 (例: 6G-SDI の場合、5.94Gb/s または 5.94/1.001Gb/s) のいずれであるかを判断する方法があ り ません。 そこで、 このアプ リ ケーシ ョ ン ノー ト で提供する制御モジュールには、 1.485Gb/s と 1.485/1.001Gb/s、 2.97Gb/s と2.97/1.001Gb/s、 5.94Gb/s と 5.94/1.001Gb/s、 11.88Gb/s と 11.88/1.001Gb/s を区別できるビッ ト レート検出器が含まれています。入力 SDI 信号のビッ ト レートが bitrate/1 の場合、 SDI Wrapper の出力ポート rx_m_out は Low になり ます。一方、入力SDI 信号のビッ ト レートが bitrate/1.001 の場合、 SDI ラ ッパー出力ポート rx_m_out が Low になり ます。

ビッ ト レート検出回路を駆動するには、SDI Wrapper の rx_fxdclk_in 入力ポートに固定周波数クロ ッ クを供給する必要があり ます。 このクロ ッ クの周波数は 10MHz 以上にするこ とを推奨します。 150Mhz 以上になる と、 ビッ ト レート検出ロジック内でタイ ミ ングを満たすこ とが難し くなる可能性があ り ます。 SDI Wrapper には、 FXDCLK_FREQ というパラ メーターがあ り、 これは rx_fxdclk_in ポートへ接続されるクロ ッ ク周波数の指定に使用されます。 FXDCLK_FREQ の値は、 固定周波数クロ ッ クの周波数と同じに設定する必要があ り ます (Hz)。

UltraScale に SDI インターフェイスを実装

UltraScale FPGA デザインに SDI インターフェイスを実装するには、 次の手順を実行します。

1. Vivado IP カタログにある UltraScale FPGAs Transceiver Wizard を使用して GTH Wizard IP を生成します。

2. Vivado IP カタログから SMPTE UHD-SDI IP LogiCORE を生成します。

3. kugth_uhdsdi_wrapper_support、 v_smpte_uhdsdi_wrapper、 およびこれらに関連するファイルをインスタンシエート します。

4. SDI インターフェイスに適切なタイ ミ ング制約を適用します。

GTH Wizard IP の生成

UltraScale FPGAs Transceiver Wizard を使用して、 GTH Wizard IP を生成します。

ウ ィザードで生成された GTH Wizard IP はラ ッパー レベルの階層構造とな り、 オプシ ョ ンで GTH TX/RX ク ロ ッキング、GTH リセッ ト 、およびデータ幅変更用の GTH COMMON インスタンスやヘルパー ロジッ クが含まれます。UHD-SDI アプリ ケーシ ョ ンの場合、GTH Wizard IP にはすべてのヘルパー ロジッ クが含まれるこ とが推奨されます。GTH COMMON は、SDI Wrapper Support モジュールにすでにインスタンシエート されているため、 GTH Wizard IP に含める必要はあ り ません。

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  24

GTH Wizard IP の各インスタンスは、 特定の GTHE3_CHANNEL ロケーシ ョ ンに LOC 制約されるため、 デザイン内の SDIチャネル数に応じて複数の GTH Wizard IP を生成する必要があ り ます。 また、 SDI Wrapper Support モジュールも必要に応じてインスタンシエートする必要があ り、SDI インターフェイスを実装している ト ランシーバーを含む各 GTH クワ ッ ドにSDI Wrapper Support モジュールを 1 つインスタンシエートする必要があ り ます。CPLL のみ使用して GTH ト ランシーバーへクロ ッ ク供給する場合は、 SDI Wrapper Support モジュールをインスタンシエートする必要はあ り ません。 ただし CPLLへ差動基準クロ ッ クを供給するために、 IBUFDS_G TE3 プリ ミ ティブのインスタンスをインスタンシエートする必要があり ます。このアプリ ケーシ ョ ン ノートで提供する SDI デモ アプリ ケーシ ョ ンでは、SDI Wrapper モジュールに複数の GTHWizard IP をインスタンシエートする方法の例を示します。

次のセクシ ョ ンでは、 Vivado IP カタログのウ ィザードを使用して GTH ラ ッパーを生成する手順について詳し く説明します。

Vivado プロジェ ク ト で IP カタ ログを開きます。 UltraScale FPGAs Transceiver Wizard は、 Vivado IP カタ ログの 上位の[FPGA Features and Design] フォルダー内の [IO Interfaces] フォルダーに含まれています。IP カタログ内のウ ィザードをダブルク リ ッ ク して Wizard を起動します。

ウ ィザードのバージ ョ ン 1.5 には、 6G-SDI および 12G-SDI 用のプロ ト コル テンプレートは含まれていません。 HD-SDI と3G-SDI のプリセッ トで提供されますが、3G-SDI のプリセッ ト を基準と して使用します。こ こでは、6G-SDI および 12G-SDIインターフェイスの実装に必要なすべての設定とポート を含む GTH ラ ッパーの生成方法について説明します。 将来的にSDI テンプレートが GTH ラ ッパーに追加される予定です。

[Basic] タブが開く と同時にウ ィザードが起動します (図 12 参照)。 タブの上部には [Component Name] という フ ィールドがあ り ます。 こ こで入力した名前は、 GTH ラ ッパー ファ イル名、 および GTH コンポーネン ト名と して使用されます。 この例では、 コンポーネン ト名は v_smpte_uhdsdi_gtwiz_x0y16 です ( 「_x0y16」 は GTHE3_CHANNEL の位置を示す)。

X-Ref Target - Figure 12

図 12 : UltraScale FPGAs Transceiver Wizard ‐ [Basic] タブ

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  25

[Transceiver configuration preset] のプルダウン メニュー リ ス トから [GTH:3G-SDI] プリセッ ト を選択します。これで、3G-SDI動作用のすべての設定が完了です。 このプ リセッ ト を基準と して使用し、 6G-SDI および 12G-SDI アプ リ ケーシ ョ ン向けにウ ィザードの設定を変更します。

Transmitter および Receiver セクシ ョ ンの [Line rate (Gb/s)] は、6G-SDI アプリ ケーシ ョ ンには 5.94、12G-SDI アプリ ケーシ ョンには 11.88 に設定できます。 この例では、 Transmitter の [PLL type] で QPLL0 をデフォルトのクロ ッ ク ソース と して使用し、 Receiver では QPLL1 を使用しています。 ただし、 SDI コン ト ローラー モジュールが TX および RX の SDI 動作モードに応じて 2 つのクロ ッ ク ソースを動的に切り替えます。 ト ランシーバーが 6G-SDI までの 2 つのビッ ト レート、 12G-SDIの 1 つのビッ ト レートのみサポート している場合には、 TX または RX のいずれかのクロ ッ ク ソース と して CPLL を使用するこ と も可能です。

TX および RX の [Reference clock (MHz)] 周波数を任意の値に設定します (通常 148.5MHz)。 [Encoding] は Raw に設定してください。

[User data width] および [Internal data width] ド ロ ップ ダウン メニューは、 使用する SDI インターリーブ パターンに基づいて設定されます。 通常、 6G-SDI および 12G-SDI アプリ ケーシ ョ ンの場合は 40 に設定されます。 GTH データ幅は、 SDI コン ト ローラーが TX および RX の SDI 動作モードに基づいて 20 または 40 と して動的に変更します。 GTH が SD-SDI、HD-SDI、 および 3G-SDI のみサポート している場合は、 [User data width] および [Internal data width] も 20 に設定できます。

[Buffer] は必ず Enable にし て、 [TXOUTCLK source] と [RXOUTCLK source] にはそれぞれ TXOUTCLKPMA およびRXOUTCLKPMA を選択してください。

Receiver セクシ ョ ンの [Advanced] メニューでは、[Programmable termination voltage (mV)] が 800、[Equalization mode] が LPMになっているこ とを確認して ください。

タブを切り替える場合は、[Component Name] の下部にあるタブをク リ ッ ク します。すべてのタブで設定を完了するまでは、[OK] をク リ ッ ク しないでください。 [OK] をク リ ッ クする と ウ ィザードが終了します。

X-Ref Target - Figure 13

図 13 : UltraScale FPGAs Transceiver Wizard ‐ [Physical Resources] タブ

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  26

図 13 に示す [Physical Resources] タブに進みます。[Free-running and DRP clock frequency (MHz)] を設定します。この例では、27 に設定されています。

アクティブにするターゲッ ト GTHE3_CHANNEL を選択し、 各 GTH Wizard IP インスタンスに 1 つの CHANNEL のみが有効になっている こ と を確認して ください。 この例では、 RX ユニッ ト は、 MGTREFCLK を基準ク ロ ッ ク と して使用するQPLL1 を使用しています。TX ユニッ トは、MGTREFCLK1 を基準クロ ッ ク と して使用する QPLL0 を使用しています。ウ ィザードは、 TX ユニッ トによる QPLL0 と QPLL1 間の動的な切り替えを直接的に制御しません。 この動的切り替えは、 SDI制御モジュールで制御されます。 ただし、 TX ユニッ トが QPLL0 と QPLL1 間を動的に切り替えるために、 すべての PLLをアクティブにし、 適切な接続を行った GTH ラ ッパーが構築されます。

図 14 の [Optional Features] タブは変更する必要があ り ません。 [Reset receiver elastic buffer on rate change] および [ResetTransmitter buffer on rate change] が Enable に設定されているこ とだけを確認してください。

図 15 に示す [Structural Options] タブに進みます。

[Simplify transceiver usage by organizing resources and helper blocks] セクシ ョ ンで、 [Include transceiver COMMON] を ExampleDesign に設定し、 その他のオプシ ョ ンを Core に設定して ください。

[Expose additional ports by functionality, for advanced feature usage] セクシ ョ ンの [All Ports] を展開表示します。[Inputs] の次のポート を有効にして ください。

• drpaddr_in

• drpclk_in

• drpdi_in

• drpen_in

• drpwe_in

X-Ref Target - Figure 14

図 14 : UltraScale FPGAs Transceiver Wizard ‐ [Optional Features] タブ

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  27

• gtrefclk0_in

• rxcdrhold_in

• rxdfeagcovrden_in

• rxdfelfovrden_in

• rxdfetap2ovrden_in

• rxdfetap3ovrden_in

• rxdfetap4ovrden_in

• rxdfetap5ovrden_in

• rxdfetap6ovrden_in

• rxdfetap7ovrden_in

• rxdfetap8ovrden_in

• rxdfetap9ovrden_in

• rxdfetap10ovrden_in

• rxdfetap11ovrden_in

• rxdfetap12ovrden_in

• rxdfetap13ovrden_in

• rxdfetap14ovrden_in

• rxdfetap15ovrden_in

• rxdfeutovrden_in

• rxlpmgcovrden_in

• rxlpmhfovrden_in

• rxlpmlfklovrden_in

• rxlpmosovrden_in

• rxosovrden_in

• rxpllclksel_in

• txpllclksel_in

[Outputs] の次のポート を有効にしてください。

• cplllock_out

• drpdo_out

• drprdy_out

loopback_in, rxelecidlemode_in, txelecidlemode_in, txpostcursor_in and txprecursor_in などを含む一部のポートは、デバッグ用に有効できます。

loopback_in ポート を使用する と、 GTH TX で送信されたデータを同じ ト ランシーバー内にある GTH RX へループ バッ クする、 さまざまなループバッ ク モードを動的に選択できます。ループバッ ク モードはデバッグには有用ですが、プロダクシ ョ ン アプリ ケーシ ョでは一般に使用されません。

rxelecidlemode_in および txelecidlemode_in ポート を使用する と、消費電力を削減するために TX および RX を動的にアイ ドル状態にできます。

外部の SDI ケーブル ド ラ イバーに対して TX からの信号の整合性を向上させるために xpostcursor_in および txprecursor_inポートが必要な場合は、 これらのポート をオンにできます。

[OK] をク リ ッ ク して、 次に [Generate] をク リ ッ クする と、 GTH ラ ッパーが生成されます。

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  28

SMPTE UHD‐SDI IP コアの生成

Vivado IP カタ ログを使用して、 SMPTE UHD-SDI コアを生成します。 SMPTE UHD-SDI コアは、 IP カタ ログの [Video &Image Processing] フォルダーにあ り ます。

UHD-SDI コアは、 ソース コードで提供され、 あらかじめコンパイルされたコアではあ り ません。 UHD-SDI コアが生成される と、 UHD-SDI コアのソース コード ファ イル (Verilog) を含むフォルダーが作成されます。

UHD-SDI コアの生成時に利用できるオプシ ョ ンは、RX 部分に EDH (エラー検出と処理) プロセッサ、およびコアがサポートする 大ライン レート を含めるか否かです。

[Maximum Line Rate] の選択は、 IP で有効化される 大 SDI データ ス ト リーム (DS) に影響します。 [3G-SDI] を選択する と4 つの DS が、 [6G-SDI] および [12G-SDI 8DS] を選択する と 8 つの DS が有効になり、 [12G-SDI 16DS] を選択する と 16 のDS が有効になり ます。

X-Ref Target - Figure 15

図 15 : UltraScale FPGAs Transceiver Wizard ‐ [Structural Options] タブ

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  29

UHD‐SDI ラッパーのインスタンシエート  

こ のアプ リ ケーシ ョ ン ノー ト には、 SDI Wrapper Support (kugth_uhdsdi_wrapper_support.v) および SDI Wrapper(kugth_uhdsdi_wrapper.v) という、 2 つの主要 UHD-SDI ラ ッパーがあ り ます (図 5 参照)。 QPLL0 および QPLL1 のいずれかまたは両方を使用する場合、 SDI Wrapper Support が必要で、 各クワッ ドに 1 つインスタンシエート します。

SDI Wrapper Support と SDI Wrapper は、 ユーザー デザインでインスタンシエート し、 相互接続する必要があ り ます。 こ こで提供する SDI ラ ッパーを使用せずに SDI インターフェ イ スを実装する こ と も可能ですが、 このラ ッパーは SMPTEUHD-SDI コア、制御モジュール、および GT Wizard IP の 1 つのチャネルを相互接続するため、 これを使用した方が簡単になり ます。 ラ ッパーを使用しない場合は、 ユーザーがこれらの接続をすべて行わなければなり ません。 別の選択肢と してkugth_uhdsdi_<line rate>_norxedh_wrapper.v という SDI ラ ッパー ファ イルがあ り ます。 これは、 RX EDH プロセッサを含まない UHD-SDI コアを生成する場合に使用する必要があ り ます。

リ ファレンス デザインには 24 個のラ ッパー ファ イルがあ り、 それらのインスタンシエーシ ョ ンや使用法は UHD-SDI コアのコンフ ィギュレーシ ョ ンに完全に依存します。 リ ファレンス デザインでは、 太字表記したものを使用しています。

SDI 4-Channel Wrapper (各クワッ ド )

• kugth_uhdsdi_12g_16s_4ch_wrapper.v

• kugth_uhdsdi_12g_16s_norxedh_4ch_wrapper.v

• kugth_uhdsdi_12g_8s_4ch_wrapper.v

X-Ref Target - Figure 16

図 16 : SMPTE UHD‐SDI IP 

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  30

• kugth_uhdsdi_12g_8s_norxedh_4ch_wrapper.v

• kugth_uhdsdi_6g_4ch_wrapper.v

• kugth_uhdsdi_6g_norxedh_4ch_wrapper.v

• kugth_uhdsdi_3g_4ch_wrapper.v

• kugth_uhdsdi_3g_norxedh_4ch_wrapper.v

SDI Wrapper Support

• kugth_uhdsdi_12g_16s_wrapper_support.v

• kugth_uhdsdi_12g_16s_norxedh_wrapper_support.v

• kugth_uhdsdi_12g_8s_wrapper_support.v

• kugth_uhdsdi_12g_8s_norxedh_wrapper_support.v

• kugth_uhdsdi_6g_wrapper_support.v

• kugth_uhdsdi_6g_norxedh_wrapper_support.v

• kugth_uhdsdi_3g_wrapper_support.v

• kugth_uhdsdi_3g_norxedh_wrapper_support.v

SDI Wrapper

• ugth_uhdsdi_12g_16s_wrapper.v

• kugth_uhdsdi_12g_16s_norxedh_wrapper.v

• kugth_uhdsdi_12g_8s_wrapper.v

• kugth_uhdsdi_12g_8s_norxedh_wrapper.v

• kugth_uhdsdi_6g_wrapper.v

• kugth_uhdsdi_6g_norxedh_wrapper.v

• kugth_uhdsdi_3g_wrapper.v

• kugth_uhdsdi_3g_norxedh_wrapper.v

UHD-SDI コアのほかに、 SDI Wrapper は次のファイルをインスタンシエート します。

• kugth_uhdsdi_control.v

• kugth_uhdsdi_drp_control.v

• kugth_uhdsdi_drp_control_fsm.v

• kugth_uhdsdi_rx_control.v

• kugth_uhdsdi_tx_control.v

• sync_block.v

• uhdsdi_rate_detect.v

• bs_flex_v_1.vhd

• nidru_20_v_6.vhd

• nidru_20_wrapper.vhd

重要 :

1. SDI Wrapper には、 SMPTE UHD-SDI コアのインスタンスが 1 つ含まれます。 生成時に UHD-SDI コアに与えられた名前が、 SDI Wrapper にコアがインスタンシエート されている場所で使用されるよ うに、 SDI Wrapper を変更する必要があ ります。 これは、 SMPTE UHD-SDI コアを生成する際にコンポーネン ト名 v_smpte_uhdsdi_rxtx を使用するこ とで解決できます。

2. SDI チャネルが複数あるデザインの場合、SDI Wrapper には GTH Wizard IP の複数のインスタンスが含まれる可能性があ り ます。 SDI Wrapper の XY_SITE ジェネ リ ッ クを使用するこ とで、 特定の GTH Wizard IP がターゲッ ト とな り、 Verilogの Generate 文で使用されます。 各チャネル インスタンスを処理するには、 SDI Wrapper を変更する必要があ り ます。

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  31

表 2 に、 SDI Wrapper のすべてのポートについて説明しています。 このポート リ ス トは UHD-SDI コアのポート リ ス ト と類似していますが、 いくつか相違点があ り ます。 また、 GTH と SDI Wrapper の接続方法の例についても、 このアプ リ ケーシ ョ ン ノートで提供する SDI アプリ ケーシ ョ ン例を参照してください。

一部の信号は、 ビデオ サンプル周期の数サイ クル間アサート されるものと して説明されています。 ビデオ サンプル周期は、 SDI モードに応じて適切なク ロ ッ ク (txusrclk または rxusrclk) の異なるサイ クル数が適用されます。 HD-SDI および3G-SDI level A モードの場合、 サンプル周期は 1 ク ロ ッ ク サイクル続きます。 SD-SDI モードの場合、 サンプル周期は 5 または 6 ク ロ ッ ク サイクル続き、 ク ロ ッ ク イネーブル (tx_sd_ce_in または rx_ce_out) がアサート されるクロ ッ クの立ち上がりエッジで開始および終了します。 3G-SDI level B モードの場合、 rx_ce_out ポートのアサートで制御され、 サンプル周期は 2 ク ロ ッ ク サイクル間となり ます。

このリ ス トにあるほとんどの RX および TX ポートは、 SDI Wrapper にインスタンシエート された UHD-SDI コアの同じ名前に 「_in」 または 「_out」 が追加されたポートへ直接接続されます。 ビデオ信号およびビデオ タイ ミ ング信号のタイ ミ ング図は、 『SMPTE SD/HD/3G-SDI 製品ガイ ド』 (PG205) [参照 17] を参照してください。

表 2 : SDI Wrapper のポート  リス ト

ポート名 I/O 幅 説明

受信ポート

rx_fxdclk_in 入力 1 SDI RX ビッ ト レート検出機能用の固定周波数クロ ッ クです。

rx_rst_in 入力 1 同期リセッ ト入力です。 このリセッ トは gth_drpclk_in ポートに同期します。

rx_usrclk_out 出力 1 GTH rxusrclk ク ロ ッ ク出力です。UHD-SDI コアの rx_clk ポートへ送信される信号でもあ り ます。

rx_mode_detect_rst_in 入力 1 SDI モード検索機能のみ リ セ ッ ト する同期 リ セ ッ ト です。rx_usrclk_out の立ち上がりエッジで rx_mode_detect_rst_in が High に遷移する と、 SDI モード検出機能のみリセッ ト されます。

rx_mode_en_in 入力 6 このポートには、 5 つの SDI モードの受信をそれぞれ有効にするためのビッ トがあ り ます。

ビッ ト 0 は HD-SDI モードを有効にする

ビッ ト 1 は SD-SDI モードを有効にする

ビッ ト 2 は 3G-SDI モードを有効にする

ビッ ト 3 は 6G-SDI モードを有効にする

ビッ ト 4 は 12G-SDI 11.88Gb/s モードを有効にする

ビッ ト 5 は 12G-SDI 11.88/1.001Gb/s モードを有効にする

ビッ トが High に遷移する と、 対応する SDI モードが有効になり ます。 ビッ トが Low のと き、 レシーバーはそのモードの入力 SDI 信号を検出しません。 これらのビッ トで未使用 SDI モードを無効にするこ とで、 モード変更時にレシーバーが入力信号へロッ クするまでの時間を短縮できます。

rx_mode_detect_en_in 入力 1 High の場合、SDI モード検出機能を有効にします。有効の場合、SDIモード検出機能は入力される SDI データ ス ト リームを検索してこれにロ ッ クする よ う レシーバーを制御します。 無効の場合、 ユーザー アプリ ケーシ ョ ンは、rx_forced_mode_in ポート を使用する際のSDI モードを SDI レシーバーへ伝える必要があ り ます。

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  32

rx_forced_mode_in 入力 3 rx_mode_detect_en_in 入力が Low の場合は、 自動の SDI モード検出機能が無効になり、レシーバーは rx_forced_mode_in ポートの値で指定された SDI モードで動作するよ うにな り ます。

000 = HD

001 = SD

010 = 3G

100 = 6G

101 = 12G 11.88Gb/s

110 = 12G 11.88/1.001Gb/s

rx_mode_out 出力 3 レシーバーの現在の SDI モードを示します。

000 = HD

001 = SD

010 = 3G

100 = 6G

101 = 12G 11.88Gb/s

110 = 12G 11.88/1.001Gb/s

レシーバーがロ ッ ク されていない場合、 正しい SDI モードを検索し、 このポートの値が変更されます。 その際、 x_mode_locked 出力は Low になり ます。 レシーバーが正しい SDI モードを検出する と、rx_mode_locked 出力が High になり ます。

rx_mode_hd_out 出力 1 HD-SDI モードで RX がロ ッ ク される と High になり ます。

rx_mode_sd_out 出力 1 SD-SDI モードで RX がロッ ク される と High になり ます。

rx_mode_3g_out 出力 1 3G-SDI モードで RX がロ ッ ク される と High になり ます。

rx_mode_6g_out 出力 1 6G-SDI モードで RX がロ ッ ク される と High になり ます。

rx_mode_12g_out 出力 1 12G-SDI モード (いずれかのビッ ト レート ) で RX がロッ ク される とHigh になり ます。

rx_mode_locked_out 出力 1 Low の場合、 レシーバーは入力データ ス ト リーム と一致する SDIモードを積極的に検索します。 この間、 rx_mode_out ポートの値は頻繁に変化します。 レシーバーが正しい SDI モードにロ ッ クすると、 このポートの出力が High になり ます。

SDI モード検出機能が無効 (rx_mode_detect_en_in = Low) の場合は常に High になり ます。この場合、SDI レシーバーが入力される SDI 信号にロ ッ ク しているか否かを判断する信頼性の高いインジケーターと しては使用できません。

表 2 : SDI Wrapper のポート  リス ト  (続き)

ポート名 I/O 幅 説明

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  33

rx_bit_rate_out 出力 1 v_smpte_uhdsdi_rate_detect.v モジュールのビッ ト レー ト出力です。このポートに出力される信号は、UHD-SDI コアの rx_bit_rate ポートへ送信されます。

HD-SDI モードの場合

rx_m_out = 0 : ビッ ト レート = 1.485Gb/s

rx_m_out = 1 : ビッ ト レート = 1.485/1.001Gb/s

3G-SDI モードの場合 :

rx_m_out = 0 : ビッ ト レート = 2.97Gb/s

rx_m_out = 1 : ビッ ト レート = 2.97/1.001Gb/s

6G-SDI モードの場合 :

rx_m_out = 0 : ビッ ト レート = 5.94Gb/s

rx_m_out = 1 : ビッ ト レート = 5.94/1.001Gb/s

12G-SDI モードの場合 :

rx_m_out = 0 : ビッ ト レート = 11.88Gb/s

rx_m_out = 1 : ビッ ト レート = 11.88/1.001Gb/s

rx_t_locked_out 出力 1 レシーバーの伝送検出機能が SDI 信号の伝送フォーマッ ト を識別する と High になり ます。

rx_t_family_out 出力 4 SDI インターフェイスで転送と して使用されているビデオ信号のファ ミ リ を示す出力です。 この出力は、 rx_t_locked が High の場合のみ有効です。 このポートは、転送されている画像のビデオ フォーマッ ト を必ずしも認識する とは限らず、転送の特性のみを認識します。このポートのエンコードについては、表 3 を参照してください。

rx_t_rate_out 出力 4 転送のフレーム レー ト を示す出力です。 これは、 実際の画像のフレーム レー ト と同じにな る と は限 り ません。 この出力は、rx_t_locked が High の場合のみ有効です。 このポートのエンコードについては、 表 4 を参照してください。

rx_t_scan_out 出力 1 転送がインターレース (Low) またはプログレ ッシブ (High) のいずれかを示す出力です。 これは、実際の画像のスキャン モード と同じになる とは限り ません。 この出力は、 rx_t_locked が High の場合のみ有効です。

rx_level_b_3g_out 出力 1 3G-SDI モードでは、入力信号が level B の場合は High にアサート され、 level A の場合は Low にアサート されます。 rx_mode_3g が Highの場合のみ有効です。

表 2 : SDI Wrapper のポート  リス ト  (続き)

ポート名 I/O 幅 説明

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  34

rx_ce_out 出力 NUM_RX_CE RX ク ロ ッ ク イネーブル出力であ り、このクロ ッ ク イネーブルの幅は NUM_RX_CE で決定されます。これらのクロ ッ ク イネーブルは、すべての SDI モードで有効です。 SD モードの場合、 CE は標準的な5/6/5/6 の リ ズムでアサート されます。 HD および 3GA モードの場合、 CE は常に High になり ます。 3GB モードの場合、 CE には 50%のデューティ サイ クルがあ り ます。 6G モードの場合のデューティサイ クルは、その信号にインターリーブするデータ ス ト リーム数によって、 100% または 50% とな り ます。 12G モードの場合のデューティ サイ クルは、 その信号にインターリーブするデータ ス ト リーム数によって、 50% または 25% とな り ます。 このポートは、 従来コアの rx_ce_sd および rx_dout_rdy_3g に取って代わるもので、 これらの機能を兼ね備えて、 すべての SDI モードに対応します。

rx_active_streams_out 出力 3 現在受信しているビデオ フォーマッ ト に対してアクテ ィブなデータ ス ト リーム数を示します。 received.アクテ ィブ データ ス ト リームの数は、 2^active_streams です。000 : 1 アクティブ ス ト リーム001 : 2 アクティブ ス ト リーム010 : 4 アクティブ ス ト リーム011 : 8 アクティブ ス ト リーム100 : 16 アクティブ ス ト リーム

rx_line_0_out 出力 11 データ ス ト リーム 1 からキャプチャしたライン数が出力されます。SD-SDI モードでは無効です。

rx_line_1_out 出力 11 データ ス ト リーム 3 からキャプチャしたライン数が出力されます。4 個以上のデータ ス ト リームがアクティブの場合のみ有効です。

rx_line_2_out 出力 11 データ ス ト リーム 5 からキャプチャしたライン数が出力されます。8 個以上のデータ ス ト リームがアクティブの場合のみ有効です。

rx_line_3_out 出力 11 データ ス ト リーム 7 からキャプチャしたライン数が出力されます。8 個以上のデータ ス ト リームがアクティブの場合のみ有効です。

rx_line_4_out 出力 11 データ ス ト リーム 9 からキャプチャしたライン数が出力されます。16 個のデータ ス ト リームがアクティブの場合のみ有効です。

rx_line_5_out 出力 11 データ ス ト リーム 11 からキャプチャ したラ イン数が出力されます。 16 個のデータ ス ト リームがアクティブの場合のみ有効です。

rx_line_6_out 出力 11 データ ス ト リーム 13 からキャプチャ したラ イン数が出力されます。 16 個のデータ ス ト リームがアクティブの場合のみ有効です。

rx_line_7_out 出力 11 データ ス ト リーム 15 からキャプチャ したラ イン数が出力されます。 16 個のデータ ス ト リームがアクティブの場合のみ有効です。

rx_st352_0_out 出力 32 データ ス ト リーム 1 からキャプチャされた ST 352 ペイロード ID のパケッ ト データ バイ トが出力されます。

rx_st352_0_valid_out 出力 1 rx_st352_0 が有効の場合に High になり ます。

rx_st352_1_out 出力 32 データ ス ト リーム 3 からキャプチャされた ST 352 ペイロード ID のパケッ ト データ バイ トが出力されます。 3G-SDI level A モードの場合は、 データ ス ト リーム 2 からキャプチャされた ST 352 ペイロード ID のパケッ ト データ バイ トが出力されます。

rx_st352_1_valid_out 出力 1 rx_st352_1 が有効の場合に High になり ます。

rx_st352_2_out 出力 32 データ ス ト リーム 5 からキャプチャされた ST 352 ペイロード ID のパケッ ト データ バイ トが出力されます。

rx_st352_2_valid_out 出力 1 rx_st352_2 が有効の場合に High になり ます。

rx_st352_3_out 出力 32 データ ス ト リーム 7 からキャプチャされた ST 352 ペイロード ID のパケッ ト データ バイ トが出力されます。

表 2 : SDI Wrapper のポート  リス ト  (続き)

ポート名 I/O 幅 説明

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  35

rx_st352_3_valid_out 出力 1 rx_st352_3 が有効の場合に High になり ます。

rx_st352_4_out 出力 32 データ ス ト リーム 9 からキャプチャされた ST 352 ペイロード ID のパケッ ト データ バイ トが出力されます。

rx_st352_4_valid_out 出力 1 rx_st352_4 が有効の場合に High になり ます。

rx_st352_5_out 出力 32 データ ス ト リーム 11 からキャプチャされた ST 352 ペイロード IDのパケッ ト データ バイ トが出力されます。

rx_st352_5_valid_out 出力 1 rx_st352_5 が有効の場合に High になり ます。

rx_st352_6_out 出力 32 データ ス ト リーム 13 からキャプチャされた ST 352 ペイロード IDのパケッ ト データ バイ トが出力されます。

rx_st352_6_valid_out 出力 1 rx_st352_6 が有効の場合に High になり ます。

rx_st352_7_out 出力 32 データ ス ト リーム 15 からキャプチャされた ST 352 ペイロード IDのパケッ ト データ バイ トが出力されます。

rx_st352_7_valid_out 出力 1 rx_st352_7 が有効の場合に High になり ます。

rx_crc_err_out 出力 16 これらの 16 ビッ トは、各データ ス ト リーム出力の CRC エラーを示します。ビッ ト 0 がデータ ス ト リーム 1 の CRC エラーを示し、ビット 1 がデータ ス ト リーム 2 の CRC エラーを示します (その他も同様の規則)。 特定ラインで CRC エラーが検出される と、 エラーを含むラインを終了する EAV の後に 後の CRC ワードがそのデータ ス トリーム ポートに出力されてから数クロ ッ ク サイ クル後にそのデータ ス ト リームに対応する CRC エラー ビッ トがアサート されます。CRC エラー ビッ トは、 1 ラ イン タイム間アサート された状態となり ます。 これらのビッ トは SD-SDI モードでは無効です。

rx_ds1_out 出力 10 データ ス ト リーム 1 の出力です。 SD モードの場合、 インターリーブされた Y/C データ ス ト リームとな り ます。 HD および 3GA モードの場合は、 Y チャネルとなり ます。 3GB モードの場合は、 リ ンクA の Y チャネルとな り ます。 6G および 12G モードの場合は、 データ ス ト リーム 1 とな り ます。 従来コアの rx_ds1a 出力ポート と同じです。

rx_ds2_out 出力 10 データ ス ト リーム 2 の出力です。 SD モードでは使用されません。HD および 3GA モードの場合は、 C ャネルとな り ます。 3GB モードの場合は、 リ ンク A の C チャネルとな り ます。 6G および 12G モードの場合は、 データ ス ト リーム 2 とな り ます。 従来コアの rx_ds2a出力ポート と同じです。

rx_ds3_out 出力 10 データ ス ト リーム 3 の出力です。 SD、 HD、 および 3GA モードでは使用されません。 3GB モードの場合は、 リ ンク B の Y チャネルとなり ます。 6G および 12G モードの場合は、 データ ス ト リーム 3とな り ます。 従来コアの rx_ds1b 出力ポート と同じです。

rx_ds4_out 出力 10 データ ス ト リーム 4 の出力です。 SD、 HD、 および 3G level A モードでは使用されません。 3G level B モードの場合は、 リ ンク B の Cチャネルとなり ます。 6G および 12G モードの場合は、 データ ス トリーム 4 とな り ます。

rx_ds5_out 出力 10 データ ス ト リーム 5 の出力です。 6G および 12G モードでのみ使用されます。

rx_ds6_out 出力 10 データ ス ト リーム 6 の出力です。 6G および 12G モードでのみ使用されます。

rx_ds7_out 出力 10 データ ス ト リーム 7 の出力です。 6G および 12G モードでのみ使用されます。

表 2 : SDI Wrapper のポート  リス ト  (続き)

ポート名 I/O 幅 説明

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  36

rx_ds8_out 出力 10 データ ス ト リーム 8 の出力です。 6G および 12G モードでのみ使用されます。

rx_ds9_out 出力 10 データ ス ト リーム 9 の出力です。 16 個のデータ ス ト リームがアクティブな場合に 12G モードでのみ使用されます。

rx_ds10_out 出力 10 データ ス ト リーム 10 の出力です。16 個のデータ ス ト リームがアクティブな場合に 12G モードでのみ使用されます。

rx_ds11_out 出力 10 データ ス ト リーム 11 の出力です。16 個のデータ ス ト リームがアクティブな場合に 12G モードでのみ使用されます。

rx_ds12_out 出力 10 データ ス ト リーム 12 の出力です。16 個のデータ ス ト リームがアクティブな場合に 12G モードでのみ使用されます。

rx_ds13_out 出力 10 データ ス ト リーム 13 の出力です。16 個のデータ ス ト リームがアクティブな場合に 12G モードでのみ使用されます。

rx_ds14_out 出力 10 データ ス ト リーム 14 の出力です。16 個のデータ ス ト リームがアクティブな場合に 12G モードでのみ使用されます。

rx_ds15_out 出力 10 データ ス ト リーム 15 の出力です。16 個のデータ ス ト リームがアクティブな場合に 12G モードでのみ使用されます。

rx_ds16_out 出力 10 データ ス ト リーム 16 の出力です。16 個のデータ ス ト リームがアクティブな場合に 12G モードでのみ使用されます。

rx_eav_out 出力 1 データ ス ト リーム出力ポー ト に EAV の XYZ ワードが現れる と、High にアサート されます。

rx_sav_out 出力 1 データ ス ト リーム出力ポー ト に SAV の XYZ ワードが現れる と、High にアサート されます。

rx_trs_out 出力 1 データ ス ト リーム出力ポートに EAV または SAV の 4 つの連続するワードが現れる と、 High にアサート されます。

rx_edh_errcnt_en_in 入力 16 rx_edh_errcnt カウンターをインク リ メ ン トする EDH エラー条件を制御します。 詳細は、 表 5 を参照してください。 (1)

rx_edh_clr_errcnt_in 入力 1 High の場合、 rx_edh_errcnt カウンターをク リ アします。エラー カウンターをク リ アするために、 rx_ce_sd も High のと きのク ロ ッ ク サイクルでこの入力ポート を High にする必要があ り ます。 (1)

rx_edh_ap_out 出力 1 前のフィールド用に計算されたアクティブ画像 CRC が EDH パケッ トの AP CRC 値と一致していない場合に High にアサート されます。 (1)

rx_edh_ff_out 出力 1 前のフィールド用に計算されたフル フ ィールド CRC が EDH パケットの FF CRC 値と一致していない場合に High にアサート されます。(1)

rx_edh_anc_out 出力 1 補助データ パケッ ト チェッ クサム エラーが検出される と High にアサート されます。 (1)

rx_edh_ap_flags_out 出力 5 このポー ト には、 も間近に受信した EDH パケッ ト からのアクティブ画像エラー フラグ ビッ トが出力されます。 このポートのエンコードについては、 表 4 を参照してください。 詳細は、 表 6 を参照してください。 (1)

rx_edh_ff_flags_out 出力 5 このポートには、 も間近に受信した EDH パケッ トからのフル フレーム エラー フラグ ビ ッ ト が出力されます。 このポー ト のエンコードについては、 表 4 を参照してください。 詳細は、 表 6 を参照してください。 (1)

rx_edh_anc_flags_out 出力 5 このポートには、 も間近に受信した EDH パケッ ト からの補助エラー フラグ ビッ トが出力されます。このポートのエンコードについては、表 4 を参照してください。詳細は、表 6 を参照してください。(1)

表 2 : SDI Wrapper のポート  リス ト  (続き)

ポート名 I/O 幅 説明

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  37

rx_edh_packet_flags_out 出力 4 このポートには、 も間近に受信した EDH パケッ トに関連する 4 つのエラー フラグが出力されます。 このポートのエンコードについては、表 5 を参照してください。詳細は、表 7 を参照してください。(1)

rx_edh_errcnt_out 出力 16 SD-SDI EDH エラー カ ウ ン ターです。 あ る フ ィ ール ド の間rx_edh_err_en ポートによって有効になったエラー条件が生じる と、そのフ ィールドを 1 回インク リ メン ト します。 (1)

rx_change_done_out 出力 1 GTH RX が初期化されている間、リセッ ト されている間、または SDIモードが動的に変更されている間、 この出力は Low になり ます。初期化、 リセッ ト 、 動的変更シーケンスが問題なく完了する と、 Highにアサート されます。 この出力は gth_drpclk_in に同期します。

rx_change_fail_out 出力 1 通常モードでは、この出力は常に Low です。制御モジュールが GTHRX の初期化シーケンス、 リセッ ト シーケンス、 または SDI モードの動的変更シーケンスを正常に終了できなかった場合にのみ Highになり ます。このよ うなエラーが発生する と、rx_change_fail_out portポー ト が High にアサー ト され、 rx_change_fail_code_out ポー ト によってこのエラーの種類が示されます。 エラーが生じた場合には、rx_rst_in および gth_wiz_reset_rx_pll_and_datapath_in を使用し てGTH RX を リセッ トする必要があ り ます。この出力は gth_drpclk に同期します。

rx_change_fail_code_out 出力 3 rx_change_fail ポートが High になる と、rx_change_fail_code ポートはシーケンス エラーの種類を示します。このポートのエンコードについては、表 8 を参照してください。 この出力は gth_drpclk_in に同期します。

送信ポート

tx_rst_in 入力 1 非同期のリセッ ト入力です。High に遷移する と、 ト ランス ミ ッ ターが リセッ ト されます。 ト ランス ミ ッ ター全体を リセッ トするには、tx_rst_in がアサー ト されている と きに、 tx_ce_in, tx_sd_ce_in, andtx_edh_ce_i 入力が High の必要があ り ます。

tx_usrclk_out 出力 1 GTH txusrclk ク ロ ッ ク出力です。UHD-SDI コアの tx_clk ポートへ送信される信号でもあ り ます。

tx_ce_in 入力 1 ト ランス ミ ッ ター データ パスの主な部分のクロ ッ ク イネーブル入力です。 従来コアの tx_din_rdy ポート とほぼ類似しています。 SD、HD、 および 3GA モードでは High の必要があ り ます。 3GB モードの場合、 50% のデューテ ィ サイ クルがあ り ます。 6G および 12Gモードでは、 4 つのス ト リームがインターリーブされている場合には 100% のデューテ ィ サイ クルがあ り、 8 つのス ト リームがインターリーブされている場合は 50% のデューティサイ クル、 16 すべてのデータ ス ト リームがインターリーブされている場合は 25% のデューティ サイクルがあ り ます。

tx_sd_ce_in 入力 1 SD-SDI モード用のクロ ッ ク イネーブル信号です。SD-SDI モードの場合は、 5/6/5/6 のリズムでアサート され、 その他すべてのモードでは High の必要があ り ます。

tx_edh_ce_in 入力 1 TX EDH プロセッサ用のクロ ッ ク イネーブル信号です。SD-SDI モードの場合、 5/6/5/6 の リ ズムで tx_sd_ce と同じになる必要があ り ます。 tx_sd_ce_in と位相が揃う必要があ り ます。 その他のモードの場合、 この CE 信号を Low 駆動して、 EDH プロセッサで消費される電力を抑えるこ とができます。

表 2 : SDI Wrapper のポート  リス ト  (続き)

ポート名 I/O 幅 説明

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  38

tx_mode_in 入力 3 ト ランス ミ ッ ターの SDI モードを選択します。000 = HD001 = SD010 = 3G100 = 6G101 = 12G

その他の値は予約されています。

tx_m_in 入力 1 使用する基準クロ ッ クを選択します。 通常、 0 = 148.35MHz refclk、1 = 148.5MHz refclk です。 ただし、 この区別は、 PLL の周波数や、表 2 の TXPLLCLKSEL_TX_M_0 および TXPLLCLKSEL_TX_M_1 パラ メーターの値によって制御されます。

tx_insert_crc_in 入力 1 この入力が High の場合、 SD-SDI 以外のすべてのモードで ト ランスミ ッ ターが各ビデオ ラ インに CRC 値を生成して挿入します。 Lowの場合は、 CRC 値の挿入は行われません。 SD-SDI モードの場合、この入力は無視されます。

tx_insert_ln_in 入力 1 この入力が High の場合、 ト ランス ミ ッ ターが各ビデオ ラ インのEAV の後にライン番号を挿入します。 すべてのアクティブ データス ト リーム ペアの tx_line_chX_in 入力ポートにライン番号を供給する必要があ り ます。 Low の場合は、 ライン番号の挿入は行われません。 SD-SDI モードの場合、 この入力は無視されます。

tx_insert_st352_in 入力 1 この入力が High の場合、 ST 352 パケッ トがデータ ス ト リーム内に挿入されます。 Low の場合は、 パケ ッ ト は挿入されません。 3G、6G、 および 12G モードでは、 ST 352 パケッ ト が必ず必要ですが、HD および SD モードではオプシ ョ ンです。

tx_overwrite_st352_in 入力 1 この入力が High の場合、データ ス ト リーム内にすでに存在する ST352 パケッ ト が上書き されます。 Low の場合は、 既存の ST 352 パケッ トは上書きされません。

tx_insert_edh_in 入力 1 この入力が High の場合、 SD-SDI モードで ト ランス ミ ッ ターがすべてのフ ィールドに EDH パケッ ト を挿入します。 Low の場合は EDHパケッ トは挿入されません。 SD-SDI モード以外のすべてのモードの場合、 この入力は無視されます。

tx_mux_pattern_in 入力 3 使用するデータ ス ト リーム インター リーブ パターンを指定します。 000 = SD、 HD、 および 3G level A001 = 3G level B010 = 8 ス ト リーム インターリーブ (6G および 12G モードの場合)011 = 4 ス ト リーム インターリーブ (6G モードの場合)100 = 16 ス ト リーム インターリーブ (12G モードの場合)

tx_insert_sync_bit_in 入力 1 6G および 12G モードの場合、 このポートが High に遷移する と、 ランレングス短縮のために同期ビッ ト挿入機能が有効になり ます。ST2081 および ST 2082 規格へ準拠するには、同期ビッ トの挿入を有効にする必要があ り ます。 ただし、 早期に実装した 6G-SDI および12G-SDI レシーバーは同期ビッ ト挿入機能をサポート していないことがあるため、 このよ うなデバイスへ信号を送信する際はこのポート を Low に設定して同期ビッ トの挿入を無効にできます。

tx_line_0_in 入力 11 データ ス ト リーム 1 および 2 用の現在のライン番号です。

tx_line_1_in 入力 11 データ ス ト リーム 3 および 4 用の現在のライン番号です。

tx_line_2_in 入力 11 データ ス ト リーム 5 および 6 用の現在のライン番号です。

tx_line_3_in 入力 11 データ ス ト リーム 7 および 8 用の現在のライン番号です。

tx_line_4_in 入力 11 データ ス ト リーム 9 および 10 用の現在のライン番号です。

表 2 : SDI Wrapper のポート  リス ト  (続き)

ポート名 I/O 幅 説明

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  39

tx_line_5_in 入力 11 データ ス ト リーム 11 および 12 用の現在のライン番号です。

tx_line_6_in 入力 11 データ ス ト リーム 13 および 14 用の現在のライン番号です。

tx_line_7_in 入力 11 データ ス ト リーム 15 および 16 用の現在のライン番号です。

tx_st352_line_f1_in 入力 11 ST 352 パケッ トは、この入力ポートで指定したライン番号の HANCスペースに挿入されます。 インターレースされたビデオの場合、 この入力ポート はフ ィールド 1 のラ イン番号を指定します。 プログレッシブ ビデオの場合、この入力はパケッ トが挿入されるフレーム内のラインのみ指定します。 HANC インターバル中、 この入力値は常に有効の必要があ り ます。 tx_insert_st352 が Low の場合、 この入力は無視されます。

tx_st352_line_f2_in 入力 11 インターレースされたビデオの場合、 この値が示すフ ィールド 2 のライン番号に ST 352 パケッ トが挿入されます。 プログレッシブ ビデオの場合、 tx_st352_f2_en ポート を Low に駆動してこの入力ポート を無効にする必要があ り ます。 HANC インターバル中、 この入力値は常に有効の必要があ り ます。 tx_insert_st352 またはtx_st352_f2_en のいずれかが Low の場合、この入力は無視されます。

tx_st352_f2_en_in 入力 1 この入力は、 tx_vpid_line_f2 で指定したラインへの ST 352 パケッ トの挿入を制御します。 インターレースされたビデオの場合で、 ST352 パケッ トの挿入が有効の場合には、この入力が High に遷移する必要があ り ます。 プログレッシブ ビデオの場合で、 ST 352 パケットの挿入が有効の場合には、この入力が Low に遷移する必要があ ります。 ST 352 パケッ トの挿入が無効 (tx_insert_st352 = Low) の場合、このポートは無視されます。

tx_st352_data_0_in 入力 32 tx_insert_st352 が High にアサート される と、 4 つのデータ バイ トのST352 パケッ トがデータ ス ト リーム 1 に挿入されます。 データ バイ トの順序は、 {byte4、 byte3、 byte2、 byte1} とな り ます。

tx_st352_data_1_in 入力 32 tx_insert_st352 が High にアサート される と、 4 つのデータ バイ トのST352 パケッ トがデータ ス ト リーム 3 に挿入されます。3GA モードの場合、 このポートはデータ ス ト リーム 2 の ST352 パケッ トへ挿入されるデータ バイ ト を指定します。

tx_st352_data_2_in 入力 32 tx_insert_st352 が High にアサート される と、 4 つのデータ バイ トのST352 パケッ トがデータ ス ト リーム 5 に挿入されます。

tx_st352_data_3_in 入力 32 tx_insert_st352 が High にアサート される と、 4 つのデータ バイ トのST352 パケッ トがデータ ス ト リーム 7 に挿入されます。

tx_st352_data_4_in 入力 32 tx_insert_st352 が High にアサート される と、 4 つのデータ バイ トのST352 パケッ トがデータ ス ト リーム 9 に挿入されます。

tx_st352_data_5_in 入力 32 tx_insert_st352 が High にアサート される と、 4 つのデータ バイ トのST352 パケッ トがデータ ス ト リーム 11 に挿入されます。

tx_st352_data_6_in 入力 32 tx_insert_st352 が High にアサート される と、 4 つのデータ バイ トのST352 パケッ トがデータ ス ト リーム 13 に挿入されます。

tx_st352_data_7_in 入力 32 tx_insert_st352 が High にアサート される と、 4 つのデータ バイ トのST352 パケッ トがデータ ス ト リーム 15 に挿入されます。

tx_ds1_in 入力 10 データ ス ト リ ーム 1 の入力 : SD=Y/C、 HD=Y、 3GA=DS1(Y)、3GB=AY、 6G/12G=DS1

tx_ds2_in 入力 10 データ ス ト リ ーム 2 の入力 : HD=C、 3GA=DS2(C)、 3GB=AC、6G/12G=DS2

tx_ds3_in 入力 10 データ ス ト リーム 3 の入力 : 3GB=BY、 6G/12G=DS3

tx_ds4_in 入力 10 データ ス ト リーム 4 の入力 : 3GB=BC、 6G/12G=DS4

tx_ds5_in 入力 10 データ ス ト リーム 5 の入力 : 6G/12G=DS5

表 2 : SDI Wrapper のポート  リス ト  (続き)

ポート名 I/O 幅 説明

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  40

tx_ds6_in 入力 10 データ ス ト リーム 6 の入力 : 6G/12G=DS6

tx_ds7_in 入力 10 データ ス ト リーム 7 の入力 : 6G/12G=DS7

tx_ds8_in 入力 10 データ ス ト リーム 8 の入力 : 6G/12G=DS8

tx_ds9_in 入力 10 データ ス ト リーム 9 の入力 : 12G=DS9

tx_ds10_in 入力 10 データ ス ト リーム 10 の入力 : 12G=DS10

tx_ds11_in 入力 10 データ ス ト リーム 11 の入力 : 12G=DS11

tx_ds12_in 入力 10 データ ス ト リーム 12 の入力 : 12G=DS12

tx_ds13_in 入力 10 データ ス ト リーム 13 の入力 : 12G=DS13

tx_ds14_in 入力 10 データ ス ト リーム 14 の入力 : 12G=DS14

tx_ds15_in 入力 10 データ ス ト リーム 15 の入力 : 12G=DS15

tx_ds16_in 入力 10 データ ス ト リーム 16 の入力 : 12G=DS16

tx_ds1_st352_out 出力 10 ST 352 パケッ ト挿入モジュールから取得するデータ ス ト リーム 1(DS1) 出力のデータ ス ト リームです。このデータ ス ト リームが出力される と、 この時点でアプリ ケーシ ョ ンがほかの ANC データを挿入できます。

tx_ds2_st352_out 出力 10 ANC 挿入用の DS2 出力のデータ ス ト リームです。

tx_ds3_st352_out 出力 10 ANC 挿入用の DS3 出力のデータ ス ト リームです。

tx_ds4_st352_out 出力 10 ANC 挿入用の DS4 出力のデータ ス ト リームです。

tx_ds5_st352_out 出力 10 ANC 挿入用の DS5 出力のデータ ス ト リームです。

tx_ds6_st352_out 出力 10 ANC 挿入用の DS6 出力のデータ ス ト リームです。

tx_ds7_st352_out 出力 10 ANC 挿入用の DS7 出力のデータ ス ト リームです。

tx_ds8_st352_out 出力 10 ANC 挿入用の DS8 出力のデータ ス ト リームです。

tx_ds9_st352_out 出力 10 ANC 挿入用の DS9 出力のデータ ス ト リームです。

tx_ds10_st352_out 出力 10 ANC 挿入用の DS10 出力のデータ ス ト リームです。

tx_ds11_st352_out 出力 10 ANC 挿入用の DS11 出力のデータ ス ト リームです。

tx_ds12_st352_out 出力 10 ANC 挿入用の DS12 出力のデータ ス ト リームです。

tx_ds13_st352_out 出力 10 ANC 挿入用の DS13 出力のデータ ス ト リームです。

tx_ds14_st352_out 出力 10 ANC 挿入用の DS14 出力のデータ ス ト リームです。

tx_ds15_st352_out 出力 10 ANC 挿入用の DS15 出力のデータ ス ト リームです。

tx_ds16_st352_out 出力 10 ANC 挿入用の DS16 出力のデータ ス ト リームです。

tx_ds1_anc_in 入力 10 アプリ ケーシ ョ ンの ANC インサーターからのデータ ス ト リーム 1(DS1) 入力です。 このポートは、 tx_use_anc_in ポートが High の場合のみ使用されます。

tx_ds2_anc_in 入力 10 アプリ ケーシ ョ ンの ANC インサーターからのデータ ス ト リーム 2(DS2) 入力です。 このポートは、 tx_use_anc_in ポートが High の場合のみ使用されます。

tx_ds3_anc_in 入力 10 アプリ ケーシ ョ ンの ANC インサーターからのデータ ス ト リーム 3(DS3) 入力です。 このポートは、 tx_use_anc_in ポートが High の場合のみ使用されます。

tx_ds4_anc_in 入力 10 アプリ ケーシ ョ ンの ANC インサーターからのデータ ス ト リーム 4(DS4) の入力です。 このポートは、 tx_use_anc_in ポートが High の場合のみ使用されます。

表 2 : SDI Wrapper のポート  リス ト  (続き)

ポート名 I/O 幅 説明

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  41

tx_ds5_anc_in 入力 10 アプリ ケーシ ョ ンの ANC インサーターからのデータ ス ト リーム 5(DS5) 入力です。 このポートは、 tx_use_anc_in ポートが High の場合のみ使用されます。

tx_ds6_anc_in 入力 10 アプリ ケーシ ョ ンの ANC インサーターからのデータ ス ト リーム 6(DS6) 入力です。 このポートは、 tx_use_anc_in ポートが High の場合のみ使用されます。

tx_ds7_anc_in 入力 10 アプリ ケーシ ョ ンの ANC インサーターからのデータ ス ト リーム 7(DS7) 入力です。 このポートは、 tx_use_anc_in ポートが High の場合のみ使用されます。

tx_ds8_anc_in 入力 10 アプリ ケーシ ョ ンの ANC インサーターからのデータ ス ト リーム 8(DS8) 入力です。 このポートは、 tx_use_anc_in ポートが High の場合のみ使用されます。

tx_ds9_anc_in 入力 10 アプリ ケーシ ョ ンの ANC インサーターからのデータ ス ト リーム 9(DS9) 入力です。 このポートは、 tx_use_anc_in ポートが High の場合のみ使用されます。

tx_ds10_anc_in 入力 10 アプリ ケーシ ョ ンの ANC インサーターからのデータ ス ト リーム 10(DS10) 入力です。 このポートは、 tx_use_anc_in ポートが High の場合のみ使用されます。

tx_ds11_anc_in 入力 10 アプリ ケーシ ョ ンの ANC インサーターからのデータ ス ト リーム 11(DS11) 入力です。 このポートは、 tx_use_anc_in ポートが High の場合のみ使用されます。

tx_ds12_anc_in 入力 10 アプリ ケーシ ョ ンの ANC インサーターからのデータ ス ト リーム 12(DS12) 入力です。 このポートは、 tx_use_anc_in ポートが High の場合のみ使用されます。

tx_ds13_anc_in 入力 10 アプリ ケーシ ョ ンの ANC インサーターからのデータ ス ト リーム 13(DS13) 入力です。 このポートは、 tx_use_anc_in ポートが High の場合のみ使用されます。

tx_ds14_anc_in 入力 10 アプリ ケーシ ョ ンの ANC インサーターからのデータ ス ト リーム 14(DS14) 入力です。 このポートは、 tx_use_anc_in ポートが High の場合のみ使用されます。

tx_ds15_anc_in 入力 10 アプリ ケーシ ョ ンの ANC インサーターからのデータ ス ト リーム 15(DS15) 入力です。 このポートは、 tx_use_anc_in ポートが High の場合のみ使用されます。

tx_ds16_anc_in 入力 10 アプリ ケーシ ョ ンの ANC インサーターからのデータ ス ト リーム 16(DS16) 入力です。 このポートは、 tx_use_anc_in ポートが High の場合のみ使用されます。

tx_use_anc_in 入力 1 Low の場合、 ST352 パケッ ト挿入機能から送信されるデータ ス トリームが TX 出力チャネルへ内部送信されます。 High の場合、 TX出力チャネルが tx_ds[16:1]_anc_in ポートからデータ ス ト リームを受信します。

tx_ce_align_err_out 出力 1 この出力は、 SD-SDI モードの場合に tx_sd_ce 入力の 5/6/5/6 ク ロ ック サイクル リ ズムに問題があるこ とを示します。 SD-SDI モードでは、 tx_sd_ce 信号は一定の 5/6/5/6 ク ロ ッ ク サイクル リ ズムに従う必要があ り ます。 この リズムから外れる と、 SD-SDI シ リ アル ス トリームが正し く生成されない可能性があ り ます。 この リズムが正しくない場合は、 tx_ce_align_err 出力が High に遷移します。 このポートは、 SD-SDI モード、 かつ tx_sd_bitrep_bypass が Low になっている場合にのみ有効です。

tx_slew_out 出力 1 外部 SDI ケーブル イコラ イザーのスルー レート信号を制御するための信号です。 TX のモードが SD-SDI の場合に High とな り ます。その他のモードでは Low になり ます。

表 2 : SDI Wrapper のポート  リス ト  (続き)

ポート名 I/O 幅 説明

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  42

tx_change_done_out 出力 1 GTH TX が初期化されている間またはリセッ ト されている間、 あるいは GTH DRP レジスタや txsysclksel ポートが動的に変更されている間、 この出力は Low になり ます。シーケンスが問題なく完了すると、 tx_change_done_out 出力が High にアサート されます。この出力は gth_drpclk_in に同期します。

tx_change_fail_out 出力 1 通常モードでは、この出力は常に Low です。制御モジュールが GTHTX の初期化シーケンス、 リ セッ ト シーケンス、 または GTH DRPや txsysclksel ポー ト の動的な変更シーケンスを正常に終了できなかった場合にのみ High にな り ます。 このよ う なエラーが発生すると、 tx_change_fail_out ポー ト が High にアサー ト され、tx_change_fail_code ポー ト によってこのエラーの種類が示されます。tx_change_fail_out が High に遷移して、 エラーが生じた場合には、tx_rst_in および gth_wiz_reset_tx_pll_and_datapath_in を使用して ト ランス ミ ッ ター全体を リセッ トする必要があ り ます。この出力は gth_drpclk_in に同期します。

tx_change_fail_code_out 出力 3 tx_change_fail ポートが High になる と、tx_change_fail_code ポートはエラーの種類を示します。このポートのエンコードについては、表 9を参照してください。この出力は gth_drpclk_in に同期します。

DRP コン ト ローラーのポート

drp_fail_out 出力 1 通常モードでは、この出力は常に Low です。制御モジュールが GTHDRP ト ランザクシ ョ ンを正常に終了できなかった場合にのみ Highになり ます。 このよ うなエラーが発生する と、 drp_fail_out ポートがHigh にアサート されて、thedrp_fail_cnt_out ポートがインク リ メン トします。エ ラーが生じ て drp_fail_out が High に遷移し た場合は、gth_wiz_reset_all_in を使用して GTH 全体を リ セッ トする必要があり ます。この出力は gth_drpclk_in に同期します。

drp_fail_cnt_out 出力 8 このポートは、 エラーが生じた DRP ト ランザクシ ョ ン数を示します。

SDI Wrapper Support の GTH ポート

gth_wiz_reset_all_in 入力 1 PLL (位相ロ ッ ク ループ) およびト ランシーバー プ リ ミ テ ィブのアクティブなデータ方向を リセッ トするユーザー信号です。 primitives.このアクティブ High の非同期信号を gth_drpclk_in の少なく と も 1 周期間パルスする と、 プロセスが初期化されます。

gth_wiz_reset_tx_pll_and_datapath_in

入力 1 ト ラ ンシーバー プ リ ミ テ ィ ブの送信データ方向および関連するPLL を リセッ トするユーザー信号です。 このアクティブ High の非同期信号を gth_drpclk_in の少なく と も 1 周期間パルスする と、プロセスが初期化されます。

gth_wiz_reset_rx_pll_and_datapath_in

入力 1 ト ラ ンシーバー プ リ ミ テ ィ ブの受信データ方向および関連するPLL を リセッ トするユーザー信号です。 ト ランシーバー プリ ミ ティブ。 このアクティブ High の非同期信号を gth_drpclk_in の少なく と も 1 周期間パルスする と、プロセスが初期化されます。

gth_wiz_txresetdone_out 出力 1 ト ランシーバー プリ ミ ティブの ト ランス ミ ッ ター リセッ ト シーケンスが正し く完了したこ とを示すアクティブ High 信号です。この出力は tx_usrclk_out に同期します。

gth_wiz_rxresetdone_out 出力 1 ト ランシーバー プリ ミ ティブのレシーバー リセッ ト シーケンスが完了したこ とを示すアクティブ High の信号です。この出力は rx_usrclk_out に同期します。

表 2 : SDI Wrapper のポート  リス ト  (続き)

ポート名 I/O 幅 説明

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  43

gth_drpclk_in 入力 1 GTH への DRP ク ロ ッ クです。 このポートは通常、 rx_fxdclk_in と同じ ク ロ ッ クで駆動されます。

gth_qpll0_refclk_p_in 入力 1 このポートは、 MGTREFCLK0P または MGTREFCLK1P FPGA のいずれかの FPGA 入力ポートへ接続する必要があ り、 IBUFDS_GTE3プリ ミ ティブの I ピンを駆動します。

gth_qpll0_refclk_n_in 入力 1 このポートは、MGTREFCLK0N または MGTREFCLK1N のいずれかの FPGA 入力ポートへ接続する必要があ り、 IBUFDS_GTE3 プリ ミティブの IB ピンを駆動します。

gth_qpll0_reset_in 入力 1 GTHE3_COMMON プリ ミ ティブの QPLL0RESET ピンのアクティブHigh リセッ ト入力です。

gth_qpll0_clk_out 出力 1 SDI Wrapper の gth_qpll0_clk_in ポートへ接続する必要があ り ます。GTHE3_COMMON プリ ミ ティブの QPLL0OUTCLK ポートからのクロ ッ ク出力です。

gth_qpll0_refclk_out 出力 1 SDI Wrapper の gth_qpll0_refclk_in ポー トへ接続する必要があ り ます。GTHE3_COMMON プリ ミ ティブの QLL0OUTREFCLK ポートからのク ロ ッ ク出力です。

gth_qpll0_lock_out 出力 1 SDI Wrapper の gth_qpll0_lock_in ポートへ接続する必要があ り ます。GTHE3_COMMON の QPLL0LOCK ポートから送信される、 QPLL0のロ ッ クを示すアクティブ High 出力です。

gth_qpll1_refclk_p_in 入力 1 このポートは、 MGTREFCLK0P または MGTREFCLK1P FPGA のいずれかの FPGA 入力ポートへ接続する必要があ り、 IBUFDS_GTE3プリ ミ ティブの I ピンを駆動します。

gth_qpll1_refclk_n_in 入力 1 このポートは、MGTREFCLK0N または MGTREFCLK1N のいずれかの FPGA 入力ポートへ接続する必要があ り、 IBUFDS_GTE3 プリ ミティブの IB ピンを駆動します。

gth_qpll1_reset_in 入力 1 GTHE3_COMMON プリ ミ ティブの QPLL1RESET ピンのアクティブHigh リセッ ト入力です。

gth_qpll1_clk_out 出力 1 SDI Wrapper の gth_qpll1_clk_in ポートへ接続する必要があ り ます。GTHE3_COMMON プリ ミ ティブの QPLL1OUTCLK ポートからのクロ ッ ク出力です。

gth_qpll1_refclk_out 出力 1 SDI Wrapper の gth_qpll1_refclk_in ポー トへ接続する必要があ り ます。GTHE3_COMMON プリ ミ ティブの QLL1OUTREFCLK ポートからのク ロ ッ ク出力です。

gth_qpll1_lock_out 出力 1 SDI Wrapper の gth_qpll1_lock_in ポートへ接続する必要があ り ます。GTHE3_COMMON の QPLL1LOCK ポートから送信される、 QPLL1のロ ッ クを示すアクティブ High 出力です。

gth_cpll_refclk_out 出力 1 このポートは、 SDI Wrapper の gth_cpll_refclk_in ポートへ接続するこ とを目的と しています。 IBUFDS_GTE3 プリ ミ ティブから送信されるク ロ ッ ク出力です。

gth_cpll_lock_out 出力 1 GTHE3_CHANNEL の CPLLLOCK ポートからのアクティブ High の周波数ロッ ク出力です。

gth_rxn_in 入力 1 このポートは、GTHE3_CHANNEL プリ ミ ティブの GTHRXN 差動入力へ接続されます。

gth_rxp_in 入力 1 このポートは、GTHE3_CHANNEL プリ ミ ティブの GTHRXP 差動入力へ接続されます。

gth_txn_out 出力 1 このポートは、GTHE3_CHANNEL プリ ミ ティブの GTHTXN 差動出力へ接続されます。

gth_txp_out 出力 1 このポートは、GTHE3_CHANNEL プリ ミ ティブの GTHYXP 差動出力へ接続されます。

表 2 : SDI Wrapper のポート  リス ト  (続き)

ポート名 I/O 幅 説明

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  44

SDI Wrapper の GTH ポート

gth_wiz_reset_all_in 入力 1 PLL (位相ロ ッ ク ループ) およびト ランシーバー プ リ ミ テ ィブのアクティブなデータ方向を リセッ トするユーザー信号です。 primitives.このアクティブ High の非同期信号を gth_drpclk_in の少なく と も 1 周期間パルスする と、 プロセスが初期化されます。

gth_wiz_reset_tx_pll_and_datapath_in

入力 1 ト ラ ンシーバー プ リ ミ テ ィ ブの送信データ方向および関連するPLL を リセッ トするユーザー信号です。 このアクティブ High の非同期信号を gth_drpclk_in の少なく と も 1 周期間パルスする と、プロセスが初期化されます。

gth_wiz_reset_rx_pll_and_datapath_in

入力 1 ト ラ ンシーバー プ リ ミ テ ィ ブの受信データ方向および関連するPLL を リセッ トするユーザー信号です。 transceiver primitives.このアクティブ High の非同期信号を gth_drpclk_in の少なく と も 1 周期間パルスする と、 プロセスが初期化されます。

gth_wiz_txresetdone_out 出力 1 ト ランシーバー プリ ミ ティブの ト ランス ミ ッ ター リセッ ト シーケンスが完了したこ とを示すアクティブ High の信号です。この出力は tx_usrclk_out に同期します。

gth_wiz_rxresetdone_out 出力 1 ト ランシーバー プリ ミ ティブのレシーバー リセッ ト シーケンスが完了したこ とを示すアクティブ High の信号です。この出力は rx_usrclk_out に同期します。

gth_drpclk_in 入力 1 GTH への DRP ク ロ ッ クです。 このポートは通常、 rx_fxdclk_in と同じ ク ロ ッ クで駆動されます。

gth_qpll0_clk_in 入力 1 SDI Wrapper Support の gth_qpll0_clk_out ポートへ接続する必要があり ます。GTHE3_COMMON プリ ミ ティブの QPLL0OUTCLK ポートからのク ロ ッ ク入力です。

gth_qpll0_refclk_in 入力 1 SDI Wrapper Support の gth_qpll0_refclk_out ポートへ接続する必要があ り ます。 GTHE3_COMMON プ リ ミ テ ィブの QPLL0OUTREFCLKポートからのク ロ ッ ク入力です。

gth_qpll0_lock_in 入力 1 SDI Wrapper Support の gth_qpll0_lock_out ポー トへ接続する必要があ り ます。 GTHE3_COMMON の QPLL0LOCK ポートから送信される、 QPLL0 のロ ッ クを示すアクティブ High 出力です。

gth_qpll1_clk_in 入力 1 SDI Wrapper Support の gth_qpll1_clk_out ポートへ接続する必要があり ます。GTHE3_COMMON プリ ミ ティブの QPLL1OUTCLK ポートからのク ロ ッ ク入力です。

gth_qpll1_refclk_in 入力 1 SDI Wrapper Support の gth_qpll1_refclk_out ポートへ接続する必要があ り ます。 GTHE3_COMMON プ リ ミ テ ィブの QPLL1OUTREFCLKポートからのク ロ ッ ク入力です。

gth_qpll1_lock_in 入力 1 SDI Wrapper Support の gth_qpll1_lock_out ポー トへ接続する必要があ り ます。 GTHE3_COMMON の QPLL1LOCK ポートから送信される、 QPLL1 のロ ッ クを示すアクティブ High 出力です。

gth_cpll_refclk_in 入力 1 GTHE3_CHANNEL プ リ ミ テ ィブの GTREFCLK 用のク ロ ッ ク入力です。 通常、 このポートは、 IBUFDS_GTE3 プリ ミ ティブからのクロ ッ クで駆動されます。

gth_cpll_lock_out 出力 1 GTHE3_CHANNEL の CPLLLOCK ポートからのアクティブ High の周波数ロッ ク出力です。

gth_rxn_in 入力 1 このポートは、GTHE3_CHANNEL プリ ミ ティブの GTHRXN 差動入力へ接続します。

gth_rxp_in 入力 1 このポートは、GTHE3_CHANNEL プリ ミ ティブの GTHRXP 差動入力へ接続します。

表 2 : SDI Wrapper のポート  リス ト  (続き)

ポート名 I/O 幅 説明

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  45

表 2 の注記 1 : RX EDH プロセッサを含まないコアを生成した場合 (UHD-SDI コアの GUI で有効なオプシ ョ ン)、EDH プロセッサに関連する RX ポートはあ り ません。UHD-SDI コアに RX EDH プロセッサが含まれない場合は、RX EDH プロセッサに対応するすべてのポートが含まれている kugth_uhdsdi_<line rate>_wrapper.v SDI ラ ッパー ファ イルを使用しないでください。 代わりに、 kugth_uhdsdi_<line rate>_norxedh_wrapper.v SDI ラ ッパー ファ イルを使用してください。

表 3 に、 SDI ラ ッパーへ適用できるパラ メーターを示します。

gth_txn_out 出力 1 このポートは、GTHE3_CHANNEL プリ ミ ティブの GTHTXN 差動出力へ接続します。

gth_txp_out 出力 1 このポートは、GTHE3_CHANNEL プリ ミ ティブの GTHYXP 差動出力へ接続します。

表 3 : SDI ラッパーのパラメーター リス ト

名称 種類 デフォルト 説明

UHD-SDI GTH TX コン ト ローラーのパラ メーター

TXPLLCLKSEL_TX_M_0 バイナリ 2'b11 このパラ メ ーターは、 tx_m_in が Low の場合、GTHE3_CHANNEL の txpllclksel ピンに適用される値を指定し ます。 有効な値は、 2'b00 (CPLL)、 2'b11(QPLL0)、 および 2'b10 (QPLL1) です。

TXPLLCLKSEL_TX_M_1 バイナリ 2'b10 このパラ メ ーターは、 tx_m_in が High の場合、GTHE3_CHANNEL の txpllclksel ピンに適用される値を指定し ます。 有効な値は、 2'b00 (CPLL)、 2'b11(QPLL0)、 および 2'b10 (QPLL1) です。

UHD-SDI GTH RX コン ト ローラーのパラ メーター

RX_FXDCLK_FREQ 整数 27000000 SDI Wrapper の clk ポートの固定周波数を指定 (Hz) します。 このクロ ッ クの公称周波数は、 タイ ミ ングを満たすため、このクロ ッ クに依存する制御モジュールが正し く動作するよ うに適切に指定します。

RXPLLCLKSEL_TX_M_0 バイナリ 2'b11 このパラ メ ーターは、 3'b110 を除 く すべてのrx_mode_out 値の場合に、 GTHE3_CHANNEL のrxpllclksel ピンに適用される値を指定します。 有効な値は、 2'b00 (CPLL)、 2'b11 (QPLL0)、 および 2'b10(QPLL1) です。

RXPLLCLKSEL_TX_M_1 バイナリ 2'b10 このパラ メーターは、rx_m_out が High で rx_mode_outが 3'b11 の場合に、GTHE3_CHANNEL の rxpllclksel ピンに適用される値を指定します。 有効な値は、 2'b00(CPLL)、 2'b11 (QPLL0)、 および 2'b10 (QPLL1) です。

GTH Wizard IP のパラ メーター

XY_SITE 文字列型 "x0y16" このパラ メーターは、 FPGA 内における GTH WizardIP インスタンスの場所を指定します。

表 2 : SDI Wrapper のポート  リス ト  (続き)

ポート名 I/O 幅 説明

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  46

ビデオ伝送検出ポート

UHD-SDI コアの RX 部分には SDI 転送フォーマッ ト検出回路があ り ます。 この回路では、SDI データ ス ト リームにおけるビデオ転送のタイ ミ ングを分析し、受信しているビデオ フォーマッ ト を判断します。 この回路の動作は、 ST 352 ペイロード ID パケッ トの有無に依存しません。 この回路は、 画像フォーマッ トではなく転送フォーマッ ト を判断します。 これらは通常は同じですが、 必ずしもそ う とは限り ません。 たとえば、 3G-SDI level B-DL で 1080p 50Hz のビデオ転送が行われる場合、 実際のビデオ転送は 1080i 50Hz とな り ます (転送はインターレース方式で、 画像はプログレッシブ方式)。

rx_t_family 出力ポート では、 SDI 信号の転送におけるビデオ フォーマッ ト ファ ミ リ を示す 4 ビッ トのコードを提供します。表 4 に、 この出力ポートのエンコードを示します。 また転送検出ユニッ トは、 SDI 転送の方式 (インターレースまたはプログレッシブ) も判断し、 rx_t_scan 出力ポートへレポート します。

転送検出回路は、 SDI 信号の転送レート も判断します。 rx_t_rate_out ポートで転送フレーム レート を示します (表 5 参照)。フレーム レートのエンコードは、 SMPTE ST 352 ビデオ ペイロード ID パケッ トの画像レート フ ィールド と して使用されるエンコード と同じです。 ただし、 rx_t_rate_out は、 画像レー ト ではな く、 転送フレーム レー ト を示し ます。 また、rx_t_rate_out ポートの値は、 インターレース転送であっても常にフレーム レート を示します。

注記 : SDI RX が SDI 信号にロッ ク した後、 転送フォーマッ ト検出回路が転送フォーマッ ト を認識するまでには、 大で 2ビデオ フレーム必要です。

表 4 : rx_t_family_out のエンコード  

rx_t_family 転送ビデオ フォーマッ ト アクテ ィブ ピクセル

0000 SMPTE ST 274 1920 x 1080

0001 SMPTE ST 296 1280 x 720

0010 SMPTE ST 2048-2 2048 x 1080

0011 SMPTE ST 295 1920 x 1080

1000 NTSC 720 x 486

1001 PAL 720 x 486

1111 不明

その他 予約

表 5 : rx_t_rate_out のエンコード

rx_t_rate_out フレーム レート

0000 なし

0010 23.98Hz

0011 24Hz

0100 47.95Hz

0101 25Hz

0110 29.97Hz

0111 30Hz

1000 48Hz

1001 50Hz

1010 59.94Hz

1011 60Hz

その他 予約

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  47

SD‐SDI RX EDH プロセッサ

SDI レシーバーには、 SD-SDI モードでのレシーバー エラーを検出するために、 EDH プロセッサをオプシ ョ ンで含めることができます。 EDH プロセッサは、 SD-SDI データ ス ト リーム内の EDH パケッ ト をアップデート しません。 単にエラーをレポート し、 そして各 EDH パケッ トからのエラー フラグをキャプチャするだけです。

EDH プロセッサには、 エラーがあるフ ィールドの数をカウン トする 16 ビッ トのカウンターがあ り ます。 現在のエラー数は、 SDI Wrapper の rx_edh_errcnt_out ポートに出力されます。 カウンター値は、 rx_edh_clr_errcnt_in が High にアサート される と ク リ アされます。 ユーザーは、 rx_edh_errcnt_en_in ポート を使用し、 このカウンターでカウン ト されるエラーの種類を指定できます。 このポート には、 16 個の異なるエラー タ イプを有効/無効に設定するための 16 ビッ ト があ り ます。High に設定されたビッ トに基づいて、 対応するエラー タイプがカウンターでカウン ト されるよ うにな り ます。 Low に設定されたビッ トは、 対応するエラー タイプを無効にします。 同じフ ィールドで複数のエラーが生じる場合、 EDH エラーカウンターは 1 つしかインク リ メン ト しません。 表 6 に、 rx_edh_errcnt_en_in ポートのビッ トのエンコードを示します。

ANC エラーは補助データ パケッ ト内のエラーを示し、 FF エラーはフル フ ィールド (Full Field) CRC で検出されるエラーを示し、AP エラーはアクティブ画像 (Active Picture) CRC で検出されるエラーを示します。EDH パケッ ト チェッ クサム エラーは、 EDH パケッ ト内でチェッ クサム エラーが生じたこ とを示します。

ANC、 FF、 AP それぞれのエラー条件には、 5 つの個々のエラー フラグがあ り ます。 これらのフラグが High にアサート される こ とで、 エラー条件を示します。 EDH パケッ ト の EDH、 EDA、 IDH、 IDA、 および UES エラー フ ラグの詳細は、SMPTE RP 165 の資料を参照して ください。

• EDH エラー : EDH プロセッサがフ ィールドで CRC エラー (ANC パケッ トのチェッ クサム エラー ) を検出する と、 このエラー条件が生じます。 たとえば、 FF EDH エラー フラグは、 フル フ ィールド CRC でエラーが検出したこ とを示します。

• EDA エラー : 受信した EDH パケッ トの EDA または EDH フラグがアサート される と、 このエラー条件が生じます。

• IDH エラー : このエラー条件は、 RX EDH プロセッサでサポート されていません。

• IDA エラー : 受信した EDH パケッ トの IDA または IDH フラグがアサート される と、 このエラー条件が生じます。

• UES エラー : 受信した EDH パケッ トの UES フラグがアサート される と、 このエラー条件が生じます。

表 6 : rx_edh_errcnt_en_in のビッ ト

ビッ ト番号 エラー

0 ANC EDH エラー

1 ANC EDA エラー

2 ANC IDH エラー

3 ANC IDA エラー

4 ANC UES エラー

5 FF EDH エラー

6 FF EDA エラー

7 FF IDH エラー

8 FF IDA エラー

9 FF UES エラー

10 AP EDH エラー

11 AP EDA エラー

12 AP IDH エラー

13 AP IDA エラー

14 AP UES エラー

15 EDH パケッ ト チェッ クサム エラー

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  48

エラー カウンターが有効の場合、 これらがカウン ト される と同時に、検出された ANC EDH、 AP EDH、および FF EDH エラーも rx_edh_anc_out、 rx_edh_ap_out、 および rx_edh_ff_out ポート のそれぞれのアサート によって示されます。 つま り、rx_edh_anc ポートは、補助データ パケッ トにチェッ クサム エラーが検出される とアサート されます。 rx_edh_ap ポートは、計算されたアクティブ画像 CRC が EDH パケッ ト内の AP CRC と一致していない場合にアサート されます。 rx_edh_ff_outポートは、 計算されたフル フ ィールド CRC が EDH パケッ ト内の FF CRC と一致していない場合にアサート されます。

RX EDH プロセ ッ サも、 EDH パケ ッ ト か らの ANC、 AP、 および FF エラー フ ラ グを rx_edh_anc_flags_out、rx_edh_ap_flags_out、 および rx_edh_ff_flags_out ポートにそれぞれ出力します。 これらの出力ポートでは、 後に受信したEDH パケッ トで生じたフラグを正確に反映します。 したがって、 これらは、 エラー カウンターをインク リ メ ン トするための検出されたエラーや rx_edh_anc_out、 rx_edh_ap_out、 および rx_edh_ff_out ポート の出力とは異な り ます。 たとえば、rx_edh_ap_flags_out ポートの EDH フラグ (ビッ ト 0) は、 後に受信した EDH パケッ トで AP EDH フラグがセッ ト されたこ とを示しますが、rx_edh_ap_out ポートは、EDH プロセッサでローカルに計算されたアクティブ画像 CRC が EDH パケットの AP CRC と一致していないこ とを示します。rx_edh_anc_flags_out、rx_edh_ap_flags_out、および rx_edh_ff_flags_out ポートはそれぞれ 5 ビッ ト幅です。 これら 3つすべてのエンコードは同じです (表 7 参照)。

また、RX EDH プロセッサは、EDH パケッ トのフォーマッ トやコンテンツに応じて 4 つのエラー フラグを生成します。 これらのエラー フラグは、 rx_edh_packet_flags_out ポートに出力されます。 表 8 に、 このポートのエンコードを示します。

GTH の初期化と リセッ ト 、 および変更シーケンスのエラー コード

GTH RX の初期化シーケンスまたはリセッ ト シーケンス、 あるいは RX SDI モードの動的変更を実行中にエラーが発生する と、 rx_change_fail_out ポートが High にアサート されてエラー コードが rx_change_fail_code_out ポートに出力されます。各シーケンスは、 リ ト ラ イ カウンターで許容される 大回数まで再実行された後にのみ、 エラーで終了します。 リ ト ラ イ可能な 大回数は、RX_RETRY_CNTR_MSB パラ メーターまたは SDI Wrapper モジュールの v_smpte_uhdsdi_kugth_control.vジェネ リ ッ クで指定した リ ト ラ イ カウンターのビッ ト幅で制御されます。 リ ト ラ イの回数は次の式で求められます。

リ ト ラ イ = 2 RX_RETRY_CNTR_MSB - 1

表 9 に、 rx_change_fail_out ポートのエンコードを示します。

表 7 : rx_edh_anc_flags_out、 rx_edh_ap_flags_out、 および rx_edh_ff_flags_out ポートのエンコード

ビッ ト番号 エラー

0 EDH

1 EDA

2 IDH

3 IDA

4 UES

表 8 : rx_edh_packet_flags ポートのエンコード

ビッ ト番号 エラー

0 EDH パケッ ト を確認できない

1 EDH パケッ トのユーザー データ ワードにパリティ エラーがある

2 EDH パケッ トにチェッ クサム エラーがある

3 EDH パケッ トにフォーマッ ト エラーがある (無効なデータ数など)

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  49

rx_change_fail_out ポートが High に遷移するすべてのシーケンス エラーでは、 SDI Wrapper の GTH RX 制御ロジッ クがエラー状態で停止します。 GTH RX はそのまま SDI 信号を受信できますが、 通常実行する SDI モードの動的変更は行いません。rx_change_fail_out の High 遷移で示されるエラーが生じた場合には、rx_rst_in および gth_wiz_reset_rx_pll_and_datapath_inを使用して GTH RX 全体を リセッ トする必要があ り ます。 この出力は gth_drpclk_in に同期します。エラーが繰り返される場合は、 アプリ ケーシ ョ ンの設計に問題がある と考えられます。

GTH TX の初期化シーケンスまたはリセッ ト シーケンス、 あるいは TX SDI モードの動的変更を実行中にエラーが発生する と、 tx_change_fail_out ポートが High にアサート されてエラー コードが tx_change_fail_code_out ポートに出力されます。各シーケンスは、 リ ト ラ イ カウンターで許容される 大回数まで再実行された後にのみ、 エラーで終了します。 リ ト ラ イ可能な 大回数は、TX_RETRY_CNTR_MSB パラ メーターまたは SDI Wrapper モジュールの v_smpte_uhdsdi_kugth_control.vジェネ リ ッ クで指定した リ ト ラ イ カウンターのビッ ト幅で制御されます。 リ ト ラ イの回数は次の式で求められます。

リ ト ラ イ = 2 TX_RETRY_CNTR_MSB - 1

表 9 : rx_change_fail_code_out ポートのエンコード

コード 説明

0 予約

1 GTH ト ランシーバーの RXCDR_CFG2 属性を変更するこ とが必要となる RX SDI モードの変更が要求される と、 v_smpte_uhdsdi_kugth_control モジュールがこの属性を変更するために DRP書き込みサイ クルを実行しよ う と します。 v_smpte_uhdsdi_kugth_drp 制御モジュールが、 書き込まれた RXCDR_CFG2 値と リ ト ラ イ後の実際のコンテンツとの間に不一致を検出する と、このコードでシーケンスにエラーが発生します。

2 GTH ト ランシーバーの RXOUT_DIV 属性を変更するこ とが必要となる RX SDI モードの変更が要求される と、 v_smpte_uhdsdi_kugth_control モジュールがこの属性を変更するために DRP書き込みサイ クルを実行しよ う と します。 v_smpte_uhdsdi_kugth_drp 制御モジュールが、 書き込まれた RXOUT_DIV 値と リ ト ラ イ後の実際のコンテンツとの間に不一致を検出する と、 このコードでシーケンスにエラーが発生します。

3 動的変更を実行中に DRP および GTH ポートに対する一連のシーケンスが完了した後、 GTHRX 部分を リセッ トするために GTH Wizard IP の gtwiz_reset_rx_datapath_in ポートがアサートされます。 リ ト ラ イ後に GTH Wizard IP の gtwiz_reset_rx_done_out ポートがアサート されない場合、 このコードでシーケンスにエラーが発生します。

4 GTH ト ランシーバーの RXDATA_WIDTH 属性を変更するこ とが必要となる RX SDI モードの変更が要求される と、 v_smpte_uhdsdi_kugth_control モジュールがこの属性を変更するためにDRP 書き込みサイ クルを実行しよ う と します。 v_smpte_uhdsdi_kugth_drp 制御モジュールが、書き込まれた RXDATA_WIDTH 値と リ ト ラ イ後の実際のコンテンツとの間に不一致を検出する と、 このコードでシーケンスにエラーが発生します。

5 GTH ト ランシーバーの RXINT_DATAWIDTH 属性を変更するこ とが必要となる RX SDI モードの変更が要求される と、v_smpte_uhdsdi_kugth_control モジュールがこの属性を変更するためにDRP 書き込みサイ クルを実行しよ う と します。 v_smpte_uhdsdi_kugth_drp 制御モジュールが、書き込まれた RXINT_DATAWIDTH 値と リ ト ラ イ後の実際のコンテンツ との間に不一致を検出する と、 このエラー コードでシーケンスにエラーが発生します。

6 予約

7 予約

UltraScale GTHト ランシーバーを使用して SDI インターフェイスを実現

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  50

表 10 に、 tx_change_fail_code ポートのエンコードを示します。

SDI のタイ ミング制約

SDI Wrapper Support および SDI Wrapper では、 GTH 基準クロ ッ ク (MGTREFCLK0/1P/N) と GTH DRP ク ロ ッ ク用の FPGAIOB ポートの周期に対してのみ制約を適用する必要があ り ます。

rxusrclk および txusrclk ク ロ ッ クは、 大ターゲッ ト ラ イン レートに基づいて GTH Wizard IP 内で自動的に制約されるため、 これ以上の制約を適用する必要はあ り ません。一般的に、6G-SDI およびそれ以下の場合は 148.5MHz とな り、12G-SDIの場合は 297MHz とな り ます。

UHD-SDI ラ ッパーには、 SD-SDI モードの場合にデータを回復するための NI-DRU が含まれます。 NI-DRU は SD-SDI モードでのみ動作し、 このモードでの RXOUTCLK の周波数は 148.5MHz です。 12G-SDI をサポートするアプ リ ケーシ ョ ンの場合、 RXOUTCLK は 297MHz に制約され、 NI-DRU は 297MHz でのタ イ ミ ングを満たし ません。 しかし、 NI-DRU はRXOUTCLK が 148.5MHz の場合のみアクティブとなるため、その必要はあ り ません。 NI-DRU を 148.5MHz に制約し、 RXのその他の部分を 297MHz に制約するこ とが可能です。 サンプル デザインでは、 次の 2 つの制約を使用しています。

set_property KEEP_HIERARCHY true [get_cells \ uhdsdi_demo/sdi_4ch_rxtx/genblk1[0].sdi_wrapper_support/sdi_wrapper/uhdsdi_kugth_ctrl/NIDRU]

create_generated_clock -name nidru_clk0 -source [get_pins -of [get_clocks rxoutclk_out[0]]] \

表 10 : tx_change_fail_code_out ポートのエンコード

コード 説明

0 予約

1 GTH ト ランシーバーの TXDATA_WIDTH 属性を変更するこ とが必要となる TX SDI モードの変更が要求される と、 v_smpte_uhdsdi_kugth_control モジュールがこの属性を変更するためにDRP 書き込みサイ クルを実行しよ う と します。 v_smpte_uhdsdi_kugth_drp 制御モジュールが、書き込まれた TXDATA_WIDTH 値と リ ト ラ イ後の実際のコンテンツとの間に不一致を検出する と、 このコードでシーケンスにエラーが発生します。

2 GTH ト ランシーバーの TXINT_DATAWIDTH 属性を変更するこ とが必要となる TX SDI モードの変更が要求される と、v_smpte_uhdsdi_kugth_control モジュールがこの属性を変更するためにDRP 書き込みサイ クルを実行しよ う と します。 v_smpte_uhdsdi_kugth_drp 制御モジュールが、書き込まれた TXINT_DATAWIDTH 値と リ ト ラ イ後の実際のコンテンツ との間に不一致を検出する と、 このコードでシーケンスにエラーが発生します。

3 GTH ト ランシーバーの TXOUT_DIV 属性を変更するこ とが必要となる TX SDI モードの変更が要求される と、 v_smpte_uhdsdi_kugth_control モジュールがこの属性を変更するために DRP書き込みサイ クルを実行しよ う と します。 v_smpte_uhdsdi_kugth_drp 制御モジュールが、 書き込まれた TXOUT_DIV 値と リ ト ラ イ後の実際のコンテンツ との間に不一致を検出する と、 このエラーでシーケンスにエラーが発生します。

4 動的変更を実行中に DRP および GTH ポートに対する一連のシーケンスが完了した後、 GTHWizard IP の gtwiz_reset_tx_datapath_in ポートがアサート されて、 GTH TX 部分がリセッ ト されます。リ ト ラ イ後に GTH Wizard IP の gtwiz_reset_tx_done_out ポートがアサート されない場合、このコードでシーケンスにエラーが発生します。

5 予約

6 予約

7 予約

SDI デモンスト レーシ ョ ンの例

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  51

-divide_by 2 [get_pins \ uhdsdi_demo/sdi_4ch_rxtx/genblk1[0].sdi_wrapper_support/sdi_wrapper/uhdsdi_kugth_ctrl/NIDRU/ \Inst_dru/CLK]

次の制約で認識されるべきクロ ッ ク名が合成で変更されないよ うに、 NI-DRU モジュールに KEEP_HIERARCHY 制約が適用されています。 この制約の get_cells 部分は、 SDI/GTH Control/NIDRU の NI-DRU へのパスを使用しています。 サンプルデザインでは、 UHD-SDI ラ ッパーに SDI のインスタンス名が付けられています。 このパスの SDI 部分をユーザー アプリケーシ ョ ンの UHD-SDI ラ ッパーのインスタンス名に変更して ください。 KEEP_HIERARCHY 制約は、 合成にのみ適用され、 インプリ メンテーシ ョ ンには適用されません。 したがって、 インプリ メンテーシ ョ ン ツールが実行する 適化機能に影響を与えるこ とはあ り ません。

create_generated_clock 制約は、 NI-DRU 専用の階層クロ ッ クを作成します。 これは、物理的に分離したクロ ッ クではあ り ません。 タイ ミ ング解析専用に使用される論理クロ ッ クです。 NI-DRU は、 常に GTH の RXOUTCLK で駆動されます。 この制約によ り、NI-DRU の CLK ポートへ接続されたクロ ッ クは GTH RXOUTCLK から派生しますが、その 大周波数の半分になるこ とがタイ ミ ング アナライザーに示されます。RXOUTCLK は 297MHz に制約されるため、NI-DRU は 148.5MHz に制約されます。

示されない限り、 Vivado はすべてのクロ ッ クが関連する と見なします。 通常、 SDI Wrapper のさまざまなク ロ ッ クは関連していないため、 関連していないこ とを示すには制約が必要です。

これらの制約の設定例は、 こ こで提供する SDI アプリ ケーシ ョ ン例のタイ ミ ング制約ファイルを参照してください。

SDI デモンスト レーシ ョ ンの例このアプリ ケーシ ョ ン ノートでは、SDI デモンス ト レーシ ョ ン アプリ ケーシ ョ ンを紹介します。 このデモンス ト レーシ ョンのソース コードは Verilog のみで提供しています。 Vivado を使用して、 これらのデモンス ト レーシ ョ ンを構築する手順については、 ソース コードが含まれている xapp1248.zip ファ イル内の readme.txt ファ イルをお読みください。 生成済みのFPGA コンフ ィギュレーシ ョ ン ファ イルも両言語で提供されており、UltraScale FPGA KCU105 評価ボードにロードできます。 これらのデモンス ト レーシ ョ ンを実行するには、 inrevium 社製 TB-FMCH-12GSDI FMC が必要です。 これを KCU105ボードの FMC コネクタへ接続するこ とで、 SDI ケーブル ド ラ イバーと SDI ケーブル イコライザーが提供されます。 またこの FMC は、 GTH ト ランシーバーの基準クロ ッ ク と して使用される SDI 特有のクロ ッ ク ソースも提供します。

SDI デモンスト レーシ ョ ン

このデモンス ト レーシ ョ ン アプリ ケーシ ョ ンは KCU105 評価ボードを使用し、SDI RX と SDI TXインターフェイスを含みます。KC705 ボードの HPC FMC コネクタへ接続された Fidus 社製 12G-SDI FMC ボードが必要です。サンプル デザインには、テス ト パターン ジェネレーターで駆動される UHD-SDI ト ランス ミ ッ ターが 1 つあり ます。これは、SD-SDI、HD-SDI、3G-SDI (level A および B)、6G-SDI、および 12G-SDI 動作をサポート します。UHD-SDI ト ランス ミ ッ ターは、Vivado AnalzyerVIO モジュールで制御します。 サンプル デザインには、 UHD-SDI レシーバーも 1 つ含まれており、 ト ランス ミ ッ ターと同じモードで動作できます。UHD-SDI レシーバーのステータスは、Vivado Analzyer VIO モジュールで監視します。UHD-SDIレシーバーによるデータ ス ト リーム、 ラ イン番号、およびビデオ タイ ミ ング信号の出力は、Vivado Analyzer ILA モジュールに取り込まれて、 Vivado Analyzer ツールで解析できます。

SDI TX は、 ビデオ パターン ジェネレーターで駆動されます。 SDI TX の SDI モード、 ビデオ フォーマッ ト、 およびビデオ パターンは、Vivado ハードウェア マネージャーの Vivado VIO ウ ィンド ウで選択できます。別の Vivado VIO ウ ィンド ウを使用して、 SDI RX のステータスを監視できます。 また、 SDI RX で受信したビデオ データは、 Vivado ILA ウ ィンド ウに表示できます。

inrevium 社製 SDI FMC ボードには、 SDI インターフェイス用のコネク タが 5 つあ り ます。 右端にある 2 つのコネク タ (図 18) は、 単方向のみの SDI インターフェイスです。 一番右が CH0 TX で、 その隣が CH0 RX です。 これらは、 このデモンス ト レーシ ョ ンで使用されるコネクタです。 2 つ目、 3 つ目、 4 つ目の SDI インターフェイスには、 それぞれ 1 つのコネクタ (CH1、 CH2、 CH3) があ り、 これらは双方向インターフェイスで、 FMC カードの F_CHn_DIR ピンによって制御できます。

図 17 のデモンス ト レーシ ョ ン ブロ ッ ク図では、クワ ッ ド内の 初の GTH ト ランシーバーへ接続される SDI チャネル 0 を示しています。

SDI デモンスト レーシ ョ ンの例

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  52

inrevium 社製 SDI FMC ボードには、 148.5MHz および 148.5/1.001MHz のオシレーターがあ り、 このデモンス ト レーシ ョ ンでは各 ト ランシーバーへ送信される QPLL0 および QPLL1 に基準ク ロ ッ クを供給するためにそれぞれ使用しています。QPLL0 は 148.5MHz 基準クロ ッ クを使用し、QPLL1 は 148.5/1.001MHz 基準クロ ッ クを使用します。GTH ト ランス ミ ッ ターは、 すべての SDI ビッ ト レートに対応できるよ うに QPLL0 および QPLL1 からのシ リ アル ク ロ ッ クを動的に切り換えます。

inrevium 社製のボード上にある LMH1983 デバイスは、 制御モジュールが必要とする DRP ク ロ ッ ク と固定周波数クロ ッ クに使用される 27MHz ク ロ ッ クを UltraScale FPGA に提供します。

こ のデモでは、 SDI イ ン ターフ ェ イ ス を 大 4 つまで簡単に増加でき る よ う に 4 チャネル SDI ラ ッパー(kugth_uhdsdi_4ch_wrapper.v) が生成されており、 このモジュールには SDI Wrapper Support が 1 つ、 SDI Wrapper が 3 つインスタンシエート されています。 Verilog の Generate 文に含まれるビデオ ジェネレーター、 メ イン、および RX Vivado VIOによ り、 チャネル数を簡単に増加できます。

クワッ ド SDI デモンス ト レーシ ョ ンの実行に必要なものは次のとおりです。

• ザイ リ ンクス Kintex® UltraScale FPGA KCU105 評価キッ ト

• inrevium/Fidus 社製 TB-FMCH-12GSDI SDI FMC

• HD-BNC から BNC への変換ケーブル (2 本)

• SDI 信号ソース

• SDI 信号シンク (SDI ト ランス ミ ッ ターからの信号を表示する波形モニターまたはその他のデバイス)

• ザイ リ ンクス Vivado ツール

inrevium 社製 SDI FMC ボードは、 KCU105 ボード上の FMC HPC コネクタへ接続します (図 18 参照)。

X-Ref Target - Figure 17

図 17 : UHD‐SDI サンプル デザインのブロック図

SDI デモンスト レーシ ョ ンの例

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  53

SDI ト ランス ミ ッ ターを制御した り、 VIO ウ ィ ンド ウでステータスや SDI レシーバーからの受信データを分析するには、Vivado ハードウェア マネージャーを使用します。 KCU105 ボードは、 付属の USB JTAG ケーブルを用いて PC へ接続します。

Vivado ハードウェア マネージャーを使用して、このアプリ ケーシ ョ ン ノートで提供する kcu105_uhdsdi_demo.bit という コンフ ィギュレーシ ョ ン ファ イルを KCU105 ボード上の Kintex UltraScale FPGA へロード します。 この後、 ハード ウェア(LTX) コンフ ィギュレーシ ョ ン ファ イルが読み込まれて、 3 つの hw_vios と 1 つの hw_ila が自動的に開きます。 このアプリ ケーシ ョ ン ノートでは、 Vivado プロジェク ト ファ イルが提供されているため、デフォルトの HEX やバイナリ表示ではなく、 図 19 のよ うに [hw_vios] タブが表示されます。 次の手順に従って、 bit_files.xpr を開き、 コンパイル済みビッ ト ス トリームをダウンロード します。

コンパイル済みビッ ト  ファイルを使用した FPGA のコンフ ィギュレーシ ョ ン

1. xapp1248.zip ファ イルを解凍します。

2. UART USB ポート を使用して KCU105 へ接続します。

3. KCU105ボードに電源を投入します。

X-Ref Target - Figure 18

図 18 : KCU105 ボード と  TB‐FMCH‐3GSDI2A ボードの接続

SDI デモンスト レーシ ョ ンの例

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  54

4. KCU105 システム コン ト ローラーへ接続して、 VADJ を 1.8V に設定します。

1 つの microUSB コネクタが Zynq システム コン ト ローラーの UART と UltraScale FPGA の UART の両方へのアクセスを提供するこ とに留意して ください。Windows デバイス マネージャーでは、CP210x に関連する強化された COM ポートがシステム コン ト ローラーへ接続されるポート とな り ます。

ターミナル ウ ィンド ウ (115200、 8、 N、 1) を開いて、 COM ポート を KCU105 システム コン ト ローラーと通信するように設定します。1 つの microUSB コネクタが Zynq システム コン ト ローラーの UART と UltraScale FPGA の UART の両方へのアクセスを提供するこ とに留意して ください。 Windows デバイス マネージャーでは、 CP210x に関連する強化された COM ポートがシステム コン ト ローラーへ接続されるポート とな り ます。

UART ターミナルが接続された後、 KCU105 に電源を再投入して、 UART ターミナルのシステム コン ト ローラー メニューを リ フレッシュします。 システム コン ト ローラー メニューで次のオプシ ョ ンを選択します。

4.FPGA メザニン カード (FMC) の設定を調節します。

次のメニューで、 次を選択します。

4.FMC VADJ を 1.8V に設定します。

5. KCU105 ボード上の電源スイ ッチの近くに配置されている DS19 LED で VADJ のパワー グッ ド (正常動作) を見つけます。

6. JTAG USB ポート を使用して KCU105 へ接続します。

7. Vivado Tcl コンソールに次を順番に入力します。

a. cd <unzip_dir>\ready_for_download

b. source bit_files.tcl

8. プロジェク ト をロード して FPGA のプログラ ミ ングが完了するまで待機します。

注記 : UHD-SDI RX がロ ッ ク していない場合は、 KCU105 ボードの電源ス イ ッチ付近に配置されている DS19 LED で、FMCH ポートの VADJ 電圧が 1.8V (VADJ のパワー グッ ド ) であるこ とを確認する必要があ り ます。 この LED が点灯していない場合、 KCU105 のシステム コン ト ローラーの UART インターフェイスを介して VADJ の電圧を調整できます。

デモ ステータス LED

• GPIO_LED_0- RX は SD-SDI モードにロ ッ ク

• GPIO_LED_1- RX は HD-SDI モードにロ ッ ク

• GPIO_LED_2- RX は 3G-SDI モードにロ ッ ク

• GPIO_LED_3- RX は 6G-SDI モードにロ ッ ク

• GPIO_LED_4- RX は 12G-SDI モードにロ ッ ク

• GPIO_LED_5- RX ビッ ト レート インジケーター

• GPIO_LED_6- RX 変更完了インジケーター

• GPIO_LED_7- FMC 初期化完了

SDI デモンスト レーシ ョ ンの例

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  55

SDI ト ランス ミ ッ ターで生成される信号を観察するために、 SDI 波形モニターまたはその他の SDI ディ スプレイ デバイスを CH0 TX の出力へ接続します。 または、 SDI ト ランス ミ ッ ター出力は、 ケーブルを使用して inrevium 社製 FMC の CH0RX 入力へ接続できます。 inrevium 社製 SDI FMC ボードの SDI コネク タは、 標準 BNC コネク タではないため、 HD-BNCコネクタを標準 BNC コネクタへ接続するにはアダプター ケーブルが必要です。

各 SDI ト ランス ミ ッ ターには VIO 制御ウ ィンド ウが 1 つあり ます。 図 20 に TX01 の VIO 制御ウ ィンド ウを示します。

TX VIO ウ ィンド ウにある 初の 3 つのアイテムは、 それぞれ 後に実行された GTH TX 初期化シーケンスまたは動的変更シーケンスのステータスを示します。 後のシーケンスが正常に完了した場合は、[Change Done] インジケーターが Highになり ます。 シーケンスにエラーが発生した場合は、 [Change Fail] インジケーターが赤色になり、 [Change Failure Code] にエラーの原因が示されます (表 9 参照)。

tx_resetdone インジケーターは、 GTH Wizard IP の gth_wiz_txresetdone_out 出力ポートのステータスを示します。 通常動作中、 このインジケーターは High になり ます。

表 11 に示すよ うに、 TX の [Bit Rate]、 [Video Format] および [SDI Mode] の組み合わせによって、 SDI ト ランス ミ ッ ターで生成される SDI 信号のフォーマッ トが決定します。

X-Ref Target - Figure 19

図 19 : Vivado ハードウェア マネージャーのメインおよび CH0 VIO 画面

X-Ref Target - Figure 20

図 20 : SDI デモンスト レーシ ョ ンの TX0 VIO 制御ウィンドウ

SDI デモンスト レーシ ョ ンの例

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  56

TX のビデオ パターン値には、SDI TX を駆動するビデオ パターン ジェネレーターで生成されるビデオ テス ト パターンを選択します。 HD-SDI および 3G-SDI モードの場合は、 3 つのテス ト パターンがあ り ます。

• 0 = SMPTE RP 219 カラー バー

• 1 および 3 = SDI パソロジカル チェッ クフ ィールド

• 2 = 75% カラー バー

SD-SDI モードの場合は、 2 つのテス ト パターンがあ り ます。

• 0 および 2 = SMPTE EG 1 カラー バー

• 1 および 3 = SDI パソロジカル チェッ クフ ィールド

表 1 に示す tx_mode_in 値のほかに、 TX_MODE を 3'b011 に設定して 3G-SDI Level B パターンを送信するこ と も可能です。

それぞれの SDI レシーバーには、 レシーバーのステータスをモニターするための VIO ウ ィンド ウ と、 SDI RX で受信したビデオ データを表示できる ILA ウ ィンド ウがあ り ます。 図 21 に、 RX0 の VIO ウ ィンド ウを示します。

RX VIO ウ ィンド ウにある 初の 3 つのアイテムは、 それぞれ 後に実行された GTH RX 初期化シーケンスまたは動的変更シーケンスのステータスを示します。 後のシーケンスが正常に完了した場合は、[Change Done] インジケーターが Highになり ます。 シーケンスにエラーが発生した場合は、 [Change Fail] インジケーターが赤色になり、 [Change Failure Code] にエラーの原因が示されます (表 9 参照)。

[RX Error] インジケーターは、 CRC または EDH エラーが検出された場合は High (赤色) になり、 エラーが検出されていない場合は Low (灰色) になり ます。 エラーの検出後、 [RX Error Clear] をク リ ッ ク して手動でリセッ ト されるまで、 このインジケーターは赤色のままです。 [RX Error Count] は、 カウンターが 後にク リ アされてから受信した CRC の数 (HD-SDI および 3G-SDI モードの場合) または EDH エラーの数 (SD-SDI モードの場合) を整数値で示します。 エラー カウンターは、

表 11 : クワッ ド  SDI デモンスト レーシ ョ ンの TX ビデオ フォーマッ トの選択

TX の

ビデ

オ フ

ォー

マッ

SD‐SDI

(SDI モー

ド = 1)

HD‐SDI (SDI Mode = 0)

3G‐SDI Level A 

(SDI モード  = 2)

3G‐SDI Level B 

(SDI モード  = 3)

6G‐SDI 

(SDI モード  = 4)

12G‐SDI 

(SDI モード  = 5)

TX Bit Rate = 0

TX Bit Rate = 1

TX Bit Rate = 0

TX Bit Rate = 1

TX Bit Rate = 0

TX Bit Rate = 1

TX Bit Rate = 0

TX Bit Rate = 1

TX Bit Rate = 0

TX Bit Rate = 1

0 NTSC 720p 50Hz

1 PAL 1080pSF

24Hz

1080pSF

23.98Hz

2 NTSC 1080i

60Hz

1080i

59.94Hz

3 PAL 1080i

50Hz

4 NTSC 1080i

30Hz

1080i

29.97Hz

1080i

60Hz

1080i

59.94Hz

1080i

30Hz

1080i

29.97Hz

2160p

30Hz

2160p

29.97Hz

2160p

60Hz

2160p

59.94Hz

5 PAL 1080p

25Hz

1080p

50Hz

1080p

25Hz

2160p

25Hz

2160p

50Hz

6 NTSC 1080i

24Hz

1080i

23.98Hz

7 PAL 720p 60Hz 720p

59.94Hz

SDI デモンスト レーシ ョ ンの例

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  57

[RX Error Clear] をク リ ッ ク して手動でク リ アできます。 また、入力される SDI 信号がビッ ト レート を変更して SDI RX が信号へ再ロッ クする必要がある場合は、エラー カウンターが自動的にク リ アされます。ただし、エラー カウンターは新しい SDI 信号へロッ クするプロセスの早い段階で自動ク リ アされるため、 SDI RX が新しい SDI 信号へ完全にロッ ク した時点でエラー数は通常 0 になり ません。

RX が 3G-SDi Level B 信号を受信している場合、 [RX Level B] インジケーターは High (青色) とな り、 その他の場合は Low(灰色) とな り ます。

[RX Bit Rate] には、 受信する SDI 信号のビッ ト レートが示されます。

[RX SDI Mode] は、 表 1 に準じて rx_mode_out の現在の値を示します。

[SDI RX] が入力される SDI 信号にロッ ク される と、 [RX Locked] ステータスが High (緑色) になり、 ロ ッ ク されていない場合は Low (灰色) になり ます。

GTH Wizard IP が GTH RX リセッ ト シーケンスを完了する と、 [RX Reset Done] インジケーターが High (緑色) になり ます。

[RX Video Family]、 [RX Frame Rate Transport]、 および [RX Scan Mode] は、 検出されたビデオに関する情報を提供し、 これらは表 1 を使用してデコードできます。

[ST 352 PID] (ST 352 ペイロード ID データ バイ ト ) は、 4 データ バイ トの ST 352 ペイロード ID パケッ トです。 これらはバイ ト 1 が左側、 バイ ト 4 が右側にあ り、 [ST 352 PID Valid] が緑色の場合のみ有効です。

[RX Controller Reset Button] は、 RX コン ト ローラー モジュールのリセッ ト ルーチンを開始します。

X-Ref Target - Figure 21

図 21 : SDI デモンスト レーシ ョ ンの RX ステータス ウィンドウ

リファレンス デザイン

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  58

図 22 に、 入力される 12G-SDI ス ト リームの ILA ウ ィンド ウのスク リーン シ ョ ッ ト を示します。 ILA の使用法については『Vivado Design Suite チュート リ アル : プログラムおよびデバッグ』 (UG936) [参照 14] を参照してください。

リファレンス デザインこのアプリ ケーシ ョ ン ノートの リ ファレンス デザインは、 次のリ ンクからダウンロードできます。

https://secure.xilinx.com/webreg/clickthrough.do?cid=390742 (登録が必要)

ツール フローおよび検証

こ こで示すチェッ ク リ ス トでは、 リ ファレンス デザインで使用されるツール フローおよび検証手順を示します。

X-Ref Target - Figure 22

図 22 : Vivado ILA を使用して SDI デモンスト レーシ ョ ンの RX データを表示

表 12 : リファレンス デザインの詳細 

パラメーター 説明

全般

開発者 Gilbert Magnaye、 John Snow

ターゲッ ト デバイス GTH ト ランシーバー搭載の UltraScale デバイス

ソース コードの提供 あ り

ソース コードの形式 Verilog

既存のザイ リ ンクス アプリ ケーシ ョ ン ノート / リファレンス デザイン、 IP カタログ、 サードパーティからデザインへのコード /IP の使用

あ り。 Vivado IP カタログから生成される IP コア

シミ ュレーシ ョ ン

論理シ ミ ュレーシ ョ ンの実施 なし

タイ ミ ング シ ミ ュレーシ ョ ンの実施 なし

論理シ ミ ュレーシ ョ ンおよびタイ ミ ング シ ミ ュレーシ ョ ンでのテス トベンチの利用

なし

テス トベンチの形式 N/A

使用したシ ミ ュレータ /バージ ョ ン N/A

SPICE/IBIS シ ミ ュレーシ ョ ンの実施 N/A

インプリ メンテーシ ョ ン

使用した合成ツール/バージ ョ ン Vivado 2015.1

必要な環境

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  59

必要な環境

ハードウェア

• ザイ リ ンクス Kintex UltraScale FPGA KCU105 評価キッ ト

• inrevium/Fidus 社製 TB-FMCH-12GSDI SDI FMC

• HD-BNC から BNC への変換ケーブル (2 本)

• SDI 信号ソース

• SDI 信号シンク (SDI ト ランス ミ ッ ターからの信号を表示する波形モニターまたはその他のデバイス)

ソフ トウェア

• ザイ リ ンクス Vivado Design Suite

リファレンス デザインの実行手順

SDI デモンスト レーシ ョ ンのコンパイル

リ ファレンス デザインのコンパイルは 4 つの手順で実行し、 約 30 分で完了します。 コンパイルを開始するには、 次の手順に従ってください。

1. xapp1248.zip ファ イルを解凍します。

2. Vivado 2015.1 またはそれ以降のバージ ョ ンを開きます。

3. Vivado Tcl コンソールで、 次を順番に入力します。

a. cd <unzip_dir>\xapp1248

b. source kcu105_uhdsdi_demo_script.tcl

4. プロジェク トのコンパイルが完了するまで待機します。

kcu105_uhdsdi_demo_script TCL は、 6 つの手順でビッ ト ス ト リーム生成を完了します。

1. プロジェク ト を作成します。

2. RTL ソースをインポート します。

3. デザインの制約ファイルを追加します。

4. ザイ リ ンクス IP を生成します。

a. tx_vio

使用したインプ リ メ ンテーシ ョ ン ツール/バージ ョ ン

Vivado 2015.1

スタティ ッ ク タイ ミ ング解析の実施 あ り

ハードウェア検証

ハードウェア検証の実施 あ り

使用したハード ウェア プラ ッ ト フォーム KCU105 および TB-FMCH-12GSDI ボード

表 12 : リファレンス デザインの詳細  (続き)

リファレンス デザインの実行手順

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  60

b. rx_vio

c. rx_ila

d. x0y16 用の GT Wizard IP (v_smpte_uhdsdi_gtwiz_x0y16)

5. inrevium 社製 12G-SDI FMC カードの制御用に IPI サブシステムを構築します。

6. コンパイルを実行します。

inrevium 社製 12G‐SDI FMC カード  コン ト ローラー

このアプリ ケーシ ョ ン ノートでは、 FMC カードの I2C および SPI デバイスへのアクセスおよび制御を行うために、 IPI インテグレーター ベースの FMC コン ト ローラーを提供しています。コン ト ローラーは、プロジェク ト階層内に system_basicと してインスタンシエート されており、 SPI、 IIC GPIO IP、 および基本の MicroBlaze アプリ ケーシ ョ ンを実行するための基本 IP コンポーネン トで構成されています。 GPIO の主な目的は、 コンフ ィギュレーシ ョ ン中の FMC チャネル選択を可能にするこ と と、 初期化完了ステート を示すこ とです。

初期化プロセスには 3 つの段階があ り、 初はクロ ッ ク スイ ッチ コンフ ィギュレーシ ョ ンで、 GTH に 148.35MHz および148.5MHz の基準クロ ッ クを供給するために、 2 つのオンボード ク リ スタル オシレーターを選択します。 次に、 出力範囲の設定、 入力イコライゼーシ ョ ン係数の設定、 2 つの SDI ケーブル ド ラ イバー出力のうち 1 つを ミ ュートするなど、 ジェネ リ ッ ク SPI デバイス (ケーブル ド ラ イバー、 イコライザー、 リ ク ロ ッ ク回路) の初期化です。 後に、シ リ コン バージ ョンに基づいた SPI デバイスの初期化です。FMC 用のケーブル イコライザーやリ ロ ッ ク回路のメーカーである Macom 社は、エラ ッ タを発行しています。エラーのない無償 SDI 受信には、シ リ コン バージ ョ ンごとに特別な SPI レジスタの初期化が必要です。 現在の と こ ろ、 FMC コ ン ト ローラーが記述された時点で考慮されたエラ ッ タは、 235x4-ERR-001-A、23145-ERR-001-A、 23145-ERR-001-C、 23145-ERR-001-D、 および M235x4-ERR-001-C です。

UART GUI インターフェイスを利用するこ とで、 12G-SDI FMC カードを柔軟に制御できます。 ユーザーは、 次に示す GUIのインス ト ラ クシ ョ ンに従って、 FMC カードの I2C および SPI デバイスに対してレジスタ変更を実行できます。

------------------------- FIDUS Main Menu -------------------------

Select option 1 = Re-Init 2 = IIC Dev Select 3 = SPI CH0 Select 4 = SPI CH1 Select 5 = SPI CH2 Select 6 = SPI CH3 Select ?= help------------------>

FMC コン ト ローラーの SDK プロジェク ト を再コンパイル

kcu105_uhdsdi_demo_script.tcl スク リプ ト の実行完了後、 SDK 環境を準備する必要があ り ます。 これは、 プロジェ ク ト のハード ウェア情報をエクスポート し、 SDK ソース コードをインポートするこ とで完了します。

1. ハードウェアのエクスポート : Vivado 2015.1 で [File] → [Export] → [Export Hardware] をク リ ッ ク します。

a. [Export Hardware] のポップアップ ウ ィンド ウで [Include bitstream] をオンにします。

b. エクスポート先を指定 : <unzip_dir>\xapp1248\srcs\fidus_fmc_ctlr\SW

2. ザイ リ ンクス SDK 2015.1 を起動して [File] → [Launch SDK] をク リ ッ ク します。

a. [Exported location] および [Workspace] を共に次のよ うに指定します。

<unzip_dir>\xapp1248\srcs\fidus_fmc_ctlr\SW

b. SDK で新規のボード サポート パッケージを作成します ([File] → [New] → [Board Support Package])。 プロジェクト名を 「fidus_fmc_ctlr_bsp」 と入力して、 [Finish] をク リ ッ ク します。

c. [Board Support Package Settings] で [OK] をク リ ッ ク します。

FPGA リソースの使用数

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  61

3. SDK ソースをインポート します。 SDK 2015.1 で [File] → [Import] をク リ ッ ク します。

a. [Import] ポップアップ ウ ィンド ウで、 [General] → [Existing Projects into Workspace] をク リ ッ ク します。

b. [Next] をク リ ッ ク します。

c. [Browse] をク リ ッ ク して、 対応するフォルダーを示しているこ とを確認します。

<unzip_dir>\xapp1248\srcs\fidus_fmc_ctlr\SW

d. [OK] をク リ ッ ク します。

e. [fidus_fmc_ctlr] がオンになっているこ とを確認します。

f. [Finish] をク リ ッ ク します。

4. fidus_fmc_ctlr_bsp to fidus_fmc_ctlr を割り当てます。

a. SDK で、 fidus_fmc_ctlr フォルダーを右ク リ ッ ク します

b. [Change Referenced BSP] をク リ ッ ク します。

c. [fidus_fmc_ctlr_bsp] を選択して [OK] をク リ ッ ク します。

FPGA リソースの使用数表 13 に、 Kintex UltraScale GTH ト ランシーバーと接続する SDI インターフェイスに必要な FPGA リ ソースを示しています。 リ ソース使用数には、 SDI Wrapper Support インスタンスの中に含まれるインターフェイスの実装に必要なすべてのモジュールが含まれます。 こ こには、 一般的なコンフ ィギュレーシ ョ ンのリ ソース使用数を示します。

こ こに示す結果は、 Vivado 2015.1 を使用して得た値です。

SDI レシーバーおよびト ランス ミ ッ ター インターフェイス デザインでは、 MMCM ( ミ ッ ク ス ド モード ク ロ ッ ク マネージャー ) を使用しません。 また、 ブロ ッ ク RAM または DSP ブロ ッ ク も必要あ り ません。

通常、 SDI TX と SDI RX それぞれにグローバル ク ロ ッ ク または リージ ョ ナル ク ロ ッ クが 1 つ必要です。 その他、 SDIWrapper にタイ ミ ング用と して固定周波数のグローバル ク ロ ッ クが 1 つ必要です。 この固定周波数クロ ッ クは、通常 GTHDRP ク ロ ッ ク と しても使用されます。 FPGA に実装された SDI インターフェイスの数に関わらず、 このよ うな固定周波数のグローバル ク ロ ッ クは 1 つしか必要あ り ません。

表 13 : Kintex UltraScale GTH SDI インターフェイスに必要な FPGA リソース数

UHD‐SDI IP および ラッパーのコンフ ィギュレーシ ョ ンFF LUT メモリ  LUT BUFG

大ライン  レート UHD‐SDI コア

3G-SDI RX (EDH プロセッサあ り ) 5658 6488 140 2

RX (EDH プロセッサなし ) 5245 5983 139 2

6G-SDI RX (EDH プロセッサあ り ) 6386 6870 140 2

RX (EDH プロセッサなし ) 5973 6319 139 2

12G-SDI の 8 データ ス ト リーム

RX (EDH プロセッサあ り ) 6387 7290 140 2

RX (EDH プロセッサなし ) 5974 6760 139 2

12G-SDI の 16 データ ス トリーム

RX (EDH プロセッサあ り ) 6851 7450 140 2

RX (EDH プロセッサなし ) 6438 6911 139 2

まとめ

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  62

制約

制約ファイルの例は、 リ ファレンス デザインと共に提供されており、 SDI インターフェイスに必要なタイ ミ ングおよび配置の制約例と して使用できます。 タイ ミ ングに関して必要なのは、 GTH ト ランシーバーの基準クロ ッ ク IOB ピンの周期制約と、 DRPCLK および SDI ラ ッパーの rx_fxdclk_in ポートで使用される固定周波数クロ ッ クの周期制約を適用するこ とだけです。 GTH 基準クロ ッ クの制約では、 これらのクロ ッ クの周期を 148.5MHz (通常、 150MHz に切り上げる ) に指定する必要があ り ます。 GTH ト ランシーバーの I/O 配置およびクロ ッ クの制約は、 各 GTH Wizard IP 内ですでに指定されています。

まとめこのアプリ ケーシ ョ ン ノートでは、 SMPTE UHD-SDI コアと UltraScale GTH ト ランシーバーを使用して、 SMPTE SD-SDI、HD-SDI、 3G-SDI、 6G-SDI、 および 12G-SDI 規格に準拠した SDI インターフェイスを実装する方法について説明しています。 SDI アプリ ケーシ ョ ンに ト ランシーバーを使用する際に欠かせない UltraScale GTH デバイス特有の制御ロジッ クについて解説し、 また 2 つの SDI デモ アプリ ケーシ ョ ンを用いて UltraScale FPGA における SDI インプリ メンテーシ ョ ンについて詳し く説明しています。

用語解説表 14 に、 このアプリ ケーシ ョ ン ノートで使用する用語の定義を示します。

表 14 :用語の定義

名称 説明

12G-SDI SMPTE ST 2082-1 規格の一般的な総称で、 12Gb/s シ リ アル デジタル インターフェイスの略です。

3G-SDI SMPTE ST 424 規格の一般的な総称で、 3Gb/s シ リ アル デジタル インターフェイスの略です。 3G-SDI は、ST 425-1 で定義された 3 つのマッピング モード (3G-SDI level A、level B-DL、 および B-DS) をサポート しています。 これらのマッピング モードの詳細は、 ST 425-1 を参照してください。

6G-SDI SMPTE ST 2081-1 規格の一般的な総称で、6Gb/s シ リ アル デジタル インターフェイスの略です。

補助 (ANC) データ アクティブ画像データに使用されない SDI データ ス ト リームに組込まれたビデオ以外のデータです。 ANC データ と して非常に一般的ものと しては、 エンベデッ ド オーディオがあ り ます。 ANC データは、 SMPTE ST 291-1 の定義に基づいて、 補助データパケッ トにフォーマッ トする必要があ り ます。

データ ス ト リーム SDI インターフェイスを通る実際のデータを指します。データ ス ト リームは、 SDI インターフェイスを通過する際、転送データ構造に従ってフォーマッ トする必要があ ります。

EDH SMPTE RP 165 で定義されている SD-SDI 用のエラー訂正およびハンド リ ング プロ トコルです。

EAV (アクティブ ビデオの終了) SDI 準拠のデータ ス ト リームの場合、 EAV はデータ ス ト リームに特有な連続する 4ワードであ り、ラ インのアクティブ部分の終了や垂直帰線区間の開始をマーキングします。 各ビデオ ラインは、 EAV の 初のワードで開始する と考えられています。

HD-SDI SMPTE ST 292-1 規格の一般的な総称で、 1.5Gb/s シ リ アル デジタル インターフェイスの略です。

用語解説

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  63

インターレース (インターレース方式)

ビデオ フレームが 2 つのシーケンシャル フ ィールドに分割された映像の走査方式です。 フ ィールド 1 には奇数ラインが含まれ、 フ ィールド 2 には偶数ラインが含まれます。 フ ィールド 2 は、 フ ィールド 1 の奇数ラインの間に表示されます。 2 つのフ ィールドは、 半分のフレーム タイム差で異なる画を示します。

リ ンク 画像の帯域幅がシ リ アル デジタル インターフェイスの 大帯域幅を超える場合は、シ リ アル デジタル インターフェイスを 2 つまたは 3 つ結合して画像を転送する帯域幅を拡大できます。 複数リ ンク セッ トの各シ リ アル デジタル インターフェイスを リンク と呼びます。 SMPTE ST 372 では、 2 つの HD-SDI リ ンクを使用してよ り広帯域幅のビデオ フォーマッ ト を転送する方法を定義しています。 ST 425-x ファ ミ リのマルチリ ンク 3G-SDI 規格は、現在 SMPTE が開発中です。 3G-SDI level B-DL 転送では、1 つの 3G-SDI インターフェイス上のデュアル リ ンク HD-SDI (ST 372) ペアを両方転送します。3G-SDI level B-DL で伝搬される 2 つのそれぞれの HD-SDI 信号に関しても依然と して リ ンク と呼びます。

ペイロード ID ビデオ ペイロード ID (VPID) と も呼ばれるペイロード ID は、SMPTE ST 352で定義される補助データ パケッ トです。 4 つのデータ ワードを持つ ST 352 ペイロード ID パケッ トは、 ビデオ画像の特性 (ビデオ フォーマッ ト、 フレーム レート、走査構造、色空間など) およびそのペイロードの転送に使用される SDI インターフェイスの種類を識別します。 マルチリ ンク インターフェイスの場合、 ペイロード ID には個々のリ ンクを区別するビッ ト も含まれます。

プログレッシブ ノンインターレース映像走査方式です。 プログレッシブ フレームのすべてのラインは、 同じ画に属します。

シ リ アル デジ タル イ ン ターフェイス (SDI)

元来は、標準解像度のシ リ アル デジタル インターフェイス、SMPTE ST 259 規格を指します。HD-SDI、 3G-SDI、および ST 259 の登場によ り、混乱を避けるために SD-SDIと呼ばれるよ うにな り ました。 こ こでは、 SD-SDI、 HD-SDI、 および 3G-SDI の言及には総称的な SDI を用いていますが、 具体的に ST 259 について言及する場合は常にSD-SDI を使用しています。

SD-SDI SMTPE ST 259 規格の一般的な総称で、標準精細のシ リ アル デジタル インターフェイスの略です。

SMPTE Society of Motion Picture and Television Engineers (映画テレビ技術者協会) です。

SAV (アクティブ ビデオの開始) SDI 準拠のデータ ス ト リームの場合、 SAV はデータ ス ト リームに固有の連続する 4ワードであ り、垂直帰線区間の終了やラインのアクティブ部分の開始をマーキングします。通常サンプル 0 と呼ばれる、ラ インの 初のアクティブ ビデオ サンプルは SAVのすぐ後に続きます。

同期スイ ッチング(ポイン ト、 インターバル、 ライン)

SMPTE RP 168 では、同期ビデオ ソースの切り換えが可能なビデオ フレームのポイントが定義されています。 これは通常、 同期スイ ッチング ポイン ト と呼ばれていますが、実際はライン上の正確なポイン ト という よ り、区間やラインの一部と して定義されます。 同期スイ ッチング区間を含むラインを、 同期スイ ッチング ラ イン と呼ぶ場合があ り ます。

ト ランスポート インターフェイス データ ス ト リームのデータ組織です。 ト ランスポート データ層では、 ビデオ タイ ミ ング情報の伝送に使用される EAV や SAV を定義します。

タ イ ミ ング リ フ ァ レンス信号(TRS)

EAV シーケンス と SAV シーケンスの両方について言及する際に使用する一般的な用語です。

XYZ EAV と SAV の 4 番目のワードを XYZ ワード と呼びます。 このワードには、 ビデオタイ ミ ングを示す水平 (H) ビッ ト とフ ィールド (F) ビッ トが含まれます。XYZ ワードには、 XYZ ワードのエラーを検出できる保護ビッ ト も含まれています。

表 14 :用語の定義 (続き)

名称 説明

参考資料

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  64

参考資料注記 :日本語のバージ ョ ンは英語版よ り古い場合があ り ます。

次の資料は、 SMPTE (Society of Motion Picture and Television Engineers) のサイ ト (www.smpte.org) から入手できます。

1. RP 165 : 『Error Detection Checkwords and Status Flags for Use in Bit-Serial Digital Interfaces for Television』

2. SMPTE RP 168 : 『Definition of Vertical Switching Point for Synchronous Video Switching』

3. ST 259 : 『Television - SDTV Digital Signal/Data - Serial Digital Interface』

4. ST 291-1 : 『Television - Ancillary Data Packet and Space Formatting』

5. ST 292-1 : 『1.5 Gb/s Signal/Data Serial Interface』

6. ST 344 : 『Television - 540 Mb/s Serial Digital Interface』

7. ST 352 : 『Payload Identifier Codes for Serial Digital Interfaces』

8. ST 372 : 『Dual Link 1.5 Gb/s Digital Interface for 1920x1080 and 2048 x 1080 Picture Formats』

9. ST 424 : 『Television - 3 Gb/s Signal/Data Serial Interface』

10. ST 425-1 : 『Source Image Format and Ancillary Data Mapping for the 3Gb/s Serial Interface』

11. ST 2081-1 : 『6Gb/s Signal/Data Serial Interface - Electrical』

12. ST 2082-1 : 『12Gb/s Signal/Data Serial Interface - Electrical』

次の資料は、 ザイ リ ンクスのウェブサイ ト (japan.xilinx.com) から入手できます。

13. 『UltraScale アーキテクチャ GTH ト ランシーバー ユーザー ガイ ド』 (UG576 : 英語版、 日本語版)

14. 『Vivado Design Suite チュート リ アル : プログラムおよびデバッグ』 (UG936)

15. 『UltraScale FPGAs Transceivers Wizard』 (PG182 : 英語版、 日本語版)

16. 『Kintex UltraScale アーキテクチャ データシート : DC 特性および AC スイ ッチ特性』 (DS892 : 英語版、 日本語版)

17. 『LogiCORE IP SMPTE UHD-SDI 製品ガイ ド LogiCORE IP 』 (PG205)

18. 『20 ビッ ト幅のオーバーサンプル データをベース とするクロ ッ クおよびデータ リ カバリ ユニッ ト 』 (XAPP1240 : 英語版、 日本語版)

改訂履歴次の表に、 この文書の改訂履歴を示します。

法的通知本通知に基づいて貴殿または貴社 (本通知の被通知者が個人の場合には 「貴殿」、法人その他の団体の場合には 「貴社」。以下同じ ) に開示

される情報 (以下 「本情報」 といいます) は、 ザイ リ ンクスの製品を選択および使用するこ とのためにのみ提供されます。適用される法律

が許容する 大限の範囲で、 (1) 本情報は 「現状有姿」、 および全て受領者の責任で (with all faults) とい う状態で提供され、 ザイ リ ンクス

は、 本通知をもって、 明示、 黙示、 法定を問わず (商品性、 非侵害、 特定目的適合性の保証を含みますがこれらに限られません)、 全ての

日付 バージョ ン 内容

2015 年 8 月 14 日 1.2 表 11 を更新。

2015 年 6 月 18 日 1.1 文書の修正。

2015 年 4 月 1 日 1.0 初版

法的通知

XAPP1248 (v1.2) 2015 年 8 月 14 日 japan.xilinx.com  65

保証および条件を負わない (否認する ) ものと します。 また、 (2) ザイ リ ンクスは、 本情報 (貴殿または貴社による本情報の使用を含む) に関係し、 起因し、 関連する、 いかなる種類・性質の損失または損害についても、 責任を負わない (契約上、 不法行為上 (過失の場合を含む

)、 その他のいかなる責任の法理によるかを問わない) ものと し、 当該損失または損害には、 直接、 間接、 特別、 付随的、 結果的な損失ま

たは損害 (第三者が起こした行為の結果被った、データ、利益、業務上の信用の損失、 その他あらゆる種類の損失や損害を含みます) が含

まれるものと し、 それは、 たとえ当該損害や損失が合理的に予見可能であったり、 ザイ リ ンクスがそれらの可能性について助言を受けて

いた場合であったと しても同様です。 ザイ リ ンクスは、 本情報に含まれるいかなる誤り も訂正する義務を負わず、 本情報または製品仕様

のアップデート を貴殿または貴社に知らせる義務も負いません。 事前の書面による同意のない限り、 貴殿または貴社は本情報を再生産、

変更、 頒布、 ま たは公に展示し てはな り ません。 一定の製品は、 ザイ リ ン ク スの限定的保証の諸条件に従 う こ と と な るので、

http://www.xilinx.com/legal.htm#tos で見られるザイ リ ンクスの販売条件を参照して下さい。 IP コアは、ザイ リ ンクスが貴殿または貴社に付

与したライセンスに含まれる保証と補助的条件に従う こ とになり ます。 ザイ リ ンクスの製品は、 フェイルセーフと して、 または、 フェイ

ルセーフの動作を要求するアプリ ケーシ ョ ンに使用するために、設計されたり意図されたり していません。 そのよ う な重大なアプリ ケー

シ ョ ン に ザ イ リ ン ク ス の 製 品 を 使 用 す る 場 合 の リ ス ク と 責 任 は、 貴 殿 ま た は 貴 社 が 単 独 で 負 う も の で す。

http://japan.xilinx.com/legal.htm#tos で見られるザイ リ ンクスの販売条件を参照して下さい。

© Copyright 2015 Xilinx、 Xilinx のロゴ、 Artix、 ISE、 Kintex、 Spartan、 Virtex、 Vivado、 Zynq、 およびこの文書に含まれるその他の指定さ

れたブランドは、 米国およびその他各国のザイ リ ンクス社の商標です。 すべてのその他の商標は、 それぞれの保有者に帰属します。

この資料に関するフ ィードバッ クおよびリ ンクなどの問題につきましては、 [email protected] まで、 または各ページの右下

にある [フ ィードバッ ク送信] ボタンをク リ ッ クする と表示されるフォームからお知らせください。いただきましたご意見を参考に早急に

対応させていただきます。 なお、 このメール アドレスへのお問い合わせは受け付けており ません。 あらかじめご了承ください。