82
Vivado Design Suite ユーザー ガイド リリース ノート、 インストール およびライセンス UG973 (v2017.3) 2017 10 4 この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料に よっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきまし ては、必ず最新英語版をご参照ください。

Vivado Design Suite...Vivado Design Suite 2017.3 リリース ノート 5 UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com 第1 章 リリース ノート 2017.3 新機能 Vivado®

  • Upload
    others

  • View
    4

  • Download
    0

Embed Size (px)

Citation preview

Vivado Design Suite ユーザー ガイド

リ リース ノート、 インス トールおよびライセンス

UG973 (v2017.3) 2017 年 10 月 4 日

この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきましては、必ず最新英語版をご参照ください。

Vivado Design Suite 2017.3 リ リース ノート 2UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

改訂履歴

次の表に、 この文書の改訂履歴を示します。

日付 バージョ ン 改訂内容

2017 年 10 月 4 日 2017.3 2017.3 「新機能」 に 新情報を記載:

• 「デバイス サポート 」 をアップデート

• アクティベーシ ョ ン ライセンスのサポートに関する記述を第 5 章 「ラ イセンスの取

得および管理」 から削除

2017 年 6 月 22 日 2017.2 2017.2 「新機能」 に 新情報を記載:

• 「デバイス サポート 」 をアップデート

2017 年 6 月 7 日 2017.2 2017.2 「新機能」 に 新情報を記載:

• 「デバイス サポート 」 をアップデート

• 「Vivado 命名規則」 をアップデート

2017 年 4 月 20 日 2017.1 • 第 1 章 「デバイス サポート 」 に Virtex® UltraScale+™ XCVU3P を追加。

• 第 2 章 「推奨されるシステム メモ リ 」 の リ ンクを修正。

2017 年 4 月 5 日 2017.1 2017.1 「新機能」 に 新情報を記載:

• 「デバイス サポート 」 をアップデート

• 「Vivado 命名規則」 をアップデート

Vivado Design Suite 2017.3 リ リース ノート 3UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

目次

改訂履歴 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2

第 1 章: リ リース ノート 2017.3新機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5

重要な情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12

既知の問題 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13

第 2 章: アーキテクチャ サポートおよび要件オペレーティング システム . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14

アーキテクチャ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15

互換性のあるサードパーティ ツール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16

システム要件 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17

第 3 章: ダウンロードおよびインストールVivado Design Suite ツールのダウンロード . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19

Vivado Design Suite ツールのインス トール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20

ケーブル ド ラ イバーのインス トール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28

Windows ド ラ イバーのインス トール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28

ケーブル ド ラ イバーのアンインス トール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28

Linux ド ラ イバーのインス トール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29

Linux ド ラ イバーのアンインス トール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29

その他のツールおよびデバイスの追加 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29

ネッ ト ワーク インス トール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30

バッチ モード インス トール フロー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32

アップデートの取得 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34

Vivado Design Suite ツールのアンインス トール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36

第 4 章: WebTalkWebTalk への参加. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37

WebTalk インス トールのプリ ファレンス設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38

WebTalk ユーザー プリ ファレンスの設定 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39

WebTalk インス トールおよびユーザー プリ ファレンスの確認 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40

収集されるデータの種類 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40

データの送信 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41

第 5 章: ライセンスの取得および管理ラ イセンス概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42

証明書ベース ライセンスの生成/インス トール . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43

ユーザー マシンでのライセンスの管理 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48

ザイ リ ンクス製品ライセンス サイ トの使用 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50

Vivado Design Suite 2017.3 リ リース ノート 4UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 6 章: 以前のバージ ョ ンのリ リース ノートリ リース ノート 2017.2 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61

リ リース ノート 2017.1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63

付録 A: その他のリソースおよび法的通知ザイ リ ンクス リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80

ソ リ ューシ ョ ン センター . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80

Documentation Navigator およびデザイン ハブ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 80

ラ イセンスおよびエンド ユーザー ラ イセンス契約 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81

登録ゲス ト リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81

参考資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81

ト レーニング リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82

お読みください: 重要な法的通知 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82

Vivado Design Suite 2017.3 リ リース ノート 5UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 1 章

リ リース ノート 2017.3

新機能

Vivado® Design Suite 2017.3 には、 次のデバイス サポートおよび Vivado System Edition 製品が含まれています。

デバイス サポート

次のデバイスをプロダクシ ョ ンでサポート :

• Zynq® UltraScale+™ MPSoC:

° XCZU11EG (-1、 -2)

• Kintex® UltraScale+:

° XCKU11P (-1、 -2)

• XA UltraScale+ MPSoC:

° XAZU2EG および XAZU3EG (-1Q)

• Spartan®-7

° XC7S50 (-1Q)

• XA Spartan-7

° XA7S50 (-1Q)

この リ リースで追加されたデバイス :

• Zynq UltraScale+ MPSoC:

° XCZU4EV/CG/EG、 XCZU5EV/CG/EG、 XCZU7EV/CG/EG

• XA Zynq UltraScale+ MPSoC:

° XAZU4EV および XAZU5EV

• Spartan-7

° XC7S25

• Artix-7

° XC7A12T

Vivado Design Suite 2017.3 リ リース ノート 6UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 1 章: リ リース ノート 2017.3

Vivado System Edition ツール

全般

• インス トール

° インス トーラーで SDK をデフォルトでインス トール。

° SDK のインス トールでコンパイラ ツール チェーンを選択可能にし、 ディ スク容量を削減。

• ライセンス

° Flexera バージ ョ ンを 11.14.1 にアップグレード。

° アクティベーシ ョ ン ラ イセンスのサポート を削除。

注記: Flex ライセンス ユーティ リ ティのアップグレードが必要かど うかは、 「重要な情報」 を参照してください。

統合設計環境 (IDE)

• レポート

° フローの異なる段階 (synth_design、 place_design、 opt_design など) でレポート (タイ ミ ング、 DRC、 使用率

など) を生成可能。

° カスタム レポート ス ト ラテジを作成して簡単に再利用可能。

° バスのビッ トの到着時間を理解するための新しいバス スキュー レポート。

• テキス ト エディ ター

° 信号および定数の使用および宣言を検索できるよ うになり、 デザインのファイル間でのナビゲーシ ョ ンが

簡単に。

消費電力解析および Xilinx Power Estimator (XPE)

• XPE

° 環境を XDC と してエクスポート し、 Vivado を設定可能。

° GTY IP 設定のフル セッ ト とパワーダウン解析を追加。

• 消費電力レポート

° ユーザー定義の消費電力バジェッ トに対するマージンをレポート。

° IDE の回路図にスイ ッチング アクティビティを表示。

° 消費電力の GUI レポートに、 タイ ミ ング レポート と同様のレポートのアップデートが必要なこ とを示す黄

色のバナーを表示。

Vivado IP インテグレーターおよび IP フロー

• RTL をブロ ッ ク デザインに統合する機能を向上。

° カタログにほとんどの IP のサポート を追加。

° [Sources] ウ ィンド ウからキャンバスにド ラ ッグ アンド ド ロ ップできるよ うにするこ とによ り使いやすさを

向上。

Vivado Design Suite 2017.3 リ リース ノート 7UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 1 章: リ リース ノート 2017.3

• リ ビジ ョ ン制御の改善点

° write_bd_tcl コマンドを実行したと きに IP が存在するこ とをチェッ ク。

° write_project_tcl コマンドで、 プロジェク トにブロ ッ ク デザインが含まれる場合にブロ ッ ク デザイ

ンを含むスク リプ ト を生成。

• 認識ボードおよび設計アシスタンス

° VCU118 UltraScale+ ボードのハード 100GE サブシステムの認識および設計アシスタンスを追加。

° VCU118 UltraScale+ ボードのハード Interlaken サブシステム の認識および設計アシスタンスをサポート。

° CMAC UltraScale+ の IP インテグレーター サポートおよび設計アシスタンスを追加。

ボード フローおよびサンプル デザイン

• ボード サポート

° ZCU106 および VCU118 プロダクシ ョ ン リ ファレンス ボードのサポート を追加。

• FMC サポート

° FMCZCU102 および ZCU106 リ ファレンス ボードに FMC サポート を追加。

シミ ュレーシ ョ ン フローおよび検証 IP

• 新しいインク リ メンタル コンパイル機能によ り コンパイル時間を短縮。

• 検証 IP

° 検証 IP をコンパイル済み IP ライブラ リに追加。

° AXI4-Stream VIP のサポート をイネーブル。

Vivado シミ ュレータ

• AXI4-Stream VIP および MPSoC VIP をサポート

• SystemVerilog の改善点

° 詳細なプロセス制御をサポート

° キューの配列ロケーター メ ソ ッ ドをサポート

° ク ロ ッキング ブロ ッ クをサポート

° 並列ブロッ クをサポート

° 動的型変換およびビッ ト列型変換をサポート

° 同期化および通信メカニズムをサポートする組み込みク ラスをサポート

° 仮想インターフェイスをサポート

• VHDL-2008 の改善点

° 一致比較演算子、 単項論理演算子、 および 2 項演算子 (スカラーとベクターを混合) をサポート

Vivado Design Suite 2017.3 リ リース ノート 8UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 1 章: リ リース ノート 2017.3

RTL 合成

• 言語サポート

° 階層パス名をサポート (Verilog 2001 および SystemVerilog)。

° case 範囲をサポート (SystemVerilog)。

• 属性サポート

° ローカル リ タ イ ミ ングを制御する属性 (retiming_forward および retiming_backward) を追加。

° 推論:

- クワ ッ ド またはデュアル加減算を 1 つの DSP ブロ ッ クに挿入する SIMD (Single Instruction Multiple Data)

推論用の RTL 言語テンプレート を追加。

インプリ メンテーシ ョ ン

• ロジッ ク 適化

° SRL をレジスタに、 またはレジスタを SRL に変換。

° タイ ミ ングが激し く悪化しないよ う carry_remap 適化を改善。

° 階層境界を越えて処理できるよ う リマップ 適化を改善。

° 明らかに複製が必要な場合をスキップするよ う等価ド ライバーの統合を改善。

• 物理 適化

° PSIP (配置の物理合成) のパフォーマンスを向上。

° デザイン パフォーマンスは多少劣化するが実行時間を短縮する -directive オプシ ョ ンの新しい指示子

RuntimeOptimized を追加。

° 1 回の実行での 適化の適用範囲を向上し、 必要な phys_opt_design の実行回数を削減。

° 立ち下がりエッジで ト リガーされるレジスタを挿入して困難なホールド違反を修正する新しいオプシ ョ ン

を導入。

• 配置

° HLUTNM に配置による LUT の組み合わせを阻止する新しい予約プロパティ値 DISABLED を追加。

° CLOCK_LOW_FANOUT プロパティを適用するこ とによ り新しいファンアウ トの小さいクロ ッ ク配置アル

ゴ リズムを使用。

• 配線

° -tns_cleanup オプシ ョ ンが再配線で実行されるよ うになり、 TNS を反復的に削減するこ とが簡単。

• インク リ メンタル コンパイル

° 高再利用モード と低再利用モードの自動選択を向上。

° place_design および route_design でデザイン パフォーマンスは多少劣化するが実行時間を短縮する

-directive の Quick 指示子をサポート。

• デザイン解析レポート

° ロジッ ク レベル数の分布レポート : ロジッ ク レベル数ではなく配線済みネッ ト数でレポートするオプシ ョ

ン -routes、 ビンの範囲を制御するオプシ ョ ン -min_level/-max_level、 IDE でのクロスプローブを

向上。

Vivado Design Suite 2017.3 リ リース ノート 9UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 1 章: リ リース ノート 2017.3

• QoR 推奨事項レポート

° 推奨事項を追加し、 密集およびクロ ッ ク スキューが大きい場合の推奨事項を改善。

° ザイ リ ンクス IP の推奨事項をスキップ。

° 特定のチェッ クに関連するすべてのパスをレポートする新しいオプシ ョ ン -report_all_paths を追加。

スタテ ィ ッ ク タイ ミング解析

• バス スキュー レポート (report_bus_skew) を Vivado IDE で使用可能。

• CDC レポートで違反がオブジェク ト と して返され、 get_cdc_violations コマンドで取得するこ とが可能。

Vivado デバッグ

• ECO フローのデバッグ プローブを置換する機能 ([Replace Debug Probes] コマンド ) を改善し、特に ILA IP にパイ

プライン段が使用されている場合に物理合成の配置配線を使用して QoR (結果の品質) を向上。

• XVC リモート デバッグで MicroBlaze MDM (SDK でのデバッグ)、 JTAG フォールバッ クをサポート し、 パー

シャル リ コンフ ィギュレーシ ョ ン フローとの統合を向上。

• XVC を使用した PCIe を介したデバッグの使いやすさを向上。

° UltraScale および UltraScale+ の XDMA PCIe IP のサンプル デザインを生成可能。

° UltraScale Gen3 PCIe Integrated Block のサンプル デザインを生成可能。

Vivado デバイス プログラマ

• SmartLynq ケーブルをプロダクシ ョ ンで提供。 この新しいザイ リ ンクス ケーブルでは、 デバッグおよびプログ

ラムが高速に実行でき、 ホス トへのイーサネッ ト リモート接続が可能です。

階層デザイン フロー

• パーシャル リ コンフ ィギュレーシ ョ ン (PR) フローですべての UltraScale+ デバイスをプロダクシ ョ ン サポート。

• ベース AXI4-Stream コアの Tandem コンフ ィギュレーシ ョ ン フローですべての UltraScale+ デバイスをプロダク

シ ョ ン サポート。

° 6 つの UltraScale+ デバイスで XDMA/Bridge IP の Tandem コンフ ィギュレーシ ョ ンをサポート。

• プロジェク ト モードの PR でリ コンフ ィギャラブル モジュール内の IP を OOC モードで合成可能。

• PR Controller IP の新しいビッ ト ス ト リーム圧縮アルゴ リズムをイネーブル。

• サンプル デザインの改善点

° PR チュート リ アル (UG947) の演習 2、 3、 および 4 で UltraScale+ ボードをターゲッ ト。

° Tandem with Field Updates サンプル デザインにデバッグ コアを追加。

ザイリンクス パラメーター指定マクロ

• XPM FIFO: オプシ ョ ンのフラグ (Almost Full、 Almost Empty、 Read Data Valid) をサポート。

• XPM メモ リ : 読み出し幅と書き込み幅が異なる構成でバイ ト ライ ト イネーブルをサポート。

Vivado Design Suite 2017.3 リ リース ノート 10UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 1 章: リ リース ノート 2017.3

IP (Intellectual Property)

• エンベデッ ド IP

° 新しい Avalon to AXI Bridge IP。

° 新しい MCDMA IP をサポート。

° 新しい AXI Firewall IP で停止およびプロ ト コル違反を検出。

• イーサネッ ト IP

° 新しい USXGMII Subsystem。

- NBASE-T 規格の 10GBASE-R 10G GT のライン レート を 10M、 100M、 1G、 2.5G、 5G、 10G 間で切り

替え。

° 新しい 1G/10G Ethernet MAC/PCS で GT レート を 1G から 10G に切り替え。

° 新しい TSN Subsystem。

° 25GBASE-KR IP の新機能

- 10G/25G スイ ッチ可能モードで RS-FEC をイネーブル。

PS (Processing System) Configuration ウィザード

• DDR 設定の改善点

° 使いやすくするためインターフェイスを単純化。

° 3 つの DDR パーツ用のプリセッ ト 。

• DisplayPort Audio および Video で分数クロ ッ クをサポート し、 BOM コス ト を削減。

• PS リ ソースを利用するこ とによ り ファブリ ッ クの使用率を削減するためアドレス フラグメンテーシ ョ ンを改善。

ザイリンクス エンベデッ ド ソフ トウェアおよびツール

• SDK インフラス ト ラ クチャのアップデート

° R5 コンパイラを Linaro バージ ョ ン 6.2 にアップデート。

° Artix-7 および Spartan-7 デバイスをサポート。

° Vivado インス トーラーで SDK のインス トールをデフォルトでオン。

° SDK SmartLynq と共にインス トールされるコンパイラを選択可能。

• ケーブル サポート

° USB およびイーサネッ ト対応 JTAG ケーブル。

° Linux およびハイパーバイザー認識デバッグのパフォーマンスを向上。

° FPGA プログラムを高速化。

• OS/ハイパーバイザー認識デバッグ

Vivado Design Suite 2017.3 リ リース ノート 11UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 1 章: リ リース ノート 2017.3

• MicroBlaze

° CCIX インターフェイスのシステム キャ ッシュをサポート。

° IP インテグレーターに MicroBlaze プリセッ ト を追加。

° マイクロコン ト ローラー プリセッ ト 。

° リ アルタイム プロセッサ プリセッ ト 。

° アプリ ケーシ ョ ン プロセッサ プリセッ ト 。

° Mailbox IP にソフ ト ウェア リセッ ト機能を追加。

° MicroBlaze TMR のオプシ ョ ンと してロ ッ クステップをサポート

° SDx 用の MicroBlaze ベースのエンベデッ ド スケジューラ。

• ツール サポート

° インプ リ メン ト された リポジ ト リからハード ウェア プラ ッ ト フォームを抽出。

° A53 をターゲッ ト とする 32 ビッ ト BSP のハード浮動小数点。

° SDK フラ ッシュ プログラマで 新のフラ ッシュ デバイスをサポート。

• U-Boot

° U-Boot 2017.01 (2017.1 リ リース と同じ )

° SD3.0 をサポート (200 MHz)

° オンダイ ECC イネーブルの NAND フラ ッシュの UBIFS ファ イル。

• Linux

° Linux カーネル v4.9 (2017.1 リ リース と同じ )

° SD 3.0 をサポート (200 MHz)

° 10G/25G イーサネッ ト 1588 MCDMA ド ラ イバー

° USXGMII Linux データ プレーン ド ラ イバー (2017.4)

° MCDMA を使用する 1G/2.5G イーサネッ ト Linux ド ラ イバー

° Macb ド ラ イバーで VLAN および優先順位キューをサポート

° 新しいフラ ッシュ パーツをサポート

° QSPI N25Q00

° QSPI: MT25Q 128 Mb および 256 Mb

° パラレル NOR: MT28EW デバイス 128 Mb、 256 Mb

° QSPI: IS25LP256D

• Yocto

° Morty 2.2 (2017.1 リ リース と同じ )

° ザイ リ ンクス メ タ レイヤーを内部改善

• PetaLinux

° RHEL 6.x のサポート を中止。

° 複数の内部改善。

Vivado Design Suite 2017.3 リ リース ノート 12UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 1 章: リ リース ノート 2017.3

• Xen

° Wiki 資料を改善。

• OpenAMP

° LibMetal を使用した共有メモ リ領域の作成の資料およびデモ ソース コードを改善。

重要な情報

ライセンス

Vivado 2017.3 リ リースでは、 ライセンスに関して次の変更点があ り ます。

• Vivado 2017.3 から、 アクティベーシ ョ ン ライセンスはサポート されなくなっています。 既存のアクティベー

シ ョ ン ライセンスは、 japan.xilinx.com/getlicense からアクセス可能な証明書ベースのライセンスに置き換えられ

ています。

• ライセンス管理ツール Flexera のバージ ョ ンが 11.14.1 にアップグレード されています。 Vivado 2017.3 が、 Flex

ライセンス管理ツールで Solaris オペレーティング システムをサポートする 後のリ リースです。 Window およ

び Linux オペレーティング システムは、 引き続き Flex ラ イセンス管理ツールでサポート されます。

• フローティング ラ イセンスを使用しているユーザーは、 ライセンス ユーティ リ ティ を Flex 11.14.1 にアップグ

レードする必要があ り ます。 新しいライセンス ユーティ リ ティは、 japan.xilinx.com からダウンロードできます。

• Flex バージ ョ ン アップグレードは、 有効なライセンス ファ イルには影響しません。 既存のライセンス ファ イル

は、 ライセンス ユーティ リ ティ をアップグレード した後も問題なく機能します。

Vivado 命名規則

次は、 Vivado Design Suite を使用する際に必要な命名規則です。 これらの命名規則に従っていないと、 デザインまた

はツールで問題が発生する可能性があ り、 デザイン フローで予期しない動作が発生するこ とがあ り ます。

• ソース ファイル名は、 アルファベッ ト (A ~ Z、 a ~ z) で開始し、 英数字 (A ~ Z、 a ~ z、 0 ~9) およびアン

ダースコア ( _ ) のみを含めます。

• 出力ファイル名は、 アルファベッ ト (A ~ Z、 a ~ z) で開始し、 英数字 (A ~ Z、 a ~ z、 0 ~9) およびアンダース

コア ( _ ) のみを含めます。

• プロジェク ト ファ イル名は、 アルファベッ ト (A ~ Z、 a ~ z) で開始し、 英数字 (A ~ Z、 a ~ z、 0 ~9) および

アンダースコア ( _ ) のみを含めます。

• プロジェク ト ディレク ト リ名は、 アルファベッ ト (A ~ Z、 a ~ z) で開始し、 英数字 (A ~ Z、 a ~ z、 0 ~9)、

ティルデ (~)、 およびアンダースコア ( _ ) のみを含めます。

注意: Windows OS にはパス長に 260 文字の制限があ り、 これが Vivado ツールに影響するこ とがあ り ます。 この問題

を回避するには、 プロジェク ト を作成、 IP プロジェク ト または Manage IP プロジェク ト を定義、 ブロ ッ ク デザイン

を作成する際に、 名前およびディ レク ト リ名をできるだけ短く して ください。

Vivado Design Suite 2017.3 リ リース ノート 13UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 1 章: リ リース ノート 2017.3

プロジェク ト名、 ファ イル名、 またはディ レク ト リ名に次の文字を含めるこ とはできません。

• ! # $ % ^ & * ( ) ` ; < > ? , [ ] { } ' " |

• タブ (\t)

• リ ターン (\r)

• 復帰改行 (\n)

• / または \ (パス区切り文字と してではなく、 ディ レク ト リ またはファイル名の一部と して)

ディ レク ト リ名では、 次の文字も使用できません。

• . (端末文字と してのピ リオド )

ファ イル名またはプロジェク ト名には、 次の文字は使用できません。

• @

注記: Vivado IDE では、新しいファイルまたはプロジェク ト名に @ 文字はサポート されません。ディ スク上にある既

存のファイルの名前に @ 文字が使用されている場合は、 そのファイルをプロジェク トに追加するこ とは可能です。

プロジェク ト名に @ 文字が含まれるプロジェク ト を Vivado IDE で開く こ とは可能です。 Tcl コンソールでは、 名前

に @ 文字を含むプロジェク ト を作成できます。

重要: Windows オペレーティング システムでは、 ディ レク ト リ名およびファイル名にスペースを使用できますが、 プ

ロジェク ト またはファイルを Windows と Linux の両方で使用するこ とを考慮し、 スペースは使用しないよ うにして

ください。

Vivado Design Suite では、 Windows および Linux プラ ッ ト フォームの両方でパスの区切り文字と してフォワード ス

ラ ッシュ (/) がサポート されます。 バッ クスラ ッシュ (\) は、 Windows プラ ッ ト フォームでのみパスの区切り文字と し

て使用できます。

上記に明記されていないその他すべての文字は、 プロジェク ト 、 ファ イル、 またはディ レク ト リ名には使用できま

せん。

Vivado Design Suite 資料のアップデート

Vivado Design Suite 2017.3 では、 ツールのリ リース時にリ リースされない資料があ り ます。 DocNav で [Update

Catalog] ボタンをク リ ッ ク し、 2017.3 の 新の資料を確認して ください。

既知の問題

Vivado Design Suite の既知の問題は、 アンサー 68923 を参照してください。

Vivado Design Suite 2017.3 リ リース ノート 14UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 2 章

アーキテクチャ サポートおよび要件

オペレーティング システム

ザイ リ ンクスでサポート される OS は、 次の x86 および x86-64 プロセッサ アーキテクチャの OS です。

Microsoft Windows サポート

• Windows 7 SP1 Professional (64 ビッ ト )、 英語版/日本語版

• Windows 10 Professional Creators Update (64 ビッ ト )、 英語版/日本語版

Linux サポート

• Red Hat Enterprise Workstation/Server 7.2 および 7.3 (64 ビッ ト )

• Red Hat Enterprise Workstation 6.6、 6.7、 6.8、 および 6.9 (64 ビッ ト )

• SUSE Linux Enterprise 11.4 および 12.2 (64 ビッ ト )

• Cent OS 7.2 および 7.3 (64 ビッ ト )

• Cent OS 6.7、 6.8、 および 6.9 (64 ビッ ト )

• Ubuntu Linux 16.04.2 LTS (64 ビッ ト )

Vivado Design Suite 2017.3 リ リース ノート 15UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 2 章: アーキテクチャ サポートおよび要件

アーキテクチャ

次の表は、 Vivado Design Suite WebPACK™ ツールとその他すべての Vivado Design Suite エディシ ョ ンのコマーシャル

製品のアーキテクチャ サポート を リ ス ト しています。 コマーシャル製品以外では、 ザイ リ ンクス オートモーティブ

デバイスがすべて Vivado Design Suite WebPACK ツールで使用可能になればサポート されます。

表 2-1: アーキテクチャ サポート

Vivado WebPACK ツールVivado Design Suite(全エディシ ョ ン)

Zynq® デバイス Zynq-7000 AP SoC デバイス

• XC7Z010、 XC7Z015、 XC7Z020、

XC7Z030、 XC7Z007S、 XC7Z012S、XC7Z014S

Zynq-7000 AP SoC デバイス

• すべて

UltraScale™+ MPSoC UltraScale+ MPSoC

• XCZU2EG、 XCZU2CG、 XCZU3EG、

XCZU3CG

UltraScale+ MPSoC

• すべて

Virtex® FPGA Virtex-7 FPGA

• なし

Virtex UltraScale™ FPGA

• なし

Virtex-7 FPGA

• すべて

Virtex UltraScale FPGA

• すべて

Virtex UltraScale+ FPGA

• すべて

Kintex® FPGA Kintex-7 FPGA

• XC7K70T、 XC7K160T

Kintex UltraScale™ FPGA

• XCKU025、 XCKU035

Kintex UltraScale+ FPGA

• XCKU3PXCKU5P

Kintex-7 FPGA

• すべて

Kintex UltraScale FPGA

• すべて

Kintex UltraScale+ FPGA

• すべて

Artix® FPGA Artix-7 FPGA

• XC7A15T、 XC7A35T、 XC7A50T、

XC7A75T、 XC7A100T、 XC7A200T

Artix-7 FPGA

• すべて

Spartan®-7 Spartan-7

• XC7S50

Spartan-7

• すべて

Vivado Design Suite 2017.3 リ リース ノート 16UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 2 章: アーキテクチャ サポートおよび要件

互換性のあるサードパーティ ツール

重要: 表 2-2 にリ ス ト されている リ ビジ ョ ンは、 Vivado ツールで使用するために 低限必要なバージ ョ ンです。 以前

のバージ ョ ンはテス ト されていません。

表 2-2: 互換性のあるサードパーティ ツール

サードパーティ ツールRed Hat

LinuxRed Hat Linux-64

SUSE Linux

Windows-7/10 32 ビッ ト

Windows-7/10 64 ビッ ト

Ubuntu

シミ ュレーシ ョ ン

Mentor Graphic ModelSim

SE/DE/PE (10.6b)○ ○ ○ ○ ○ ×

Mentor Graphics Questa

Advanced Simulator(10.6b)○ ○ ○ ○ ○ ×

Cadence Incisive Enterprise

Simulator (IES) (15.20.028)○ ○ ○ × × ×

Synopsys VCS および VCS MX (M-2017.03-SP1)

○ ○ ○ × × ×

MathWorks MATLAB® および Simulink® with FixedPoint

Designer ((R2016a、 R2016b お

よび R2017a)

○ ○ ○ ○ ○ ○

Aldec Active-HDL (10.4a)(1) なし × × ○ ○ ×

Aldec Riviera-PRO (2017.02) ○ ○ ○ ○ ○ ×

合成(2)

Synopsys Synplify/Synplify Pro

(M-2017.03-SP1)(3) ○ ○ ○ ○ ○ ×

Mentor Graphics Precision

RTL/Plus (2016.1)○ ○ ○ ○ ○ ×

等価性チェ ック

Cadence Encounter Conformal

(9.1)(4) ○ ○ ○ × × ×

OneSpin 360 (2016_12) ○ ○ ○ × × ×

注記:1. Aldec シ ミ ュレータのサポートは Aldec 社よ り提供されています。

2. RTL ソースには暗号化されたファ イルが含まれる可能性があるので、 ほとんどの IP は Vivado 合成でのみ合成できます。 この IP をサード -パーティ合成フローで使用するには、 Vivado ツールから合成済みネッ ト リ ス ト を 適な形式でエクスポート して、

サードパーティ合成プロジェク トで使用できるよ うにします。

3. Synplify Overlay またはサービス パッ クの利用については、 Synopsys 社にお問い合わせください。

4. Cadence Encounter Conformal は、 Synopsys 社の Synplify を使用した場合にのみ RTL2Gate 用にサポート されます。

Vivado Design Suite 2017.3 リ リース ノート 17UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 2 章: アーキテクチャ サポートおよび要件

システム要件

このセクシ ョ ンでは、 システム メモ リ要件、 ケーブル インス トールおよびその他の要件および推奨事項について説

明します。

演習には、 MATLAB 2014a (またはそれ以降) および Vivado Design Suite 2014.2 (またはそれ以降) が必要です。

推奨されるシステム メモリ

Vivado Design Suite ツールのメモ リの推奨事項については、 システム メモ リ要件を参照してください。

OS と使用可能なメモリ

Microsoft Windows および Linux OS のアーキテクチャ場合、ザイ リ ンクスプ ログラムに使用できる メモ リの 大容量

に制限があ り、 大規模デバイスおよび複雑なデバイスを作成する際に問題となるこ とがあ り ます。 Vivado Design

Suite には 適化メモ リが含まれており、 ザイ リ ンクス ツール用に RAM を増加できるよ うになっています。

ケーブル インストール要件

ザイ リ ンクス デザイン ツールでターゲッ ト ハードウェアをプログラムおよびコンフ ィギュレーシ ョ ンするには、 ハ

イ パフォーマンスなケーブル、 Platform Cable USB II が必要です。

注記: デバッグまたはプログラムに使用されていた Xilinx Parallel Cable IV は、 サポート されなくなっています。

推奨: Platform Cable USB II をインス トールする場合は、 少なく と も USB 1.1 ポートが必要になり ます。 適なパ

フォーマンスには、 USB 2.0 ポートで Platform Cable USB II を使用するこ とをお勧めします。

ケーブルは、 Windows 7、 Windows 10、 Red Hat Linux Enterprise、 SUSE Linux Enterprise 12 などの OS の 64 ビッ ト バー

ジ ョ ンで公式にサポート されています。 その他の注意点は、 次を参照してください。

• ルート ディレク ト リへの権限が必要です。

• SUSE Linux Enterprise 11: Platform Cable USB II が正し く動作するためには、 fxload ソフ ト ウェアパ ッ ケージが必

要です。 fxload パッケージは SUSE Linux Enterprise 11 には自動的にインス トールされないので、 そのユーザー

またはシステム管理者がインス トールしておく必要があ り ます。

ザイ リ ンクス ケーブルに関するその他の情報は、 次の資料を参照して ください。

• 『Platform Cable USB II データシート 』 (DS593) [参照 13]

Vivado Design Suite 2017.3 リ リース ノート 18UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 2 章: アーキテクチャ サポートおよび要件

装置とアクセス権

次の表は、 関連装置、 アクセス権、 ネッ ト ワーク接続の条件を示しています。

表 2-3: 装置とアクセス権の要件

注記: Exceed、 ReflectionX、 XWin32 のよ うな X サーバー / リモート デスク ト ップ サーバーはサポート されません。

ネッ トワーク時刻の同期化

ソフ ト ウェアがインス トールされたコンピュータ とは別のネッ ト ワーク コンピュータにユーザーのデザイン ファ イ

ルを保存する場合、 どちらのコンピュータも同時刻に設定する必要があ り ます。 ソフ ト ウェアのファンクシ ョ ンを

適にするため、 時刻は定期的に合わせてください。

項目 要件

ディ レク ト リのアクセス権 編集するデザインフ ァイルが含まれるディレク ト リすべての書き込み権が必要です。

モニター 解像度 1024x768 ピクセル以上の 16 ビッ ト カ ラー VGA をお勧めします。

ポート デバイスのプログラムには、 ザイ リ ンクス プログラム ケーブル用にパラレル ポー

ト、 USB ポートのいずれかが必要です。 ポートの仕様については、 ケーブルのマ

ニュアルを参照してください。

注記: ケーブル ド ラ イバー ソフ ト ウェアのインス トールには、 Windows-10 が必要で

す。 これらの OS のいずれかを使用していない場合、 ケーブルが正し く動作しない

こ とがあ り ます。

Vivado Design Suite 2017.3 リ リース ノート 19UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 3 章

ダウンロードおよびインストールこの章では、 Vivado® Integrated Design Environment (IDE)、 Vivado HLS および System Generator for DSP などを含む

Vivado Design Suite のダウンロードおよびインス トール方法について説明します。

Vivado Design Suite ツールのダウンロード

ザイ リ ンクス デザイン ツールには、 複数のダウンロードおよびインス トール方法があ り ます。

Vivado Lab Edition では、 ラボ設定でデバイスをプログラムおよびデバッグする専用の効率的な環境が提供されるよ

うになっています。

ヒン ト : Vivado Lab Edition にはライセンスは必要あ り ません。

Vivado のフル エディシ ョ ンのいずれかをインス トールする場合、 次の 3 つの選択肢があ り ます。

Vivado Design Suite - HLx エディシ ョ ン

• [WebPack and Editions]: Windows 用のウェブ インス トーラー

• [WebPack and Editions]: Linux 用のウェブ インス トーラー

• [All OS Single File Download]: すべての OS 用のファイルを 1 つのファイルでダウンロード

すべてのエディシ ョ ンおよびダウンロード オプシ ョ ンについては、 ザイ リ ンクス ウェブサイ ト (ダウンロード ) を参

照してください。

ダウンロード前に、 次を設定しておいてください。

• https://www.o-ms.com/ からのポップアップを許可する

• 保護項目もそ うでない項目も同じページに表示されるよ うにセキュ リ ティ設定をする

Vivado Design Suite のフル エディシ ョ ンをダウンロードするには、 次を実行します。

1. 上記のダウンロード サイ トで [Vivado] タブをク リ ッ ク します。

2. 左側からダウンロードするツールのバージ ョ ンをク リ ッ ク します。

3. ダウンロードするインス トーラーのリ ンクをク リ ッ ク します。

Vivado Lab Edition ツールをダウンロードするには、[Vivado] タブで 2016.4 以降のバージ ョ ンをク リ ッ ク して、Vivado

Lab Edition に関するファイルをダウンロード します。

注記: Lab Edition インス トーラーは、 32 ビッ ト または 64 ビッ ト マシンで実行できます。 フル エディシ ョ ン インス

トーラーは、 64 ビッ ト マシンでのみ実行できます。

Vivado Design Suite 2017.3 リ リース ノート 20UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 3 章: ダウンロードおよびインストール

Vivado Design Suite ツールのインストール

このセクシ ョ ンでは、 すべての OS に共通する Vivado Design Suite のインス トール方法を示します。

インストールの準備

重要: インス トールを開始する前に、 次を実行しておきます。

• システムまたは製品オプシ ョ ンに伴う インス トールの問題については、 「重要な情報」 を参照してください。

• システムが第 2 章 「アーキテクチャ サポートおよび要件」 に示されている必要条件を満たしているかど うか確

認します。

• インス トール時間を削減するため、 アンチウイルス ソフ ト ウェアをオフにします。

• インス トールを開始する前に、 すべてのプログラムを終了します。

• Vivado Design Suite のインス トーラーは、 Windows では XILINX のよ うなグローバル環境変数を設定しません。

シングル ファイル ダウンロード、 Lab Edition または DVDシングル ファ イル ダウンロード (全 OS 用) または Lab Edition をインス トールするには、リ ンクをク リ ッ ク して [実行

] をク リ ッ クするか、 [保存] でダウンロード したファ イルを解凍して、 xsetup (Linux の場合) または xsetup.exe

(Windows の場合) を実行します。 フル エディシ ョ ン製品のみを含む DVD を受け取った場合は、 xsetup(.exe) を直接ク

リ ッ ク します。

推奨: ダウンロード した tar.gz ファ イルを解凍するには、 7-zip または WinZip (v.15.0 以降) の使用をお勧めします。

Vivado Design Suite 2017.3 リ リース ノート 21UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 3 章: ダウンロードおよびインストール

インス トーラーのダウンロード

インス トーラーのリ ンクをク リ ッ ク し、 ザイ リ ンクスのアカウン トにサインインして、 ダウンロード センター (米国

政府輸出承認) にアカウン ト情報を入力して、 [次へ] をク リ ッ ク します。 [実行] をク リ ッ ク してインス トーラーを実

行します (または、 [保存] をク リ ッ ク してファ イルを任意のディ レク ト リに保存してから、 実行します)。 Welcome 画

面で [Next] をク リ ッ ク します。

インス トール タイプの選択画面が表示されたら、 通常のザイ リ ンクス ログイン ID を使用してログインします。

ログイン ID を入れたら、 従来のウェブベースのインス トールか、 フル インス トール イ メージのダウンロードかを

選択できます。

• [Download and Install Now] を選択する と、 次の画面で特定のツールおよびデバイス ファ ミ リ を選択でき、 その

選択に関連するファイルのみがダウンロード され、 インス トールされます。

• [Download Full Image] を選択した場合は、 ダウンロード ディレク ト リ をユーザーが指定して、 Windows のみか

Linux のみか、 両方のオペレーティング システムをサポートするインス トールかを選択する必要があ り ます。

[Download Full Image] を選択した場合は、 これ以上オプシ ョ ンを選択する必要はなく、 ダウンロード ディ レク

ト リから xsetup アプリ ケーシ ョ ンを実行して別々にインス トールを実行する必要があ り ます。

注記: Lab Edition の場合は、 Lan Edition 用の単一のイ メージ ファ イルをダウンロード して ください。

X-Ref Target - Figure 3-1

図 3-1: Vivado Design Suite のインストール - インストール ソースの選択

Vivado Design Suite 2017.3 リ リース ノート 22UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 3 章: ダウンロードおよびインストール

インターネッ ト接続

インス トーラーは、 Windows のシステム プロキシ設定を使用してインターネッ トに接続します。 接続には、 [コン ト

ロール パネル] → [Internet Options] での設定が使用されます。 Linux ユーザーの場合は、 Firefox ブラウザーのプロキ

シ設定が接続に使用されます。

接続に問題がある場合は、 次を確認してください。

1. 別のプロキシ設定を使用する場合は、 [Manual Proxy Configuration] オプシ ョ ンで設定を指定します。

2. 会社のファイアウォールにユーザー名とパスワードを使用したプロキシ認証が必要かど うかを確認してくださ

い。 必要であれば、 上記のダイアログ ボッ クスで [Manual Proxy Configuration] で設定します。

3. Linux ユーザーが Firefox ブラウザーで [Use system settings] または [Auto-detect settings] のいずれかを選択した場

合は、 インス トーラーでプロキシを手動で設定する必要があ り ます。

X-Ref Target - Figure 3-2

図 3-2: Vivado Design Suite のインストール - 接続

X-Ref Target - Figure 3-3

図 3-3: Vivado Design Suite のインストールー プロキシ設定の変更

Vivado Design Suite 2017.3 リ リース ノート 23UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 3 章: ダウンロードおよびインストール

使用許諾契約

インス トールを続行する前に、 使用許諾契約をお読みください。 契約条件を許諾できない場合は、 インス トールを

キャンセルしてザイ リ ンクスまでお問い合わせください。

X-Ref Target - Figure 3-4

図 3-4: Vivado Design Suite のインストール - 使用許諾契約

Vivado Design Suite 2017.3 リ リース ノート 24UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 3 章: ダウンロードおよびインストール

エディシ ョ ンの選択

必要なエディシ ョ ンまたはスタンドアロン ツールを選択します。ザイ リ ンクス ソフ ト ウェア開発キッ ト (XSDK) は、

Vivado のエディシ ョ ン (WebPACK、 System、 Design) の一部と してインス トールするこ と もできます。

Vivado の WebPACK および Design Edition は、 インス トール後に System Edition にアップグレードするこ と もできま

す。 詳細は、 29 ページの 「その他のツールおよびデバイスの追加」 を参照してください。

X-Ref Target - Figure 3-5

図 3-5: Vivado Design Suite のインストール - 製品の選択

Vivado Design Suite 2017.3 リ リース ノート 25UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 3 章: ダウンロードおよびインストール

ツール、 デバイス、 オプシ ョ ン

デザイン ツール、 デバイス ファ ミ リおよびインス トール オプシ ョ ンを選択してインス トールをカスタマイズしま

す。 必要なものだけを選択するこ とで、 製品のダウンロードおよびインス トールにかかる時間を削減できます。 後

から追加するには、OS の [スタート ] メニューか Vivado の [Help] メニューのいずれかで [Add Design Tools or Devices]

をク リ ッ ク します。

X-Ref Target - Figure 3-6

図 3-6: Vivado Design Suite のインストール ー Vivado System Edition

Vivado Design Suite 2017.3 リ リース ノート 26UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 3 章: ダウンロードおよびインストール

シ ョート カッ トおよびファイルの関連付け

プログラム グループ入力 ([スタート ] メニュー ) とデスク ト ップ シ ョート カッ トの作成はカスタマイズできます。 オ

プシ ョ ンで、 この Vivado バージ ョ ンを使用して Vivado プロジェク ト ファ イルを開始するためのファイルの関連付け

を作成するこ と もできます。 シ ョート カッ ト作成およびファイルの関連付けオプシ ョ ンは、 現在のユーザーまたは

すべてのユーザーに適用できます。

サマリの確認

後にサマリが表示されます。 確認して、 [Install] をク リ ッ クする と、 インス トールが開始します。

その他の画面

次の画面が表示されたら、 Xilinx Platform Cable USB や Evaluation Platform JTAG ケーブルなどが接続されている場合は、 それをはずして、 [OK] をク リ ッ ク します。

X-Ref Target - Figure 3-7

図 3-7: Vivado Design Suite のインストール - ディレク ト リの選択

X-Ref Target - Figure 3-8

図 3-8 : ケーブルの接続解除

Vivado Design Suite 2017.3 リ リース ノート 27UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 3 章: ダウンロードおよびインストール

WinPcap のインス トールが必要な場合は、 インス トーラーが起動されます。 [Next] をク リ ッ ク して、 [I Agree] をクリ ッ ク してインス トールをしてください。

WinPcap が既にインス トールされている場合は、 次の画面が表示されます。 インス トールし直す場合は [OK] を、 必要ない場合は [Cancel] をク リ ッ ク します。

MATLAB が認識されなかった場合は次が表示されるので、 MATLAB を使用する場合は [Find MATLAB] をク リ ッ クしてインス トール ディレク ト リ を指定します。 使用しない場合は、 [OK] をク リ ッ ク します。

X-Ref Target - Figure 3-9

図 3-9 : WinPcap のインストール ウィザード

X-Ref Target - Figure 3-10

図 3-10: WinPcap がインストールされていることを示す画面

X-Ref Target - Figure 3-11

図 3-11 : MATLAB インス トール ディ レク ト リの選択

Vivado Design Suite 2017.3 リ リース ノート 28UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 3 章: ダウンロードおよびインストール

次の画面が表示されたら、 インス トールは終了です。

ケーブル ド ライバーのインストール

Windows の場合、 インス トーラーに [Install Cable Drivers] がオプシ ョ ンで表示されます。

Linux の場合、 ド ライバーのインス トールにルート または sudo アクセスが必要なので、 Vivado 2015.4 の Linux インス

トーラーからは削除されています。 通常の Vivado インス トーラーは、 ルート または sudo 権限なしに Linux で実行で

きるよ うになり ました。 Linux にケーブル ド ラ イバーをインス トールするには、 ルート または sudo ポス ト インス

トールと して実行する必要のあるスク リプ ト を使用できます。

スク リプ トのディ レク ト リ : <Vivado Install Dir>/data/xicom/cable_drivers/lin64/install_script/install_drivers/

スク リプ ト名: install_drivers

Windows ド ライバーのインストール

管理者と してコマンド プロンプ ト を開き ([スタート ] → [すべてのプログラム] → [アクセサリ ] → [コマンド プロンプ

ト ] を右ク リ ッ ク → [管理者と して実行])、 次のコマンドを入力します。 %VIVADO_INSTALL_DIR% はインス トール

ディ レク ト リに、 %log_dir% はログ ディ レク ト リに置き換えてください。 %log_dir% を指定しない場合、

install_drivers_wrapper.log という名前のファイルが %VIVADO_INSTALL_DIR% ディレク ト リの下に保存されます。

cd %VIVADO_INSTALL_DIR%\data\xicom\cable_drivers\nt64install_drivers_wrapper.bat %log_dir% %log_dir%

ケーブル ド ライバーのアンインストール

Windows でケーブル ド ラ イバーをアンインス トールするには、 管理者と してコマンド プロンプ ト を開いて、 次のコ

マンドを入力します。 %VIVADO_INSTALL_DIR% はインス トール ディレク ト リに置き換えてください。

cd %VIVADO_INSTALL_DIR%\data\xicom\cable_drivers\nt64wdreg -inf %cd%\xusbdrvr.inf uninstallnet stop XilinxPC4Driverdel %WINDIR%\system32\drivers\windrvr6.sysdel %WINDIR%\system32\drivers\xusb*.sysdel %WINDIR%\system32\drivers\xpc4drvr.sys

X-Ref Target - Figure 3-12

図 3-12 : インストール終了メ ッセージ

Vivado Design Suite 2017.3 リ リース ノート 29UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 3 章: ダウンロードおよびインストール

Linux ド ライバーのインストール

Linux の場合、 ド ライバーのインス トールにルート または sudo アクセスが必要なので、 Vivado 2015.4 以降の Linux イ

ンス トーラーから削除されるよ うになり ました。 通常の Vivado インス トーラーは、 ルート または sudo 権限なしに

Linux で実行できるよ うにな り ました。 Linux にケーブル ド ラ イバーをインス トールするには、 ルート または sudo ポ

ス ト インス トールと して実行する必要のあるスク リプ ト を使用できます。

これらのコマンドをルート と して実行します。 ${vivado_install_dir} は Vivado インス トール ディレク ト リに

置き換えてください。

${vivado_install_dir}/data/xicom/cable_drivers/lin64/install_script/install_drivers/install_drivers

Linux ド ライバーのアンインストール

これらのコマンドをルート と して実行します。

rm -f /etc/udev/rules.d/52-xilinx-digilent-usb.rulesrm -f /etc/udev/rules.d/52-xilinx-ftdi-usb.rulesrm -f /etc/udev/rules.d/52-xilinx-pcusb.rules

その他のツールおよびデバイスの追加

インス トール後にその他のツール、 デバイスを後から追加したり、 Vivado エディシ ョ ンをアップグレードするこ と

もできます。 これは、 デバイスおよびツールの一部だけをインス トールするよ うに選択した場合に便利です。

新しいツールまたはデバイスを追加するには、 次をク リ ッ ク します。

• [スタート ] → [Xilinx Design Tools] → [Vivado <version>] → [Add Design Tools or Devices]

• Vivado で [Help] → [Add Design Tools or Devices]

Vivado の WebPACK または Design Edition をインス トールした場合は、 エディシ ョ ンをアップグレードするオプシ ョ

ンも選択できます。

Vivado Design Suite 2017.3 リ リース ノート 30UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 3 章: ダウンロードおよびインストール

上記の選択に基づいて、 現在のインス トールに追加可能なツールおよびデバイスすべてが表示されます。

Xilinx Information Center (XIC) からツールまたはデバイスを追加するこ と もできます。 このフローを使用する場合は、

「アップデートの取得」 を参照してください。

ネッ トワーク インストール

ネッ ト ワーク上にソフ ト ウェアをインス トールする と、 そのネッ ト ワーク ド ラ イブのソフ ト ウェアに複数のク ライ

アン ト マシンからアクセスできます。 ネッ ト ワーク上のソフ ト ウェアを使用する場合、 環境変数、 レジス ト リ、 プ

ログラム グループなどすべてにネッ ト ワークのディレク ト リが指定されている必要があ り ます。 次のセクシ ョ ンで

は、 ネッ ト ワークを設定する手順について説明します。

Linux クライアン ト

ソフ ト ウェアがインス トールされたディ レク ト リの settings32.(c)sh または settings64.(c)sh (使用してい

るシェルによって異なる ) を source コマンドで実行します。 これによ り、 環境がこのインス トール ディ レク ト リ を指

定するよ うに設定されます。

ネッ ト ワーク上のインス トール ディレク ト リからデザイン ツールを実行するには、 X Windows Display Manager を起

動し、 DISPLAY 環境変数を設定する必要があ り ます。 ディ スプレイの名前に DISPLAY と定義します。 DISPLAY は

X-Ref Target - Figure 3-13

図 3-13: Vivado Design Suite のインストール - エディシ ョ ンの選択

Vivado Design Suite 2017.3 リ リース ノート 31UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 3 章: ダウンロードおよびインストール

通常 unix:0.0 にします。 たとえば、 次の構文は bigben という ホス ト上のツールを実行し、 mynode という ク ライア

ン ト マシンのモニターにグラフ ィ ッ クを表示します。

setenv DISPLAY mynode:0.0 xhost = bigbenPC Clients

Microsoft Windows クライアン ト

1. デザイン ツールを PC ネッ ト ワーク サーバーにインス トールします。 この際、 ユーザーがデザイン ツールのイ

ンス トール ディレク ト リの場所を知っているこ と、 またユーザーにそのディ レク ト リへのアクセス権があるこ

とを確認してください。

2. ローカル ク ライアン ト マシンから、 ネッ ト ワーク上の

network_install_location\.xinstall\Vivado_<version> ディ レク ト リにある

networkShortcutSetup.exe ファ イルを実行します。

このプログラムを実行する と、 Windows 設定のバッチ ファ イルとプログラム グループまたはデスク ト ップ

シ ョート カッ トが設定され、 リモート ディレク ト リからザイ リ ンクス ツールが実行されます。

3. ローカル マシンから Vivado Design Suite ツールを起動するには、[スタート ] → [プログラム] から該当するツール

を選択するか、 デスク ト ップのシ ョート カッ ト をダブルク リ ッ ク します。

割り当て済みネッ トワーク ド ライブへのインストール

ザイ リ ンクス デザイン ツールは、ルート ディレク ト リの下のフォルダー (C: \Xilinx) にインス トールされるよ う

に設定されています。 ローカル ド ラ イブにインス トールする場合は、 通常インス トーラーでこのディ レク ト リに

なっています。

この問題を回避するには、 UNC パス (たとえば \\network_loc\Xilinx\) を指定するか、 ネッ ト ワーク ディ レク

ト リの下にインス トール ディレク ト リ \Xilinx を作成します (例: N:\Xilinx)。

Windows 7 のデフォルトのセキュ リティ レベルのままでは、 割り当てたネッ ト ワーク ド ラ イブを選択するこ とがで

きません。 ザイ リ ンクス デザイン ツールを割り当てたネッ ト ワーク ド ラ イブにインス トールするには、 次の手順で

アカウン ト制御設定を変更する必要があ り ます。

1. Windows の [スタート ] メニューからコン ト ロール パネルを開き、 [ユーザー アカウン ト ] をク リ ッ ク します。 コ

ン ト ロール パネルがカテゴ リ表示になっている場合は、 [ユーザー アカウン ト ] を 2 画面続けてク リ ッ ク します。

2. [ユーザー アカウン ト制御設定の変更] をク リ ッ ク し、 変更できるよ うにします。

3. スライダーの位置を次の図のよ うに下から 2 つ目に移動します。

4. [OK] をク リ ッ ク します。

Vivado Design Suite 2017.3 リ リース ノート 32UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 3 章: ダウンロードおよびインストール

推奨: インス トール後は、同様の方法でユーザー アカウン トの設定を設定前の状態に戻しておく こ とをお勧めします。

注記: ザイ リ ンクス インス トーラーを使用してネッ ト ワーク ド ラ イブを指定するこ とはできません。 この場合、 割

り当てられたネッ ト ワーク ド ラ イブを含むインス トール パスを手動で入力する必要があ り ます。

バッチ モード インストール フロー

Vivado 2015.1 から、 インス トーラーがバッチ プロセス と して実行できるよ うにな り ました。 標準的なエディシ ョ ン

を実行するには、 インス トール ディレク ト リ を指定するか、 インス トーラーにインス トール ディ レク ト リ と、 どの

ツール、 デバイス、 オプシ ョ ンをインス トールするのか伝えるコンフ ィギュレーシ ョ ン ファ イルを取得している必

要があ り ます。

インス トーラーには、 よ く使用されるコンフ ィギュレーシ ョ ンに基づいて リ ファレンス オプシ ョ ン ファ イルを生成

できるモードがあるので、 インス トールをさ らに編集してカスタマイズできます。

推奨: このリ ファレンスは各四半期リ リースごとに生成して、 新しいデバイス、 オプシ ョ ンまたはその他の変更点が

ユーザーのオプシ ョ ン ファ イルに含まれるよ うにするこ とをお勧めします。

バッチ モードの使用を開始するには、 まずコマンド シェルを開いて、 抽出したインス トーラーを保存したディ レク

ト リに移動します。

注記: Windows の場合、 管理者権限でコマンド ウ ィンド ウを開き、 次のオプシ ョ ンを使用した xsetup.exe ではなく、

\bin ディレク ト リの xsetup.bat ファ イルを実行します。

X-Ref Target - Figure 3-14

図 3-14: Vivado Design Suite のインストールー ユーザー アカウン ト制御設定

Vivado Design Suite 2017.3 リ リース ノート 33UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 3 章: ダウンロードおよびインストール

コンフ ィギュレーシ ョ ン ファイルの生成

xsetup -b ConfigGen を実行します。

これで次のメニューが表示されるインタラ クティブ モードにな り ます。 次にリ ス ト されるエディシ ョ ンから選択し

てください。

1. Vivado HL WebPACK

2. Vivado HL Design Edition

3. Vivado HL System Edition

4. Documentation Navigator (スタンドアロン)

エディシ ョ ンを選択する と、 コンフ ィギュレーシ ョ ン ファ イルのディ レク ト リ と ファ イル名が表示され、 インタラ

クティブ モードが終了します。

次は、 WebPACK コンフ ィギュレーシ ョ ン ファ イルの例です。

#### Vivado WebPACK Install Configuration ####Edition=Vivado WebPACKDestination=C:\XilinxModules=Vivado:1,Vivado High Level Synthesis:0,Software Development Kit:0,DocNav:0,Artix-7,Kintex-7,Zynq-7000:1#### Shortcut creation ####CreateProgramGroupShortcuts=1CreateShortcutsForAllUsers=0ProgramGroupFolder=Xilinx Design ToolsCreateDesktopShortcuts=1CreateFileAssociation=1#### Post install tasks ###### Post install tasks can be configured as shown below.InstallOptions=Configure WebTalk:1,Install and Initialize Trusted Storage Licensing:1,Generating installed device list:1,Install VC++ runtime libraries for 64-bit OS:1,Install Cable Drivers:0,Acquire or Manage a License Key:0,run:xic:1

基本的には、 コンフ ィギュレーシ ョ ン ファ イルの各オプシ ョ ンは GUI のオプシ ョ ンと同じで、 値 1 はそのオプシ ョ

ンが選択されているこ とを、 値 0 はそのオプシ ョ ンが選択されていないこ とを示します。

インストーラーの実行

こ こまででユーザーのインス トール プリ ファレンスを反映するコンフ ィギュレーシ ョ ン ファ イルを編集したので、

次はインス トーラーを実行します。 インス トーラー コマンド ラインの一部と して、 ザイ リ ンクスおよびサードパー

ティの使用許諾契約を承認し、 WebTalk の契約条件を理解しているこ とを示す必要があ り ます。

Vivado Design Suite 2017.3 リ リース ノート 34UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 3 章: ダウンロードおよびインストール

ザイリンクス エンドユーザー使用許諾契約 (EULA)

• ザイ リ ンクス エンドユーザー使用許諾契約

サードパーティ エンドユーザー使用許諾契約 (EULA)

• サードパーティ エンドユーザー使用許諾契約

WebTalk 契約条件

[I agree] をク リ ッ クするこ とで、 上記の WebTalk に関する契約条件のセクシ ョ ン 13 を読み、 ザイ リ ンクス デザ

イン ツール WebTalk の WebTalk FAQ を読む機会が与えられたこ とを確認します。セクシ ョ ン 13(c) に記述される

特定の条件が当てはまる場合は、 WebTalk をオフにできるこ とを理解します。 条件が該当しない場合は、 ソフ ト

ウェアをアンインス トールするか、 インターネッ トに接続されていないマシンでソフ ト ウェアを使用するこ と

で、 WebTalk をディ スエーブルにできます。 該当条件を満たすこ とができない場合、 またはこのよ うな情報の伝

達を回避するための適切な手順を踏めない場合は、 セクシ ョ ン 13(b) に記述された目的でセクシ ョ ン 13(a) で記

述された情報をザイ リ ンクスが収集するこ とに同意します。

上記のそれぞれの項目の同意を示すには、 コマンド ライン オプシ ョ ンの -a または --agree を使用します。上記の

1 つがリ ス トに含まれない場合、 または agree オプシ ョ ンが指定されない場合、 エラー メ ッセージが表示されてイン

ス トーラーが停止して、 インス トールができません。

コマンド ライン例

次は、 コンフ ィギュレーシ ョ ン ファイルを使用した典型的な新しいインス トールを実行するコマンド ライン例です。

xsetup --agree XilinxEULA,3rdPartyEULA,WebTalkTerms --batch Install --config install_config.txt

ザイ リ ンクスのデフォルト エディシ ョ ン コンフ ィギュレーシ ョ ンの 1 つを使用する場合、 --config オプシ ョ ンを

指定する必要はあ り ませんが、 ディ スティネーシ ョ ン ディレク ト リはコンフ ィギュレーシ ョ ン ファ イルに含まれる

ので、 これをコマンド ラ インで指定する必要があ り ます。

xsetup --agree 3rdPartyEULA,WebTalkTerms,XilinxEULA --batch Install --edition "Vivado System Edition" --location "C:\Xilinx"

上記のコマンドでは、 指定したエディシ ョ ンのデフォルト コンフ ィギュレーシ ョ ン オプシ ョ ンを使用します。 デ

フォルト コンフ ィギュレーシ ョ ン オプシ ョ ンを確認するには、 上記に示すよ うに –b ConfigGen モードを使用しま

す。 Vivado インス トーラーのバッチ モードは、 アンインス トールとアップグレード (後からツールおよびデバイス

を追加) も実行できます。 インス トーラーのバッチ モードのオプシ ョ ンをすべて確認するには、 xsetup -h または

xsetup --help を実行します。

アップデートの取得

ザイ リ ンクスでは、 四半期ベースで Vivado Design Suite ツールのアップデート バージ ョ ンを リ リースする予定です。

アップデートには、 デバイス サポートのアップデート、 新機能、 バグ修正などが含まれます。 次のセクシ ョ ンでは、

ザイ リ ンクス情報センターから ソフ ト ウェア アップデート を入手する方法について説明します。

Vivado Design Suite 2017.3 リ リース ノート 35UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 3 章: ダウンロードおよびインストール

Xilinx Information Center

Xilinx Information Center (XIC) は、 XilinxNotify に代わる次世代の機能で、 タスクバー (Windows の場合) にあ り、 ザイ

リ ンクスからの新リ リースおよびアップデートが定期的にチェッ ク されます。 通知を表示したり解除したり、 アッ

プデート をインス トールしたりできます。

また、 XIC からすべてのザイ リ ンクス ツールおよびインス トールをユーザーが管理できるよ うになり ました。

図 3-15 に示す [Manage Installs] タブからはライセンスをアップデート したり、 確認したり、 すべてをアンインス トー

ルしたりできます。

X-Ref Target - Figure 3-15

図 3-15: Xilinx Information Center (XIC)

Vivado Design Suite 2017.3 リ リース ノート 36UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 3 章: ダウンロードおよびインストール

Vivado Design Suite ツールのアンインストール

アンインス トールする場合、 ザイ リ ンクス インス トール ディ レク ト リからプロジェク ト ファ イルを移動しておかな

いと、 削除されてしまいます。

注記: Xilinx Documentation Navigator は、 アンインス トール中に削除されません。 これは、 複数バージ ョ ンのザイ リ

ンクス ツールで共通のスタンドアロン アプリ ケーシ ョ ンです。 必要でなければ、 別途アンインス トールする必要が

あ り ます。

アンインストール

アンインス トールする場合、 ザイ リ ンクス インス トール ディ レク ト リからプロジェク ト ファ イルを移動しておかな

いと、 削除されてしまいます。 Documentation Navigator および Xilinx Information Center のアンインス トールに関する

情報については、 次を参照してください。

Documentation Navigator のアンインストール

Xilinx Documentation Navigator は、 アンインス トール中に削除されません。 これは、 複数バージ ョ ンのザイ リ ンクス

ツールで共通のスタンドアロン アプリ ケーシ ョ ンです。 必要なくなった場合は、 [スタート ] メニューのプログラム

グループの [Uninstall DocNav]、 またはコン ト ロール パネルの [プログラムと機能] から別にアンインス トールする必

要があ り ます。

Xilinx Information Center のアンインストール

Xilinx Information Center は、 アンインス トール中に削除されません。 これは、 複数バージ ョ ンのザイ リ ンクス ツー

ルで共通のスタンドアロン アプリ ケーシ ョ ンです。 必要なくなった場合は、 コン ト ロール パネルの [プログラムと

機能] から別にアンインス トールする必要があ り ます。

Microsoft Windows でのアンインストール

ザイ リ ンクス製品をアンインス トールするには、 [スタート ] メニューからその製品の [Uninstall] メニューをク リ ッ ク

します。 Vivado Design Suite をアンインス トールするには、 [スタート ] → [すべてのプログラム] → [Xilinx Design

Tools] → [Vivado 2017.3] → [Uninstall] をク リ ッ ク します。

プログラム グループがない場合は、 コマンド ライン オプシ ョ ン

<install_path>\.xinstall\Vivado_2017.3\ xsetup.exe –Uninstall を使ってアンインス トールしま

す。

[Uninstall] から該当する入力を使用するか、 プログラムのコン ト ロール パネル オプシ ョ ンを変更してもアンインス

トールできます (Windows の場合)。

Linux でのアンインストール Vivado Design Suite ツールをアンインス トールするには、 ランチャーー メニューから [Applications] → [Xilinx Design

Tools] → [Vivado 2017.3] → [Uninstall] をク リ ッ ク します。

Vivado Design Suite 2017.3 リ リース ノート 37UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 4 章

WebTalkWebTalk 機能を使用する と、 ザイ リ ンクス FPGA デバイス、 ソフ ト ウェアおよび IP の使用に関する統計をザイ リ ン

クスに送信できます。 WebTalk で収集および送信された情報は、 お客様にとって重要な機能を向上するこ とに焦点を

当てて開発活動に使用し、 お客様の現在および将来のニーズに、 よ り迅速に対応できるよ う活用させていただきま

す。 WebTalk をオンにする と、 お客様の Vivado Design Suite ツール、 SDK、 PetaLinux の使用に関する情報がザイ リ ン

クスへ提供されます。

WebTalk への参加

有償ライセンスが検出されなかった場合を除いて Webtalk への参加は任意です。

有償ライセンスが検出されなかった場合は、 プリ ファレンス設定に関わらず、 WebTalk によるデータ収集および送信

は常に実行されます。 それ以外の場合は、 WebTalk をオフにする とデータは送信されません。

次の表は、 ザイ リ ンクス ラ イセンス、 WebTalk インス トール プリ ファレンス、 およびユーザーのプリ ファレンス設

定に基づいて、 ザイ リ ンクスへ配線後のデザインからのデータがどのよ うに送信されるかについてまとめています。

注記: デバイスが WebPack の場合、 ツールではまず 初に WebPack ライセンスが検索されます。

表 4-1: ビッ トス ト リーム生成または配線デザイン フローでの WebTalk の動作

ライセンスWebTalk インストール プリファレンスがオン

WebTalk ユーザー プリファレンスがオン

ザイリンクへの WebTalk データの送信

WebPACK X X オン

Edition ライセンス オフ X オフ

Edition ライセンス オン オフ オフ

Edition ライセンス オン オン オン

Vivado Design Suite 2017.3 リ リース ノート 38UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: WebTalk

WebTalk インストールのプリファレンス設定

WebTalk は、 次のよ うに、 インス トール中またはインス トール後にグローバルにオン/オフにできます。 インス トー

ル中は、 [Enable WebTalk to send software, IP and device usage statistics to Xilinx (Always enabled for WebPACK license)]

チェッ ク ボッ クスで WebTalk インス トール オプシ ョ ンをオン/オフにできます。

WebTalk インス トール オプシ ョ ンをオンまたはオフにするには、 Tcl コマンド config_webtalk を使用します。

config_webtalk -install on|off

• on: WebTalk をオンにします。

• off: WebTalk をオフにします。

インス トール設定は、 次のディ レク ト リに保存されます。

• Windows 7 および 10: <install dir>/vivado/data/webtalk/webtalksettings

• Linux: <install dir>/vivado/data/webtalk/webtalksettings

注記: インス トール ディレク ト リに書き込むには、 管理者権限が必要です。

X-Ref Target - Figure 4-1

図 4-1: WebTalk のインストール オプシ ョ ン

Vivado Design Suite 2017.3 リ リース ノート 39UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: WebTalk

WebTalk ユーザー プリファレンスの設定

WebTalk ユーザー オプシ ョ ンは、 [Tools] → [Settings] をク リ ッ クする と表示される次の画面でオン/オフにできます。

[Settings] ダイアログボッ クスで、 [WebTalk] カテゴ リ をク リ ッ ク します。

インス トール後には、 Tcl コマンド config_webtalk を使用する と WebTalk をオンまたはオフにできます。

config_webtalk -user on|off

• on: 現在のユーザーの WebTalk をオンにします。

• off: 現在のユーザーの WebTalk をオフにします。

X-Ref Target - Figure 4-2

図 4-2: WebTalk ユーザー プリファレンス

Vivado Design Suite 2017.3 リ リース ノート 40UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: WebTalk

ユーザー設定は、 次のディ レク ト リに保存されます。

• Windows 10 およびそれ以前:

%APPDATA%\Xilinx\Common\<version>\webtalk

説明:

%APPDATA% は次のとおりです。

C:\Users\<user>\AppData\Roaming

• Linux:

%APPDATA%/.Xilinx/Common/<version>/webtalk

説明:

%APPDATA% は次のとおりです。

/home/<user>

WebTalk インストールおよびユーザー プリファレンスの確認

Tcl コマンド config_webtalk を使用して、 WebTalk の設定を確認するこ と も可能です。 コマンド ライン オプシ ョ

ン -info を使用する と、 インス トール設定およびユーザー設定の値がレポート されます。

config_webtalk -info

収集されるデータの種類

WebTalk では、 お客様のデザイン ネッ ト リ ス ト またはリバースエンジニア リ ングするこ とを可能にするその他の機

密情報は収集されません。 ザイ リ ンクスは、 WebTalk を使用して次のデータを収集します。

• ツールのバージ ョ ン

• プラ ッ ト フォーム情報 (OS、 プロセッサの速度および数、 メ イン メモ リ など)

• プロジェク ト ID

• 承認コード

• 生成日

• ターゲッ ト デバイスおよびファ ミ リ情報

収集されるデータ タイプの詳細は、 ザイ リ ンクス デザイン ツール WebTalk のウェブ ページ [参照 16] を参照してく

ださい。 デザインで収集された WebTalk データを確認する場合は、 プロジェク ト ディレク ト リに含まれている

usage_statistics_webtalk.xml ファ イルを開きます。 usage_statistics_webtalk.html ファ イルでは、

Vivado Design Suite 2017.3 リ リース ノート 41UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 4 章: WebTalk

ザイ リ ンクスに送信されたデータも簡単に確認できます。 また、 次のよ うなザイ リ ンクス ツール用のサブフロー用

のデータ コレクシ ョ ン ファ イルも該当する HTML ファ イルと一緒に生成されます。

• usage_statistics_ext_xsim.xml

• usage_statistices_ext_labtools.xml

• usage_statistics_ext_sdk.xml

• usage_statistics_ext_petalinux.xml

データの送信

WebTalk は、 ビッ ト ス ト リーム生成またはデザイン配線後に起動されます。 WebTalk では、 収集したデータが

usage_statistics_webtalk.xml ファ イルにまとめられ、 HTTPS (Hypertext Transfer Protocol Secure) ポス ト を介

してザイ リ ンクスに送信されます。 デザインをコンパイルするたびに、 前の usage_statistics_webtalk.xml ファ イルの

内容が上書きされます。 また、 WebTalk では同等の HTML ファ イル usage_statistics_webtalk.html が書き

出され、 ザイ リ ンクスに転送されたデータが何かを簡単に確認できるよ うになっています。 vivado.log (または

runme.log) ファ イルも記述され、 ザイ リ ンクスへのファイル送信が正し く完了したかど うかの情報が確認できる

よ うになっています。

Vivado Design Suite 2017.3 リ リース ノート 42UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 5 章

ライセンスの取得および管理ザイ リ ンクスの製品ライセンス (Product Licensing) サイ トからは、 ザイ リ ンクス ソフ ト ウェアおよび IP 製品のダウ

ンロード、 ライセンス、 評価などのオンライン サービスを利用できます。 この章では、 製品ライセンス サイ トの

FLEXnet ライセンス生成機能ついて説明しています。

ライセンス概要

製品ライセンス

証明書ベースのライセンスを使用してザイ リ ンクス デザイン ツールを実行する と、 ザイ リ ンクス エンド ユーザー

使用許諾契約が使用されます。

• 証明書ベース ラ イセンス (Certificate-Based Licenses): ISE 11.1 リ リースの ISE® Design Suite から導入されたライ

センス許諾方法です。 証明書とは、 ザイ リ ンクス製品ライセンス サイ トから発行されるライセンス ファ イル

(.lic) のこ とで、 ユーザーの入力し りホス ト ID (個別マシンを認識) に基づいて、 指定されたサーバーまたはラ

イセンス ドングルにそれぞれ対応します。 Vivado ツールではランタイム中に有効なライセンス機能をチェッ ク

するためにこのライセンス証明書にアクセスする必要があるので、 このファイルはマシン上のライセンス検索

パスに保存しておく必要があ り ます。

注記: ザイ リ ンクス ソフ ト ウェアの Flex-ID Dongle ライセンスは、 Windows プラ ッ ト フォームでのみサポート されま

す。

重要: Vivado 2017.3 からは、 アクティベーシ ョ ン ライセンスはサポート されなくな り ました。 既存のアクティベー

シ ョ ンライセンスは、 https://japan.xilinx.com/getlicense からアクセスできる証明書ベースのライセンスに切り替わっ

ています。

証明書ライセンスに関する用語

• ホス ト ID (Host ID): 証明書ライセンス内の ID で、 この ID を使用してそのコンピューターへのライセンスがま

とめられます。 通常の ID は、 ハード ド ラ イブ容量 ID、 イーサネッ ト ポート MAC アドレス、 または USB ドン

グル ID などです。

• ノード ロ ッ ク ラ イセンス (Node-Locked License): 特定マシンに対して製品権利の単一シート分を使用できるよ う

になり ます。

• フローティング ラ イセンス (Floating License): ネッ ト ワーク サーバーに保存され、 ライセンスはアプリ ケーシ ョ

ンが起動される とチェッ クアウ ト されます。 同時に使用できるユーザーの数は、 購入したライセンスの数に

よって異なり ます。

• ライセンス リ ホス ト (License Rehosting): マシンのハード ウェア変更、 ハード ウェア ド ラ イブ エラー、 またはラ

イセンスの別のマシンへの移行の際に、 生成済みライセンスのホス ト ID を変更するこ とです。

Vivado Design Suite 2017.3 リ リース ノート 43UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 5 章: ライセンスの取得および管理

• ライセンス削除 (License Deletion): マシンからライセンスを削除し、 権利をザイ リ ンクス製品ライセンス アカウ

ン トに戻すこ とです。

• 廃棄宣誓書 (Affidavit of Destruction): リ ホス ト または削除されたライセンスのライセンス ファ イル (.lic) を破棄

し、 使用しないという こ とをク リ ッ ク して許諾します。

証明書ベース ライセンスの生成/インストール

証明書ベースのライセンスの場合は、 ライセンスをロ ッ クする Flexera ホス ト ID (イーサネッ ト MAC ID、 ド ラ イブ

シ リアル番号またはドングル ID) がわかっている限り、 ザイ リ ンクス ユーティ リ ティの 1 つからザイ リ ンクス ライ

センス管理サイ トにアクセスする必要はなく、 直接 https://japan.xilinx.com/getlicense にアクセスできます。 ログイン

してアカウン ト を選択したら、 「製品選択」 に示すよ うに製品を選択できます。

[Create New Licenses] タブで 1 つまたは複数のライセンスを選択し、 生成するライセンス ファ イル (ク ライアン ト /

ノード ロ ッ クまたはサーバー /フローティング) に対して [Generate License] をク リ ッ ク します。

次に示す手順では、 フローティングの証明書ベースのライセンスを生成します。 このプロセスには、 その他すべて

の証明書ベースのライセンス生成フローが含まれます。

Vivado Design Suite 2017.3 リ リース ノート 44UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 5 章: ライセンスの取得および管理

証明書ベースのノード ロック ライセンス

ライセンス ファイルを生成する と、 [email protected] から メールが届きます。

1. このメールに添付されたライセンス ファ イル (.lic) をローカルの一時ディ レク ト リに保存します。

2. Vivado License Manager を実行します。

° Windows 7 または 10: [スタート ] → [すべてのプログラム] → [Xilinx Design Tools] → [Vivado 2017.3] →

[Manage Xilinx Licenses] をク リ ッ ク します。

° Windows 8.1: スタート画面からすべてのアプリ を リ ス ト して、 [Manage Xilinx Licenses] アプリ を実行しま

す。

° Linux: コマンド ライン シェルに vlm と入力します。

X-Ref Target - Figure 5-1

図 5-1: 証明書ベースのフローテ ィング ライセンスの生成

Vivado Design Suite 2017.3 リ リース ノート 45UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 5 章: ライセンスの取得および管理

3. Vivado License Manager の左側の [Getting a License] を展開し、 [Load License] をク リ ッ ク します。

4. 証明書ライセンス ファ イルを受け取った場合は、 [Load License] 画面の [Copy License] ボタンをク リ ッ ク します。

5. 保存したライセンス ファ イル (Xilinx.lic) を参照ボタンで選択し、 [開く ] をク リ ッ ク します。

6. これでライセンス ファ イルが <ホーム ド ラ イブ (通常は C)>:\.Xilinx (Windows) または <Home>/.Xilinx ディ レク ト

リにコピーされ、 ザイ リ ンクス ツールから自動的に認識されるよ うにな り ます。

証明書ベースのフローテ ィング ライセンス

1. 各製品ライセンスに必要なシート数を選択します。

これは、 フローティング ラ イセンスの場合にのみ選択します。 すべてのノード ロ ッ ク ラ イセンスは、 1 シート

のみずつになり ます。 製品権限に対して使用可能なシート数は、 システムで自動的に維持されます。 [Requested

Seats] フ ィールドはデフォルトでは 0 になっていますが、 こ こには製品権限で残っているシート数までどの数値

でも入力できます。 すべてのシートが生成される と、 製品が製品権限の表から削除されます。

2. システム情報を入力します。

フローティングの証明書ベースのライセンスの場合、 初のフ ィールドは [Redundancy] で、 [Triple Redundant]

サーバー コンフ ィギュレーシ ョ ン (別名、 ト ラ イアド ) を選択する と、 ライセンス マネージャー ソフ ト ウェアに

対するフェイル オーバーが実行されるので、 3 つのサーバーのうち 2 つが実行されている限り、 ライセンス マ

ネージャーは実行され続けます。 これは、 ノード ロ ッ ク ライセンスの場合は関係あ り ません。

システム情報は、 Vivado License Manager 内のリ ンクから製品ライセンス サイ トにアクセスした場合、 [Host ID]

ド ロ ップダウン リ ス トに自動的に表示されます。 システム情報が入力されていない場合や別のホス ト を追加す

る場合は [Add a host] を選択し、 ホス ト を追加します。

ホス ト ID とは、 ソフ ト ウェアまたは IP のライセンスが与えられたマシンを識別する値で、 ホス ト ID タイプに

は、 MAC アドレス、 ハード ド ラ イブのシ リ アル番号、 ドングル ID などを選択できます。

注記: すべてのホス ト ID タイプがどのオペレーティング システムでもサポート されるわけではあ り ません。 ホ

ス ト ID を取得するには、 ライセンス ホス ト となるマシンで Vivado License Manager を実行するのが一番簡単な

方法です。

3. コ メン ト を追加します。

コ メン ト を追加する と、 管理者がデザイン ツールや IP のライセンスをユーザー間でどのよ うに分けたかなどの

記録を残すこ とができます。

X-Ref Target - Figure 5-2

図 5-2: [Add a host] 画面

Vivado Design Suite 2017.3 リ リース ノート 46UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 5 章: ライセンスの取得および管理

4. [Next] をク リ ッ ク します。

図 5-3 に示すよ うなライセンス リ クエス ト を確認するフォームが表示されます。

5. 選択を確認します。

6. 問題がなければ、 [Next] をク リ ッ ク します。

エンドユーザー使用許諾契約

ザイ リ ンクス デザイン ツールと無償 IP のエンド ユーザー使用許諾契約 (EULA) は、 製品のインス トール プロセス

中に許諾されます。 この使用許諾契約のコピーは、 <install

directory>/.xinstall/Vivado_2017.3/data/ unified_xilinx_eulas.txt にあ り ます。

IP 製品のライセンスを精製する場合は、 ライセンス ファ イルを生成する前に関連する IP 製品の EULA を許諾する必

要があ り ます。

サードパーティ ライセンス

サードパーティ ライセンスのコピーは、

<install_directory>/.xinstall/Vivado_2017.3/data/unified_3rd_party_eula.txt にあ り ます。

X-Ref Target - Figure 5-3

図 5-3: ライセンス リクエストの確認

Vivado Design Suite 2017.3 リ リース ノート 47UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 5 章: ライセンスの取得および管理

ライセンス生成の確認

ライセンス生成プロセスが終了したら、 次のよ うな確認メ ッセージが表示されます。

ライセンス生成を確認する電子メールも送信されます。 このメ ッセージには、 生成したライセンス ファ イルが添付

されます。 アドレス ブッ クに [email protected] を信頼する送信者と して追加しておいてください。

電子メールでライセンスが受け取れなかった場合は、 ザイ リ ンクス ラ イセンス サイ トから直接ダウンロード して く

ださい。 詳細は、 「ザイ リ ンクス製品ライセンス サイ トでのライセンス管理」 を参照して ください。

証明書ベースのフローテ ィング ライセンスの指定

既存の FLEXnet ライセンス サーバーに証明書ベースのライセンスを使用する場合は、

[email protected] から送付されたライセンス ファ イルの内容を FLEXnet サーバーの既存のライセンス

ファ イルにコピーします。

注記: フローティング ラ イセンス サーバーを再起動して、 新しいザイ リ ンクス ラ イセンスを有効にします。

新しいライセンス サーバーの場合

1. ザイ リ ンクス ダウンロード センター (ダウンロード ) からサーバーの OS に 適なザイ リ ンクス FLEXnet ラ イセ

ンス ユーティ リ ティ をダウンロード します。

2. これらのユーティ リ ティ を保存したディ レク ト リで解凍します。 このディ レク ト リは、 アプリ ケーシ ョ ンの検

索パスに置く こ とをお勧めします。

3. FLEXnet ユーティ リ ティ をインス トールしたら、 次のコマンドを実行して、 フローティング ラ イセンス サー

バーを起動します。

° Linux

<Server Tool directory>/lnx64.o/lmgrd.sh -c <path_to_license>/<license file>.lic -l <path_to_license>/<log filename>1.log

° Windows

X-Ref Target - Figure 5-4

図 5-4: ライセンス生成の確認

Vivado Design Suite 2017.3 リ リース ノート 48UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 5 章: ライセンスの取得および管理

<Server Tool directory>\win64.o\lmgrd -c <path_to_license>\<license filename>.lic –l <path_to_license>\<log filename>.log

クライアン ト マシンからのフローテ ィング ライセンスの指定

1. Vivado License Manager (VLM) を実行します。

2. [Manage Xilinx Licenses] タブをク リ ッ ク します。

3. port@server の形式でライセンス サーバーへのネッ ト ワーク パスを XILINXD_LICENSE_FILE フ ィールドに入力

します。

4. [Set] ボタンをク リ ッ ク します。 デフォルトのザイ リ ンクス ポート番号は 2100 です。

Linux OS の場合、 Vivado License Manager (VLM) を使用してライセンス環境変数を設定できません。 環境変数フ ィー

ルドは読み出し専用なので、 淡色表示になり、 [Set] ボタンも表示されません。 環境変数は、 適切な OS シェルおよ

びコマンドを使用して設定する必要があ り ます。

ユーザー マシンでのライセンスの管理

Vivado License Manager

Vivado® License Manager (VLM) は、 証明書ベース ライセンス用のツールです。

Vivado Design Suite 2017.3 リ リース ノート 49UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 5 章: ライセンスの取得および管理

Vivado License Manager は、 Vivado エディシ ョ ンおよび多くのスタンドアロン ツールをインス トールする と インス

トールされます。 次の図は、 VLM を示しています。

Vivado License Manager は次の手順で開く こ とができます。

• Linux には、 ザイ リ ンクス環境が読み込まれたコマンド ライン シェルに VLM と入力します。

• Windows 10 またはそれ以前のバージ ョ ンの場合は、 [スタート ] → [Xilinx Design Tools] → [Vivado 2017.3] →

[Manage Xilinx licenses] をク リ ッ ク します。

• Windows 8.1 の場合は、 スタート画面からすべてのアプリ を リ ス ト して、 [Manage Xilinx Licenses] アプリ を実行

します。 Vivado License Manager は、 Vivado で [Help] → [Obtain A License Key] または [Help] → [Manage License]

をク リ ッ ク しても実行できます。

X-Ref Target - Figure 5-5

図 5-5: Vivado License Manager

Vivado Design Suite 2017.3 リ リース ノート 50UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 5 章: ライセンスの取得および管理

Vivado License Manager が使用される通常のタスクは、 次のとおりです。

• ライセンスの取得 (Obtain License): 複数のライセンス オプシ ョ ンから選択し、 ザイ リ ンクス製品ライセンス サ

イ トにアクセスし、 ライセンス生成プロセスを終了します。

• ライセンス ステータスの表示 (Viewing License Status): どのライセンスがローカル マシンで表示されるかを確認

できます。 これはライセンス問題をデバッグする際に便利です。

• ライセンスのローカル マシンへの読み込み (Load License): 証明書ライセンス .lic) を受信したら、 マシンの適

切なディ レク ト リに保存します。 詳細な手順については、 該当するライセンス タイプの 「ラ イセンス キーのイ

ンス トール」 セクシ ョ ンを参照してください。

• ライセンス検索ディ レク ト リの表示および設定 (Windows の場合) (License Search Location): Vivado では、 複数の

デフォルト ディレク ト リから ラ イセンスが検索されます。 ラ イセンスがマシン外やフローティング ライセンス

サーバー上にある場合、 ライセンスへのパスを指定する必要があ り ます。

推奨: ザイ リ ンクス ライセンス ファ イルのディレク ト リは XILINXD_LICENSE_FILE 環境変数で指定するこ とをお勧

めします。 LM_LICENSE_FILE も使用はできますが、 これは主にザイ リ ンクス以外またはレガシ ラ イセンス パスを

使用するための変数です。

ザイリンクス製品ライセンス サイ トの使用

ザイ リ ンクス製品ライセンス (Product Licensing) サイ トでは、 証明書ベース ベースのライセンスが生成できます。 こ

のサイ トでは、 証明書ベースのライセンスが変更できるほか、 ライセンス発注に関する情報も含まれます。

製品ライセンス サイ トには、 生成するライセンスの種類によってさまざまな方法でアクセスできます。

• 証明書ベースのライセンスを使用する製品を購入した場合は、 注文確認メールに含まれる リ ンクをク リ ッ ク し

て ください。 この リ ンクをク リ ッ クする と、 購入した製品の権利情報を含むアカウン トに直接アクセスできま

す。

• Vivado ® Design Suite 製品は、 Vivado Design Suite 評価および WebPACK ページから評価できます。

• IP 製品を評価する場合は、 IP の該当する製品ページの [評価] リ ンクをク リ ッ ク します。

• 製品ライセンス サイ トには、 https://japan.xilinx.com/getlicense から直接アクセスできます。 この方法でサイ トに

アクセスする と、 証明書ベースのライセンスが作成でき、 ライセンスのアカウン ト管理の機能も実行できます。

ザイ リ ンクス製品ライセンス サイ トにアクセスするには、 まず登録するか、 登録情報を入力する必要があ り ま

す。

Vivado Design Suite 2017.3 リ リース ノート 51UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 5 章: ライセンスの取得および管理

5. まず、 ザイ リ ンクスのサイ トにサインインする必要があ り ます。 既にザイ リ ンクス ユーザー アカウン ト をお持

ちの場合は、 ユーザー ID とパスワードを入力して、 登録情報が正しいかど うかを確認します。 アカウン ト をま

だ作成していない場合は、 [Create Account] ボタンをク リ ッ ク し、 アカウン ト を作成してください。

製品選択

購入済みまたは評価する製品のライセンスを、 次の手順で生成します。

1. [Account] ド ロ ップダウン リ ス トから製品ライセンス アカウン ト を選択します。

注記: 評価版または無償の製品を使用する場合は、 このド ロ ップダウン リ ス トは表示されません。

2. キッ ト と一緒に購入したソフ ト ウェアまたは IP 製品ライセンスのバウチャー コードがある場合は、 それを入力

します (オプシ ョ ン)。

3. 製品権限の表に評価版または無償の IP 製品の権限を追加します (オプシ ョ ン)。

4. 製品権限の表から製品を選択します。

製品権限は、 証明書ベースのライセンスのカテゴ リに使用できます。 選択可能な製品権限のタイプは、 [Full] (購入

済み)、 [No Charge] (無償)、 [Evaluation] (評価版) のいずれかになり ます。 フル ライセンスおよび無償ライセンスの場

合は、 1 年間の有効期限があ り ます。 デザイン ツールの評価版は 30 日、 IP の評価版は 120 日間の有効期限があ り ま

す。

X-Ref Target - Figure 5-6

図 5-6: ザイリンクス製品ライセンス サイ ト - サインイン ページ

X-Ref Target - Figure 5-7

図 5-7: 新規ライセンスの作成

Vivado Design Suite 2017.3 リ リース ノート 52UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 5 章: ライセンスの取得および管理

フローティング/サーバーおよびノード ロ ッ ク /ク ライアン ト ライセンスは、 同じライセンス ファ イルにまとめるこ

とはできません。 1 つのライセンス タイプのみを含む権限を選択する と、 [Generate] ボタンをク リ ッ ク したと きに、

も う一方のライセンス タイプが選択できなくな り ます。

デザイン ツールの場合、 表の [Available Seats] に購入したライセンスの総数が表示されます。 IP の場合、 ライセンス

はサイ トの契約に従って管理されます。

表の [Status] に 「Current」 と表示されている場合は、 その製品が保証期間内にあるこ とを示し、 「Expired」 と表示さ

れている場合は、 その保証期間が終了したこ とを示しています。 [Available Seats] の数がまだある場合は、 「Current」

または 「Expired」 の製品のライセンスを生成できます。

Vivado Design Suite: 30-Day System Edition は Xilinx Design Tools ツール セッ トすべての機能にアクセスできる評価版で

す。 この製品は、 製品ライセンス アカウン トに自動的に含まれます。

デザイン ツールおよび IP 製品ライセンスの製品バウチャーは、 ザイ リ ンクスまたはパートナーの開発ボードまたは

デザイン キッ ト と共に送信されます。 製品バウチャー カードを所持している場合は、 カードに記述されたバウ

チャー コードをテキス ト フ ィールドに入力し、 [Redeem Now] ボタンをク リ ッ ク します。 これで、該当するデザイン

ツールまたは IP 製品が表に追加され、 ライセンス キーが生成できるよ うにな り ます。

ページ内の [Add Evaluation and No Charge IP Cores] セクシ ョ ンで [Search Now] ボタンをク リ ッ クする と、 次のよ うな

ページが表示され、 評価版および無償の IP を検索して製品リ ス トに追加できます。

ザイリンクス製品ライセンス サイ トでのライセンス管理

ザイ リ ンクス製品ライセンス サイ トでは、 生成したライセンスが記録されます。 [Manage Licenses] タブには、 その

製品ライセンス アカウン トで生成したライセンスすべてが表示されます。

X-Ref Target - Figure 5-8

図 5-8: IP 製品の選択画面

Vivado Design Suite 2017.3 リ リース ノート 53UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 5 章: ライセンスの取得および管理

[Manage Licenses] タブからは、 必要に応じて次を実行できます。

既存ライセンスの確認と取得

ユーザーのアカウン トから生成したライセンスに関する情報は、 マスター ビューと詳細ビューに表示されます。 上

部の表 (マスター ビュー ) で行をク リ ッ クする と、 そのライセンスの詳細情報が下部の表 (詳細ビュー ) に表示され

ます。 詳細ビューの表には、 次の情報が含まれます。

• ファ イルで有効にした製品権限のリ ス ト

• ファ イルに関連するコ メン ト

この表からは、 次が実行できます。

• ダウンロード : ライセンス ファ イルが電子メールで届かなかった場合は、 こ こからダウンロード して ください。

• 電子メール: ライセンス ファ イルがご本人または別のユーザーに送信されます。

• 表示: 実際のライセンス ファ イルを表示できます。

• 削除: ライセンスファ イルが削除されます。 ファ イルを削除する と、 権限が [Create New License] タブに表示され

るよ うになり、 別のホス ト ID 用にライセンスを再生成可能になり ます。

• 許諾したエンド ユーザー ライセンス契約を表示 (IP のみ)

X-Ref Target - Figure 5-9

図 5-9: ライセンスの管理

Vivado Design Suite 2017.3 リ リース ノート 54UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 5 章: ライセンスの取得および管理

ライセンスの変更

既存の証明書ベースのライセンスを変更するには、 マスター ビューでそのライセンス ファ イルを選択します。 証明

書ベースのライセンスは、 次の方法で変更できます。

ライセンス ファイル全体の削除およびアカウン トへの権限の返却

1. [Manage Licenses] タブ (図 5-9) で削除するライセンスを選択します。

2. ライセンス ファ イルの詳細の左側にある [Delete] ボタンをク リ ッ ク します。

3. [Accept] ボタンをク リ ッ ク し、 廃棄宣誓書 (Affidavit of Destruction) を受諾します。

注記: これによ り、 ラ イセンス ファ イル全体からすべてのライセンス シートが削除され、 ユーザー アカウン トに権

限が戻されます。

リホスト : ライセンス ファイルのノード ロックまたはライセンス サーバー ホスト ID の変更

1. [Manage Licenses] タブ (図 5-9) でホス ト を変更するライセンスを選択します。

2. [Modify License] ボタンをク リ ッ ク します。 [Modify License] 画面が表示されます。

3. セクシ ョ ン 2 の System Information を確認します。

4. ド ロ ップダウン リ ス トおよびテキス ト ボッ クスをそれぞれ使用し、 ホス ト ID またはホス ト名を変更または追

加します。

5. [Next] ボタンを 2 回押し、 [Accept] ボタンを押して廃棄宣誓書を受諾します。

既存のライセンスのシート数の追加

1. [Manage Licenses] タブ (図 5-9) でシート を追加するライセンス ファ イルを選択します。

2. [Modify License] ボタンをク リ ッ ク します。 [Modify License] 画面が表示されます。

3. セクシ ョ ン 1 の Product Selection を確認します。

4. フローティング ラ イセンスの場合、 [Requested Seats] フ ィールドを変更して権限内の 大シート数までシート を

追加できます。

5. [Next] を 2 回ク リ ッ ク します。 シート を追加するのに廃棄宣誓書 (Affidavit of Destruction) の受諾は必要あ り ませ

ん。

既存の製品ライセンスシート数の削除

1. [Manage Licenses] タブ (図 5-9) でシート を削除するライセンス ファ イルを選択します。

2. [Modify License] ボタンをク リ ッ ク します。 [Modify License] 画面が表示されます。

3. セクシ ョ ン 1 の Product Selection を確認します。

4. フローティング ラ イセンスの場合、 [Requested Seats] フ ィールドを変更して、 このライセンス ファ イルで権利の

あるシート数を削減できます。

5. [Next] ボタンを 2 回押し、 [Accept] ボタンを押して廃棄宣誓書を受諾します。

Vivado Design Suite 2017.3 リ リース ノート 55UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 5 章: ライセンスの取得および管理

ライセンス キー ファイルへの別の製品ライセンスの追加

1. [Manage Licenses] タブ (図 5-9) で機能/権限を追加するライセンス ファ イルを選択します。

2. [Modify License] ボタンをク リ ッ ク します。 [Modify License] 画面が表示されます。

3. セクシ ョ ン 1 の Product Selection を確認します。

4. ライセンス ファ イルに追加する新しい権限のチェッ ク ボッ クスをオンにします。

5. [Next] を 2 回ク リ ッ ク します。 機能を追加するのに廃棄宣誓書 (Affidavit of Destruction) の受諾は必要あ り ませ

ん。

ライセンス キー ファイルからの製品ライセンスの削除

1. [Manage Licenses] タブ (図 5-9) で機能/権限を削除するライセンス ファ イルを選択します。

2. [Modify License] ボタンをク リ ッ ク します。 [Modify License] 画面が表示されます。

3. セクシ ョ ン 1 の Product Selection を確認します。

4. ライセンス ファ イルから削除する権限のチェッ ク ボッ クスをオンにします。

5. [Next] ボタンを 2 回押し、 [Accept] ボタンを押して廃棄宣誓書を受諾します。

変更中にホス トの変更数を超えたこ とを示すメ ッセージが表示される場合は、 [email protected] まで電子メール (英

語) で追加のホス ト変更 (rehost) オプシ ョ ンを請求してください。

削除したライセンス コンポーネン トの再請求

製品ライセンスは、 次のいずれかが実行される と削除されます。

• ライセンス サーバー ホス トの変更

• 既存の製品ライセンスシート数の削除

• ライセンス キー ファ イルから製品ライセンスの削除

シート を削除したり、 証明書ベースのライセンス ファ イルから製品を削除した場合、 その使用権限が元に戻るか、

ライセンス アカウン トのシート数が増えます。 既存ライセンス ファ イルから削除したシート数と同じ数分、 ザイ リ

ンクス製品ライセンス サイ トの [Create New Licenses] タブのシート数が増えます。

ライセンスを再発行する前に、 まず廃棄宣誓書を許諾します。 この法的契約は、 無効になった製品ライセンスが使

用されていないこ とを確認するために必要です。

発行数は、 ユーザーごとに記録されます。 製品ライセンスはメジャー リ リースごとに管理者は 5 回、 エンド ユー

ザーは 3 回再発行できます。

ライセンス キー ファイルの変更点

製品権限に対してライセンスが生成されるたびに、 FLEXnet でインク リ メン ト行および対応するパッケージ行がラ

イセンス キー ファ イルに追加されます。 ライセンス ファイルが既存の製品権利のシート を有効にしたり追加するた

めに修正される と、 インク リ メン ト またはパッケージ行がライセンス ファ イルに追加されます。

ライセンス ファイルのホス トが変更されたり、 シート数や製品権利が削除される と、 対応するインク リ メン ト行が

修正されたライセンス ファ イルからは削除されます。

Vivado Design Suite 2017.3 リ リース ノート 56UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 5 章: ライセンスの取得および管理

ユーザーのライセンス アカウン ト

製品ライセンスのアカウン ト

デザイン ツールまたは IP 製品をザイ リ ンクスから購入する と、 ライセンスを購入したこ とにな り、 その製品のアッ

プデート を使用する権利が 1 年間あり ます。 ザイ リ ンクス デザイン ツールおよび IP 製品を使用するライセンスは、

そのライセンスの状況によって異なり ます。 ライセンスは、 次の要件で決ま り ます。

• 購入した製品

• 購入したシート数

• ライセンス タイプ (証明書ベース、 フローティング、 またはノード ロ ッ ク )

• 製品使用期間 (製品アップデートは 1 年間有効)

このサイ トからは、 購入したデザイン ツールおよび IP の製品権利だけでなく、 無償の製品や評価版 製品などのライ

センスにもアクセスできます。 フル ラ イセンスおよび無償ライセンスの場合は、 1 年間の有効期限があ り ます。 デ

ザイン ツールの評価版は 30 日、 IP の評価版は 120 日間の有効期限があ り ます。

製品権限からライセンスを生成する と、 ウェブサイ トでライセンス ファ イルが生成されます。 ライセンスを有効に

する と、 ウェブサイ トでライセンス ファ イルが生成されます。 ラ イセンス ファ イルをインス トールする と、 購入ま

たは評価中のソフ ト ウェアおよび IP の使用が有効になり ます。 ライセンスやライセンス ファ イルはザイ リ ンクス

ウェブサイ トで管理します。

ライセンス アカウン トは、 ザイ リ ンクス ソフ ト ウェア購入リ ス トに掲載されている各ユーザーに与えられ、 エンド

ユーザーまたは管理者のいずれかと して登録されます。 管理者が 1 人の場合は同じライセンス アカウン トですべて

の購入を管理できます。 企業の場合は、 異なる管理者によって複数のアカウン ト を持つこ とができるので、 社内で

予算の異なる別のプロジェク ト を実行している複数のデザイン チームがある場合などに使用する と、 便利です。

注記: ライセンスは、 期限切れの製品権限に対しても生成はできますが、 使用期間が終わるまでのバージ ョ ンまでし

か使用できません。 ライセンスの期限が切れた後に製品アップデート をする と、 次にツールを使用する と きにライ

センス エラー メ ッセージが表示されます。

ザイリンクス デザイン ツールでの LogiCORE IP ライセンスの生成

LogiCORE™ IP および購入済みデザイン ツール ライセンスはすべて、 製品ライセンス サイ トにログインする と リ ス

ト されます。 現時点では、 すべての IP で証明書ベースのライセンスが生成されるよ うになっています。 評価用ライ

センスおよび無償 IP のライセンスも同じサイ トから入手できます。すべての証明書ベースのデザイン ツールおよび

IP のライセンスが 一度で生成でき、 1 つのライセンス ファ イルがメールで送信されます。

ユーザー タイプとアクシ ョ ン

製品ライセンス サイ トには、 カスタマー アカウン ト管理者、 エンド ユーザー、 および無償ユーザーの 3 タイプの

ユーザー権限があ り ます。

カスタマー アカウン ト管理者

カスタマー アカウン ト管理者は、 たとえば CAD ツールの管理者などがなり ます。 各アカウン トに、 必ず 1 人のカス

タマー アカウン ト管理者が必要です。 カスタマー アカウン ト管理者は、 複数のアカウン ト を管理できます。

カスタマー アカウン ト管理者には、 次のよ うな権限があ り ます。

• ザイ リ ンクス デザイン ツールおよび IP 製品のノード ロ ッ クまたはフローティング ライセンスの生成

Vivado Design Suite 2017.3 リ リース ノート 57UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 5 章: ライセンスの取得および管理

• アカウン トへのユーザーの追加と削除

• ほかのユーザーへの管理者権限の割り当て

カスタマー アカウン ト管理者の情報は、 製品注文の過程で送付先と して指定され、 管理者の電子メール宛に購入し

た製品のダウンロードおよびライセンス情報などが送付されます。 カスタマー アカウン ト管理者が電子メールのリ

ンクをク リ ッ クするこ とで、 購入した製品へのアクセスができるよ うになり ます。

エンド ユーザー

製品ライセンス アカウン トにエンド ユーザーを追加する と、 エンジニアやデザイン チーム メ ンバーがそれぞれ柔

軟にライセンス キーを管理および生成できるよ うにな り ます。 エンド ユーザーは、 アカウン ト内でノード ロ ッ ク付

きライセンス ファイルを生成できるほか、 評価版の入手、 デザイン ツールや IP 製品の無償ライセンス ファ イルも

生成できます。 カスタマー アカウン ト管理者は、 エンド ユーザーがフローティング ラ イセンスを生成できるよ う

に、 エンド ユーザーのアカウン ト を設定できます。 ただし、 エンド ユーザーには、 次のよ うな制限があ り ます。

• デフォルトの設定のままではフローティング ラ イセンス ファ イルを生成できません。 この権限は、 カスタマー

アカウン ト管理者が設定できます。

• ほかのユーザーが生成したライセンス ファ イルは表示されません。

• ほかのユーザーをサイ トから追加または削除できません。

無償ユーザー

無償ユーザーは、 次を実行できます。

• Vivado System Edition の 30 日間無償の評価版ライセンス キーを生成できます。

• Vivado HLS の 30 日間無償の評価版ライセンスを生成できます。

• 評価版および無償の IP 製品のライセンス キーを精製できます。

• ISE および Vivado 両方の WebPACK™ 機能を使用可能にする WebPACK ツール ライセンスを生成できます。

すべてのユーザー タイプが製品をダウンロードできます。

注記: ザイ リ ンクス デザイン ツール製品エディシ ョ ンのフル バージ ョ ンのライセンスを既に持っている場合は、 そ

れ以外のザイ リ ンクス デザイン ツール製品エディシ ョ ンまたは IP を試用できます。 これらのライセンスは同じアカ

ウン トで入手できるよ うになっています。

ザイリンクス ユーザー アカウン ト情報の変更

重要: ザイ リ ンクス ユーザー アカウン トの社名、 住所、 電子メールなどの情報は、 常に 新の状態にして ください。

会社メール アドレスの変更

1. https://japan.xilinx.com にアクセスします。

2. サイン インします。

3. 会社メール アドレスの [プロフ ィールを更新] リ ンクをク リ ッ ク し、 [個人情報] を展開表示します。

4. 新しい法人電子メール アドレスを [新しい会社 E メール アドレス] に入力します。

5. [プロフ ィールに保存] ボタンを押して変更を保存します。

Vivado Design Suite 2017.3 リ リース ノート 58UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 5 章: ライセンスの取得および管理

ツールおよび IP の購入情報

[Order] タブには、 アカウン トの購入情報が表示されます。

• ザイ リ ンクスの注文番号が画面左側に表示されます。

• 特定のオーダーをク リ ッ クする と、 右側にその詳細が表示されます。

• 一度に選択できるのは、 1 つのみです。

X-Ref Target - Figure 5-10

図 5-10: [Orders] タブ

Vivado Design Suite 2017.3 リ リース ノート 59UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 5 章: ライセンスの取得および管理

• 電子メールまたはダウンロードで製品を取得した場合でも、 配達住所情報が表示されます。

ユーザー アクセスの管理

製品ライセンス アカウン トの管理責任は、 別のユーザーに移行するこ と もできるほか、 共有するこ と もできます。

アカウン トへのユーザーの追加や削除は、 [Manage Users] タブから実行します。

ユーザーの追加

製品ライセンス アカウン トにユーザーを追加するには、 次を実行します。

• 新しいユーザーの会社の電子メール アドレスを入力します。

• 管理者権限を与える場合は、 [Add as a full administrator] チェッ ク ボッ クスをオンにします。 フローティング ラ

イセンスを生成する権限を与え、 管理者権限を与えない場合は、 [Allow Floating Licenses] チェッ ク ボッ クスを

オンにします。

注記: 指定する電子メール アドレスは、 ザイ リ ンクス アカウン ト を作成する際にそのユーザーが指定したものと同

じである必要があ り ます。 別のアドレスを使用する と、 ログインしたと きにそのユーザーが正し く認識されない可

能性があ り ます。

ユーザーが既に製品ライセンス サイ トにアクセスしたこ とのある場合、 名前が自動的にユーザー リ ス トに表示され

ます。 サイ トにアクセスしたこ とがない場合は、 名前のと ころに [Not Yet Registered] と表示されます。 登録すれば、

名前の箇所が埋ま り ます。

アカウン ト管理者が管理者権限のないエンド ユーザーにライセンス ファ イルを管理できるよ うにするこ と もできま

す。管理者権限のないエンド ユーザー ([Add as full administrator] と [Allow Floating Licenses] チェッ ク ボッ クスの両方

をオフにした場合) は、 次の機能が使用できます。

X-Ref Target - Figure 5-11

図 5-11: ユーザーの管理

Vivado Design Suite 2017.3 リ リース ノート 60UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 5 章: ライセンスの取得および管理

• ノード ロ ッ ク ライセンスのみ生成可能

• 自分自身で生成したライセンス ファ イルのみ表示および修正可能

• ユーザーの管理は不可

[Allow Floating Licenses] のみをオンにした場合は、 フローティング ライセンス ファ イルの生成はできますが、 その

他の制限はそのままです。 管理者権限にはフローティング ラ イセンスの生成が既に含まれるため、 両方のチェッ ク

ボッ クスをオンにするこ とはできません。

ユーザーの削除

管理者権限またはフローティング ラ イセンス生成権限は、 該当するユーザーの [Adminstrator] または [Floating]

チェッ ク ボッ クスをオフにする と、 無効にできます。

ユーザーをアカウン トから削除するには、 該当するユーザーの [Delete] ボタン をク リ ッ ク します。

Vivado Design Suite 2017.3 リ リース ノート 61UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 6 章

以前のバージ ョ ンのリ リース ノート

リ リース ノート 2017.2

新機能

Vivado® Design Suite 2017.2 には、 次のデバイス サポートおよび Vivado System Edition 製品が含まれています。

デバイス サポート

次の UltraScale+™ デバイスをプロダクシ ョ ンでサポート :

• Kintex® UltraScale+:

° XCKU13P

• Zynq® UltraScale+ MPSoC:

° XCZU15EG

新し く次の XA Zynq UltraScale+ MPSoC デバイス をサポート :

• XAZU2EG、 XAZU3EG

次の Zynq UltraScale+ MPSoC デバイスを追加でサポート :

• XCZU7EG、 XCZU7CG

次の Spartan®-7 デバイスをプロダクシ ョ ンでサポート し、 WebPACK でイネーブル:

• XC7S50

重要な情報

Vivado 命名規則

次は、 Vivado Design Suite を使用する際に必要な命名規則です。 これらの命名規則に従っていないと、 デザインまた

はツールで問題が発生する可能性があ り、 デザイン フローで予期しない動作が発生するこ とがあ り ます。

• ソース ファイル名は、 アルファベッ ト (A ~ Z、 a ~ z) で開始し、 英数字 (A ~ Z、 a ~ z、 0 ~9) およびアン

ダースコア ( _ ) のみを含めます。

• 出力ファイル名は、 アルファベッ ト (A ~ Z、 a ~ z) で開始し、 英数字 (A ~ Z、 a ~ z、 0 ~9) およびアンダース

コア ( _ ) のみを含めます。

Vivado Design Suite 2017.3 リ リース ノート 62UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 6 章: 以前のバージョ ンのリ リース ノート

• プロジェク ト ファ イル名は、 アルファベッ ト (A ~ Z、 a ~ z) で開始し、 英数字 (A ~ Z、 a ~ z、 0 ~9) および

アンダースコア ( _ ) のみを含めます。

• プロジェク ト ディレク ト リ名は、 アルファベッ ト (A ~ Z、 a ~ z) で開始し、 英数字 (A ~ Z、 a ~ z、 0 ~9)、

ティルデ (~)、 およびアンダースコア ( _ ) のみを含めます。

注意: Windows OS にはパス長に 260 文字の制限があ り、 これが Vivado ツールに影響するこ とがあ り ます。 この問題

を回避するには、 プロジェク ト を作成、 IP プロジェク ト または Manage IP プロジェク ト を定義、 ブロ ッ ク デザイン

を作成する際に、 名前およびディ レク ト リ名をできるだけ短く して ください。

プロジェク ト名、 ファ イル名、 またはディ レク ト リ名に次の文字を含めるこ とはできません。

• ! # $ % ^ & * ( ) ` ; < > ? , [ ] { } ' " |

• タブ (\t)

• リ ターン (\r)

• 復帰改行 (\n)

• / または \ (パス区切り文字と してではなく、 ディ レク ト リ またはファイル名の一部と して)

ディ レク ト リ名では、 次の文字も使用できません。

• . (端末文字と してのピ リオド )

ファ イル名またはプロジェク ト名には、 次の文字は使用できません。

• @

注記: Vivado IDE では、新しいファイルまたはプロジェク ト名に @ 文字はサポート されません。ディ スク上にある既

存のファイルの名前に @ 文字が使用されている場合は、 そのファイルをプロジェク トに追加するこ とは可能です。

プロジェク ト名に @ 文字が含まれるプロジェク ト を Vivado IDE で開く こ とは可能です。 Tcl コンソールでは、 名前

に @ 文字を含むプロジェク ト を作成できます。

重要: Windows オペレーティング システムでは、 ディ レク ト リ名およびファイル名にスペースを使用できますが、 プ

ロジェク ト またはファイルを Windows と Linux の両方で使用するこ とを考慮し、 スペースは使用しないよ うにして

ください。

Vivado Design Suite では、 Windows および Linux プラ ッ ト フォームの両方でパスの区切り文字と してフォワード ス

ラ ッシュ (/) がサポート されます。 バッ クスラ ッシュ (\) は、 Windows プラ ッ ト フォームでのみパスの区切り文字と し

て使用できます。

上記に明記されていないその他すべての文字は、 プロジェク ト 、 ファ イル、 またはディ レク ト リ名には使用できま

せん。

統合シミ ュレーシ ョ ン (launch_simulation)• Vivado 2016.1 から、 スク リプ トのみを生成する機能 ([Generate Scripts Only]) は廃止され、 IDE から削除されてい

ます。

• [Export Simulation] 機能を代わりに使用してください。 この機能を使用する と、 Vivado (IP および IP インテグ

レーター ) からファ イルをエクスポート し、 外部検証環境で使用できます。

Vivado Design Suite 2017.3 リ リース ノート 63UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 6 章: 以前のバージョ ンのリ リース ノート

Vivado Design Suite 資料のアップデート

Vivado Design Suite 2017.2 では、 ツールのリ リース時にリ リースされない資料があ り ます。 DocNav で [Update

Catalog] ボタンをク リ ッ ク し、 2017.2 の 新の資料を確認して ください。

注記: DocNav は 32 ビッ ト アプリ ケーシ ョ ンであ り、 Linux では 32 ビッ ト ライブラ リ をインス トールしないと機能

しません。

既知の問題

Vivado Design Suite の既知の問題は、 アンサー 68923 を参照してください。

リ リース ノート 2017.1

新機能

Vivado® Design Suite 2017.1 には、 次のデバイス サポートおよび Vivado System Edition 製品が含まれています。

デバイス サポート

次の UltraScale+™ デバイスをプロダクシ ョ ンでサポート :

• Kintex® UltraScale+:

° XCKU3P、 XCKU5P、 XCKU9P

• Virtex® UltraScale+:

° XCVU3P

• Zynq® UltraScale+ MPSoC:

° XCZU2EG/CG、 XCZU3EG/CG、 XCZU6EG/CG、 XCZU9EZG/CG

• 次の Spartan®-7 デバイスをサポート :

° XC7S50

この リ リースでは、 WebPACK で次の UltraScale+ デバイスがサポート されています。

• Kintex UltraScale+:

° XCKU3P、 XCKU5P

• Zynq UltraScale+ MPSoC:

° XCZU2EG/CG、 XCZU3EG/CG

Vivado Design Suite 2017.3 リ リース ノート 64UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 6 章: 以前のバージョ ンのリ リース ノート

Vivado System Edition 製品

Vivado HLS

• math.h ライブラ リ を向上。

° 完全にサポートするため関数を追加。

° 半精度浮動小数点を新し くネイティブで 適化してサポート。

• データフロー プラグマで範囲が可変のループをサポート。

• 協調シ ミ ュレーシ ョ ンでユーザー アシスタンス機能をイネーブルにし、 使いやすさを向上。

System Generator for DSP

• MATLAB バージ ョ ン R2016a、 R2016b、 および R2017a をサポート。

• 新しい 2D FFT による MRI 画像再構成デモ。

• デジタル フ ィルターおよびデジタル通信のデモを向上。

Vivado Design Edition ツール

全般

• インス トーラーでエンジニア リ ング サンプルをデフォルトでディ スエーブルにし、 ディ スク使用容量を削減。

• ウェブ インス トーラーにダウンロード したイ メージをアーカイブする機能を追加し、 同じ企業内で簡単に共有

可能。

統合設計環境 (IDE)

• Vivado IDE のユーザー インターフェイスを刷新。

• Windows と Linux のルッ ク アンド フ ィールを統一。

• アイコンをシンプルにし、 ツールバー、 タブ、 設定の場所を共通化。

• プロジェク ト設定およびユーザー設定を統合。

° 設定を検索可能。

° テキス ト エディ ターの設定変更をプレビュー可能。

° Vivado .ini ファ イルを拡張性のある XML ベースのファイルに移行。

• Add Sources ウ ィザードを簡素化。

° DSP、 ブロ ッ ク デザイン、 および IP を 1 つの選択肢に統合。

° ソースが重複して追加される可能性を大幅に削減。

° ディ レク ト リ を追加する場合に、 デザインの優先順位を適用 (まずブロ ッ ク デザインが追加され、 次に IP、

後に RTL)。

Vivado Design Suite 2017.3 リ リース ノート 65UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 6 章: 以前のバージョ ンのリ リース ノート

Vivado 消費電力解析

• report_power のテキス ト レポートおよび IDE レポートにブロ ッ ク RAM のカスケードをレポート。

• 消費電力制約アドバイザーの使いやすさおよびパフォーマンスを向上。

Vivado IP インテグレーター

• write_bd_tcl をバージ ョ ン管理を支援するよ う改善。

° write_bd_tcl でオプシ ョ ンを指定するこ とによ り、 ユーザーの階層を横切り、 ブロ ッ ク デザイン内のブ

ロ ッ ク デザインに類似した出力を生成可能。 これによ り、 デザインのどの部分が変更されたかが理解しや

すくなり ます。

• IP インテグレーターのブロ ッ ク図の差動 CLK ピンを複数のデスティネーシ ョ ン (スレーブ) に接続可能。

• モジュール参照 (modref)

° キャンバス上の RTL で次の点を改善:

- モジュール参照を使用してユーザー RTL に一部のザイ リ ンクス IP を追加可能。

- RTL のユーザー定義カスタム インターフェイスを設計アシスタンスの自動化用に優先付けするこ とが

可能。

• AXI 設計アシスタンス :

° AXI Interconnect (古い) または AXI SmartConnect (新しい) から選択可能。

° 設計アシスタンスによる自動化を AXI4-Stream インターフェイス、 CLK、 リセッ トに拡張。

• IP インテグレーター キャンバスにコ メン ト を追加可能、 生成された HDL に含められ、 DO-254 準拠を支援。

Vivado IP カタログ

• IP キャ ッシュ

° パフォーマンスの改善

° キャ ッシュ可能な IP を特定し、 キャ ッシュ可能な IP のみをキャ ッシュ

• ファ イルのアップデート を 適化

° ディ スク上のファイルは保存を実行するか、 ツール フローを実行した場合にのみアップデート

° 不要なアップデートはなし

• IP のチェッ クポイン ト と してデザイン チェッ クポイン ト ファ イルの代わりに IP XCI/XCIX ファ イルを使用

ボード フローおよびサンプル デザイン

• FMC サポート を追加

° KC705、 ZC702、 ZC706、 および KCU105

° XM105 (LED)

• Zynq UltraScale+ のコンフ ィギュレーシ ョ ン可能なサンプル デザインを追加

Vivado Design Suite 2017.3 リ リース ノート 66UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 6 章: 以前のバージョ ンのリ リース ノート

シ ミ ュレーシ ョ ン フローおよび検証 IP

• シ ミ ュレーシ ョ ン フロー

° シ ミ ュレーシ ョ ンの起動およびエクスポートで SystemVerilog パッケージ ライブラ リ をサポート。

° シ ミ ュレーシ ョ ン前後に Tcl スク リプ ト を実行できるよ うになり、 コンパイルおよびシ ミ ュレーシ ョ ン ス

ク リプ ト をよ り詳細に制御可能。

° スタンドアロン UpdateMEM で、 Vivado フローを再実行せずに ELF から直接シ ミ ュレーシ ョ ン MEM ファ

イルを生成可能。

• 検証 IP

° AXI Verification IP を導入

- SystemVerilog ベース、 ラ イセンス不要

- AXI3、 AXI4、 および AXI4-Lite をサポート。

- すべてのプロ ト コル データ幅およびアドレス幅、 転送タイプ、 応答をサポート

- AXI プロ ト コル チェッカーを完全にサポート

- 統合 ARM ライセンスのプロ ト コル アサーシ ョ ン

- パス スルー モードで合成をイネーブル (ワイヤに合成)

- シ ミ ュレーシ ョ ン メ ッセージを設定可能

- サンプル デザインおよびテス トベンチを IP インテグレーターで提供

° Zynq-7000 VIP を導入 (上記の AXI VIP に基づく )

- ライセンス不要、 SystemVerilog ベース

- PS Configuration ウ ィザードの出力ファイルと して提供

- 既存の Zynq-7000 BFM 用 API と下位互換性あ り

Vivado シミ ュレータ

• デバッグ

° Verilog/VHDL サブプログラムのデバッグ: サブプログラム (タスク /関数) 内でブレークポイン ト を設定し、

ステップ実行可能。

° VHDL: 2008 のサポート を向上。

- 演算子: 一致比較、 単項論理、 2 項演算子 (スカラーとベクターを混合)。

• 波形ビューアー

° 波形ビューアーで値を検索可能。

° 符号絶対値の基数オプシ ョ ン。

Vivado Design Suite 2017.3 リ リース ノート 67UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 6 章: 以前のバージョ ンのリ リース ノート

RTL 合成

• 個々のインスタンスにス ト ラテジおよび特定のロジッ ク オプシ ョ ンを設定可能。

° 特定のデザインでデザイン エリ ア、 パフォーマンス、 または配線性をターゲッ ト と したプリセッ ト ス ト ラ

テジを組み合わせるこ とが可能。

° グローバル コンパイルでのみ使用可能だったロジッ ク 適化オプシ ョ ンをインスタンスに対して指定可能。

• 新しい言語テンプレートでクワッ ド またはデュアル加算器を 1 つの DSP ブロ ッ クに推論し、 SIMD 動作モード

を活用。

• 消費電力で 適化された大型 RAM 用の新しい属性。

° 2 のべき乗でないアドレスの RTL RAM 用に使用するブロ ッ ク RAM を 小限にするこ とが可能。

• ブロ ッ ク RAM 推論の改善点

° SDP モードのブロッ ク RAM セルのカスケード接続をサポート。

° 非対称ポート幅ブロ ッ ク RAM のバイ ト ライ ト イネーブルをサポート。

インプリ メンテーシ ョ ン

• -directive オプシ ョ ンの Explore 指示子を使用した場合にインプリ メンテーシ ョ ン コンパイルを高速化。

° 2016.4 と比較して UltraScale+ で 40% 高速。

° 2016.4 と比較して UltraScale™ で 20% 高速。

• ファンアウ トの処理を改善

° ファンアウ ト 適化を配置前および配置中に実行し、 遅延を削減して配置を向上。

° 新しい配置ファンアウ ト 適化オプシ ョ ン (place_design -fanout_opt)。

• opt_design コマンドで、 配線性を向上するため MUXF*/CARRY* を LUT にマップし直すこ とが可能。

• 適化および解析

° 単純なパス グループの優先順位: group_path -weight 1|2 (1:通常、 2: 高)。

° 新しい opt_design option -debug_log オプシ ョ ンによ り ロジッ クの削減および削除に関する メ ッ

セージを向上。

° power_opt_design コマンドに XPM_MEMORY ベースのインスタンスの URAM 適化を含める。

• デザイン解析の追加機能

° 新しいレポート コマンド : report_qor_suggestions

- タイ ミ ング ク ロージャの問題を自動的に解析し、 推奨される修正方法をレポート。

- 推奨事項を適用する XDC 制約および Tcl スク リプ ト を生成。

° report_design_analysis の密集レポートの正確さを向上。

• インク リ メンタル コンパイル

° 物理領域ごとに配置配線データを再利用。

° -directive と互換 (RuntimeOptimized と Quick を除く )。

° IDE タイ ミ ング レポートにピン レベルの配置配線再利用を含める。

° report_incremental_reuse -name <named tab> を IDE でサポート。

Vivado Design Suite 2017.3 リ リース ノート 68UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 6 章: 以前のバージョ ンのリ リース ノート

スタテ ィ ッ ク タイ ミング解析

• 自動生成クロ ッ クの名前変更に新しいメカニズムを使用。

• report_exceptions を改善。

° -write_valid_exceptions: 有効な例外制約をレポート。

° -write_merged_exceptions: 有効な例外制約と無効な例外制約の両方をレポート。

• フロー全体で実行時間を短縮。

Vivado デバッグ

• IP インテグレーターでのシステム デバッグでランタイムの AXI ト ランザクシ ョ ンの表示をサポート。

° 波形にト ランザクシ ョ ン行を表示。

° IP インテグレーターからビッ ト ス ト リーム生成のフローを改善。

- IP インテグレーターの設計アシスタンスを向上。

- 合成後に [Debug] ウ ィンド ウで System ILA を表示。

• PCIe を介して XVC を使用したデバッグ

° IP およびハードウェア ブロッ ク

- Debug Bridge は PCIe 拡張コンフ ィギュレーシ ョ ン空間インターフェイスに接続。

- PCIe サンプル デザインをサポート。

° ソフ ト ウェアおよびド ライバー

- XVC-PCIe ド ラ イバー

- xvc_server をアップデート

• IBERT

° IP の生成をカスタマイズするアドバンス機能を追加 (挿入損失など)。

° 1D および 2D の 1D バスタブ プロ ッ ト をサポート。

° Zynq UltraScale+ GTR をアーリー アクセス サポート。

• メモ リ デバッグ

° LPDDR3 のキャ リブレーシ ョ ン サポート を追加。

Vivado デバイス プログラマ

• ハードウェア マネージャーの GUI で SVF をサポート

° ザイ リ ンクス デバイスおよびザイ リ ンクス以外のデバイスを追加可能。

° 以前に作成したチェーンをインポート可能。

Vivado Design Suite 2017.3 リ リース ノート 69UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 6 章: 以前のバージョ ンのリ リース ノート

階層デザイン フロー

• System Edition および Design Edition でパーシャル リ コンフ ィギュレーシ ョ ン (PR) ラ イセンスを追加コス ト なし

で提供。

° WebPACK ユーザーの PR ライセンス料を値下げ。

° 保証期間中のカスタマーおよび新規購入したカスタマーが使用可能。 System Edition または Design Edition ラ

イセンスを再生成してパーシャル リ コンフ ィギュレーシ ョ ン機能を追加。

• パーシャル リ コンフ ィギュレーシ ョ ン プロジェク トのサポート を向上。

° リ コンフ ィギャラブル モジュール内で IP をサポート (各 IP をアウ ト オブ コンテキス トではなくグローバ

ルに設定)。

° リ コンフ ィギャラブル パーティシ ョ ンのインスタンシエーシ ョ ンでパラ メーターおよびジェネ リ ッ クをサ

ポート。

° write_project_tcl コマンドでプロジェク トの再作成をサポート。

• リ コンフ ィギャラブル モジュール内でのデバッグ。

° デバッグ コアのインスタンシエーシ ョ ン フローのみ、 MARK_DEBUG サポートはなし。

° プロジェク ト モードを使用したサンプル デザイン。

• 追加の UltraScale+ デバイスをサポート、 一部をプロダクシ ョ ンに移動。

° 詳細は、 『Vivado Design Suite ユーザー ガイ ド : パーシャル リ コンフ ィギュレーシ ョ ン』 (UG909) [参照 6] を

参照してください。

• UltraScale+ の PCIe Tandem IP 機能を拡張。

° よ り多くのデバイスをサポート。

° DMA コアのサポート を追加 (限定デバイス)。

° AXI4-Stream コアのフ ィールド アップデート をベータ版で追加。

ザイリンクス パラメーター指定マクロ

• 自動ス リープをサポート

° XPM の URAM でのみ使用可能。

° FIFO およびメモ リ をサポート。

° 非対称設定。

- メモ リ初期化と共に使用可能。

- バイ ト ラ イ ト イネーブルと共に使用可能。

Vivado Design Suite 2017.3 リ リース ノート 70UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 6 章: 以前のバージョ ンのリ リース ノート

IP (Intellectual Property)

• メモ リ

° UltraScale/UltraScale+ 用 LPDDR3 (16 Gb 以下のコンポーネン ト )。

° DDR4 3DS コンポーネン ト (32 Gb MT40A4G8-083J)。

• イーサネッ ト

° UltraScale/UltraScale+ 用 SGMII over LVDS (非同期および同期クロ ッ ク モード )。

° 10 Gb Ethernet Subsystem (レイテンシおよび使用率を削減する 32b データパス)。

° 10Gb Ethernet MAC standalone (XAUI/RXAUI サポート )。

° AXI DMA および Linux ド ラ イバーを使用した 1GbE または 10GbE コアの Zynq UltraScale+ への接続に関す

る新しいアプリ ケーシ ョ ン ノート 『PS および PL ベースの 1G/10G イーサネッ ト ソ リ ューシ ョ ン』

(XAPP1305) [参照 19]。

• インターコネク ト

° PCIe DMA サブシステム (Windows ド ラ イバーを追加)。

° UltraScale/UltraScale+ 用 JESD204c。

° Aurora。

- UltraScale+ の 25G サポート。

- オプシ ョ ンで IP 外のト ランシーバーを使用可能。

• ビデオ

° HDMI (Linux ド ラ イバー /サイズ削減)。

° UHD-SDI (UltraScale+ サポート )。

° ビデオ処理サブシステム (Linux ド ラ イバー )。

° Video Mixer (Linux ド ラ イバー /10bpp およびセミプレーナー ピクセル サポート )。

° MIPI (Linux ド ラ イバー /7 シ リーズ サポート を追加)。

PS Configuration ウィザード (PCW)

• アドバンス設定で CCI のイネーブルをサポート。

• Isolation Configuration。

• プリセッ ト を保存および復元可能。

• DDR の設定を単純化。

• PL マスターから PS ペリ フェラルを駆動、 よ り詳細に PS リ ソースを制御。

Vivado Design Suite 2017.3 リ リース ノート 71UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 6 章: 以前のバージョ ンのリ リース ノート

ザイリンクス エンベデッ ド ソフ トウェアおよびツール

SDK インフラス ト ラクチャのアップデート

• Eclipse およびツールチェーンのバージ ョ ンをアップデート。

° コンパイラ ツール チェーンを 6.2 バージ ョ ンにアップデート (A9、 A53、 MicroBlaze)。

° Eclipse to Neon (バージ ョ ン 4.6)。

° R5s に浮動小数点サポート を追加。

• EL3、 EL2、 EL1 で使用するための BSP 設定 (Xen)。

° SDK でハイパーバイザー ゲス ト用のアプリ ケーシ ョ ンを作成可能。

• リ ンカー スク リプ ト を向上。

° メモ リ セクシ ョ ン名の変更を修正。

° リ ンカー スク リプ トの表示を リ ンカー スク リプ トの変更に同期。

° 新しいデータ セクシ ョ ンを追加可能。

• SDK で Isolation Configuration をサポート。

° 各プロセッサ コアのメモ リ マップに、 そのコアでアクセス可能と設定されているスレーブのみを含む。

° リ ンカー スク リプ トで、 適切な EL/セキュ リティ設定で指定されたスレーブ領域からのメモリ範囲を使用。

° セキュア アプリ ケーシ ョ ンには、 プログラム セクシ ョ ンのセキュア メモ リ領域を割り当て。

ケーブル サポート

• ケーブル周波数サポート。

° JTAG ケーブルの周波数を設定する GUI を提供。

• SmartLynq JTAG プログラムおよびデバッグ ケーブル。

° 2017.1 でアーリー アクセス サポート、 2017.2 でパブリ ッ ク アクセス。

° よ り高速なダウンロードおよびデバッグ。

° ケーブル上のハードウェア サーバーを実行するため Zynq を使用。

• USB 2.0 およびイーサネッ ト ホス ト インターフェイス。

OS/ハイパーバイザー認識デバッグ

• OS 認識デバッグ

° JTAG を介した OS 認識デバッグによ り、 次のよ うな OS 特定の情報を表示可能:

- 現在実行中のプロセス /スレッ ド

- プロセス /スレッ ド特定のスタ ッ ク ト レース

- レジスタ、 変数ビューなど

° これをサポートするには、 JTAG デバッガーでターゲッ ト上で実行中の OS が特定され、 OS の特徴が理解

されているこ とが必要。

° プロセッサ コア上で実行中の OS および OS 上で実行中のプロセス /スレッ ドを同時にデバッグ可能。

Vivado Design Suite 2017.3 リ リース ノート 72UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 6 章: 以前のバージョ ンのリ リース ノート

• Xen 認識デバッグ (ベータ )

° ハイパーバイザー特定の情報を表示

- 異なる ド メ イン (Dom-0 および Dom-U)

- 各ド メ イン上の仮想プロセッサ (VCPU) など

° Xen コンポーネン ト をデバッグ可能

- ハイパーバイザー

- Dom-0/Dom-U カーネル

- Dom-0/Dom-U ユーザー空間プロセス

- Dom-U スタンドアロン アプリ ケーシ ョ ン

BootGen

• Bootgen の改善点

° Zynq UltraScale+ およびその他のファ ミ リ をサポート。

° フラグが明示的に設定されるよ う TrustZone をサポート。

° BootGen GUI で、 BIF 属性の例外レベルおよび TrustZone のフラグを明示的に設定。

• BootGen リ リース モードで Zynq UltraScale+ をサポート ( 『Zynq-7000 All Programmable SoC のセキュア ブート 』

(XAPP1175) [参照 20] を参照)。

° 公開キーおよび HSM 生成シグネチャのみを使用してブート イ メージを作成。

• キー ローリ ングを改善

° キー /シード ペア

- キーは、 セキュア ヘッダーおよび 初のパーティシ ョ ンを暗号化するために使用されます。

- シードはキーの残りの部分を生成するために使用されます。

° 複数キー (将来の BootGen リ リース)

• セキュア FSBL 認証

° ブート イ メージのヘッダー、 CRAM データ、 およびフッ ターを判断するため、 FSBL で PL パーティシ ョ ン

が読み出されます。

° すべての認証操作は OCM で実行されます。

° CRAM データはコピー、 検証、 および復号化されます。

° 検証でエラーが検出された場合は、 FSBL によ りシステムがソフ ト リセッ ト され、 イ メージが CBR によ り

検索されます。

Vivado Design Suite 2017.3 リ リース ノート 73UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 6 章: 以前のバージョ ンのリ リース ノート

MicroBlaze

• MicroBlaze 三重モジュール式冗長 (ベータ )

° 5 個の新しい IP コア。

° IP インテグレーターの新しいフローによ り TMR MicroBlaze システムを作成 (PMU と同様)。

° ザイ リ ンクス ウェブサイ トの MicroBlaze ページに新しいタブを追加。

• ビッグ エンディアンを使用している既存のデザイン用に XSDB/XSCT にビッグ エンディアン MicroBlaze サポー

ト を追加。

° 新しいデザインを ビッグ エンディアンで開始するこ とはお勧めしません。

• XilKernel 非表示

° FreeRTOS が推奨されるソ リ ューシ ョ ン。

ツール サポート

• SDK および XSCT で eMMC フラ ッシュ プログラムをサポート

° コマンド ラ インに 2 つの新しいオプシ ョ ンを追加:

- -flash_type、 eMMC

- -emmc_partition_size

- small: 34 MB まで (デフォルト )

- large: 128 MB まで

° ファ イルをスペースで区切って リ ス トするこ とによ り複数のファイルをプログラム可能

- program_flash -f /test/path/boot.bin /test/path/boot1.bin

• XSCT コマンド : changebsp

° アプリ ケーシ ョ ン プロジェク トの BSP を切り替える SDK GUI の機能と同じ。

• XSDB で SVF (Serial Vector Format) をサポート

° 2016.3 で A9 サポート

° 2017.1 で A53 サポート

° PMU サポートについては、 ザイ リ ンクス テクニカル サポート までお問い合わせください。

U-Boot

• フレームワーク :

° 復号化および認証用のセキュア ラ イブラ リ。

° PL ビッ ト ス ト リームをセキュア モードで読み込み。

• ド ラ イバー :

° AXI イーサネッ ト ド ラ イバーで非プロセッサ モードのコンフ ィギュレーシ ョ ンをサポート。

° QSPI フラ ッシュのプログラムをサポート :

- シングル モード : x1、 x2、 およびスタ ッ ク モード (x1 および x2)

- 1 ビッ トおよび 2 ビッ ト モード

Vivado Design Suite 2017.3 リ リース ノート 74UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 6 章: 以前のバージョ ンのリ リース ノート

° eMMC HS200 モードをサポート。

° SD3.0 ブート。

° QSPI フラ ッシュ用の UBIFS ファ イル システム

• 新しいブート デバイスをサポート :

° EMMC デバイス : EMMC64G-W525

° eMMC: MTFC4GMVEA-4M IT

° QSPI: MT25QL02G (および MT25QU02G)

° QSPI: S25FL128S

° QSPI: N25Q256

° QSPI: MT25QL512A

° QSPI: N25Q64

° QSPI: MX25L25645G

° QSPI: MX25L51245G

° QSPI: MX66L1G45G

° NAND: S34ML01G1

° NAND: MT29F2G08AB

Linux

• Linux 4.9 (https://kernelnewbies.org/Linux_4.9)

• ド ラ イバーおよびフレームワーク (新機能/改善点)

° FPGA 管理: フル ビッ ト ス ト リーム、 セキュア ビッ ト ス ト リームの読み込み

° 10G イーサネッ ト 1588 の改善点

° 外部 PCS-PMA コアをサポート

° CCF (Common Clock Framework) の改善点

° USB2.0 OTG ド ラ イバー

° OpenAMP - 例

° RPU への実行ファイルで sysfs を読み込み

° ビデオ スタ ッ ク (DRM、 GStreamer、 V4L2、 および OpenMax)

Yocto

• Yocto プロジェク ト : Morty 2.2

• meta-Xilinx

° Zynq UltraScale+ MPSoC で VCU をサポート

° 32 ビッ ト rootfs をサポート

Vivado Design Suite 2017.3 リ リース ノート 75UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 6 章: 以前のバージョ ンのリ リース ノート

• meta-petalinux (Distro):

° セルフホスティング機能。

° ターゲッ ト上でのランタイム パッケージ管理 (Smart Package Manager)。

° ビデオ スタ ッ ク用の Yocto メ タ ファ イル (DRM、 GStreamer、 V4L2、 および OpenMax)。

° meta-linaro を使用した Linux ツールチェーンのビルドをサポート (ベータ )。

PetaLinux

• eSDK など用の Yocto ビルド システム (Morty 2.2)。

• Yocto ビルド コンパイラ ツール チェーン バージ ョ ン 6.2。

• Rootfs: Yocto で生成 (Morty 2.2)。

• sstate キャ ッシュ サポート。

• ZCU106 rootfs にビデオ スタ ッ クを追加

• 32 ビッ ト rootfs をビルドする 64 ビッ トおよびプロシージャ

° 32 ビッ ト rootfs は公式にはサポート されない。

° 32 ビッ ト rootfs 用のビデオ スタ ッ クは今後のリ リースで追加予定

Xen

• Xen 上の Linux + ベアメ タル

° Zynq UltraScale+ MPSoC APU 上にベアメ タル コンフ ィギュレーシ ョ ンを展開するための基盤

° ソフ ト ウェア

- Xen ハイパーバイザー

- Linux コンフ ィギュレーシ ョ ン

- ベアメ タル BSP

° ツール

° PetaLinux ツール: Xen および Linux

° XDSK Linux およびベアメ タルのデバッグ

OpenAMP

• AMP システムの主要な点を軽減するためのソ リ ューシ ョ ンおよびフレームワーク :

° 割り込み

° 通信

° プロセッサ リセッ ト 、 ラ イフサイ クル管理

• ト ポロジ

° マスター / リモート

° マスターなし

- FSBL ブート + RPMsg

Vivado Design Suite 2017.3 リ リース ノート 76UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 6 章: 以前のバージョ ンのリ リース ノート

• OpenAMP マスター (APU)

° Linux (ネイティブ)

° Linux で sysfs/remoteproc をサポート (新規)

• OpenAMP リモート (APU)

° ロ ッ クステップまたは分割 (新規)

° ベアメ タル

° FreeRTOS

• 再起動しやすい

° Linux を再起動した場合、 RPU は動作を継続

° Linux が起動したら通信を再開

• Linux カーネル

° sysfs/remoteproc (新規)

° リ ソース テーブルの変更 (新規)

° リモート shmem メモ リ

° ファームウェア チェッ クサム

° PM API の変更 (新規)

° ATF API/フッ ク

° Linux ユーザー空間

° sysfs/remoteproc アクセス (新規)

注記: OpenAMP 2016.4 機能に加えて使用可能

• OpenAMP ラ イブラ リ

° Linux ユーザー空間で実行

• ATF

° 新しい CPU リセッ ト フロー : APU → ATF → PMU → RPU

- ザイ リ ンクス カーネル/ファームウェアを使用する場合は開発者に影響なし

• PMU-FW

° 新しいリセッ ト フロー : APU → ATF → PMU → RPU

° 開発者による特別な編集は不要

• マスター コンポーネン ト

° Remoteproc

- ライフサイクル管理

- ロード、 開始、 停止リモート プロセッサ

° Linux Sysfs (新規)

- アプリ ケーシ ョ ンで任意の RPU ファームウェアを動的に開始/停止可能

- リモート ソフ ト ウェア チェッ クサム

- Remoteproc/RPMsg デカップリ ング: RPMsg をファームウェア ロードに含める必要なし

Vivado Design Suite 2017.3 リ リース ノート 77UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 6 章: 以前のバージョ ンのリ リース ノート

° RPMsg

- 短い通信

- 制御およびステータス

- 256 KB メ ッセージのバッファー サイズ

- デバイス メモ リ (キャ ッシュ不可能)

• コンフ ィギュレーシ ョ ン (マスター )

° rproc(k) + rpmsg (k)

- レガシ Linux モデル

- ロード /開始/停止/通信

° rpmsg (u) のみ

° FSBL による RPU の開始後に APU から RPU へ通信

LibMetal

• OpenAMP 用のソフ ト ウェア プリ ミ ティブを提供

° デバイス /メモ リ アクセスおよび割り込み処理用の下位関数

° 共有メモ リ、 割り込み、 ア ト ミ ッ ク

° Linux (ユーザー空間)、 ザイ リ ンクス ベアメ タル、 FreeRTOS

• 共有メモ リ

° Linux から RPU および RPU から Linux

° I/O キャ ッシュ不可能共有メモ リ (UIO)

• 割り込み: Linux から RPU/RPU から Linux

° ユーザー アプリ ケーシ ョ ンで割り込みハンド ラーを取り込み可能

° UIO をサポート : デバイス ツ リー デバイスごとに 1 つの割り込み

• ア ト ミ ッ ク

° C 2011 規格 (C++ 11 および C11) ア ト ミ ッ ク

° ミ ューテッ クス、 スピンロ ッ ク

° Zynq-7000 および Zynq UltraScale+ MPSoC

- MicroBlaze ではア ト ミ ッ ク命令はサポート されない

FSBL

• 次のメモ リ フラ ッシュをサポート :

° QSPI: MT25QL02G (および MT25QU02G)

° QSPI: MT25QL512A

° QSPI: N25Q256

° QSPI: S25FL128S

° QSPI: MX66L1G45G

Vivado Design Suite 2017.3 リ リース ノート 78UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 6 章: 以前のバージョ ンのリ リース ノート

° QSPI: MX25L51245G

° QSPI: MX25L25645G

° QSPI: N25Q64

° NAND: S34ML01G1

° NAND: MT29F2G08AB

° eMMC: MTFC4GMVEA-4M IT

• USB ブート モードをサポート

• ウォーム リ スタート をサポート

• 開始コードをブートするアドレスを変更するオプシ ョ ン

• PCW で PMF (パワー マネージメン ト フレームワーク ) コンフ ィギュレーシ ョ ンの変更をサポート

• FSBL セキュア認証

PMU ファームウェア

• ウォーム リ スタート をサポート

• Isolation Configuration の製品化

• 新しい PMU 資料

• PMU コードはモジュール形式。 ユーザーに関心のないコードの部分を削除するオプシ ョ ン

• PMU ファームウェアにカスタマー コード用に割り当てられたメモ リ部分

• サスペンド /再開中のアイランドのレイテンシ設定をサポート

パワー マネージメン ト

• XilPM ベアメ タル API をインプリ メン ト

• 個々の CPU コアの電源をオフにするこ とが可能

• CPU 周波数の制御をサポート

• 4 つの電源制御の使用ケース :

° ス リープ

° フル電力ド メ イン オフ

° R5 ス リープ

° ディープ ス リープ

• LAN、 UART、 GPIO、 USB、 RTC のウェークアップ サポート

Vivado Design Suite 2017.3 リ リース ノート 79UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

第 6 章: 以前のバージョ ンのリ リース ノート

重要な情報

統合シミ ュレーシ ョ ン (launch_simulation)

• Vivado 2016.1 から、 スク リプ トのみを生成する機能 ([Generate Scripts Only]) は廃止され、 IDE から削除されてい

ます。

• [Export Simulation] 機能を代わりに使用してください。 この機能を使用する と、 Vivado (IP および IP インテグ

レーター ) からファ イルをエクスポート し、 外部検証環境で使用できます。

Vivado Design Suite 資料のアップデート

Vivado Design Suite 2017.1 では、 ツールのリ リース時にリ リースされない資料があ り ます。 DocNav で [Update

Catalog] ボタンをク リ ッ ク し、 2017.1 の 新の資料を確認して ください。

注記: DocNav は 32 ビッ ト アプリ ケーシ ョ ンであ り、 Linux では 32 ビッ ト ライブラ リ をインス トールしないと機能

しません。

既知の問題

Vivado Design Suite の既知の問題は、 アンサー 68923 を参照してください。

Vivado Design Suite 2017.3 リ リース ノート 80UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

付録 A

その他のリソースおよび法的通知

ザイリンクス リソース

アンサー、 資料、 ダウンロード、 フォーラムなどのサポート リ ソースは、 次のザイ リ ンクス サポート サイ ト を参照

してください。

ソリューシ ョ ン センター

デバイス、 ツール、 IP のサポートについては、 ザイ リ ンクス ソ リ ューシ ョ ン センターを参照して ください。 ト ピッ

クには、 デザイン アシスタン ト、 アドバイザリ、 ト ラブルシュート ヒ ン ト などが含まれます。

Documentation Navigator およびデザイン ハブ

Xilinx Documentation Navigator (DocNav) を使用する とザイ リ ンクスの資料、 ビデオ、 およびサポート リ ソースにアク

セスして情報をフ ィルター、 検索できます。 Xilinx Documentation Navigator を開くには、 次のいずれかを実行しま

す。

• Vivado IDE で [Help] → [Documentation and Tutorials] をク リ ッ ク します。

• Windows で [スタート ] → [すべてのプログラム] → [Xilinx Design Tools] → [DocNav] をク リ ッ ク します。

• Linux コマンド プロンプ トに 「docnav」 と入力します。

ザイ リ ンクス デザイン ハブには、 資料やビデオへのリ ンクがデザイン タスクおよびト ピッ クごとにま とめられてお

り、 これらを参照するこ とでキー コンセプ ト を学び、 よ く ある質問を解決できます。 デザイン ハブにアクセスする

には、 次のいずれかを実行します。

• Xilinx Documentation Navigator で [Design Hubs View] タブをク リ ッ ク します。

• ザイ リ ンクス ウェブサイ トのデザイン ハブ ページを参照します。

注記: Documentation Navigator の詳細は、 ザイ リ ンクス ウェブサイ トの Documentation Navigator ページを参照してく

ださい。

注意: Xilinx Documentation Navigator からは、 日本語版は参照できません。 ウェブサイ トのデザイン ハブ ページの一

部は翻訳されており、 日本語版が提供されている場合はそのリ ンク も追加されています。

Vivado Design Suite 2017.3 リ リース ノート 81UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

付録 A: その他のリソースおよび法的通知

ライセンスおよびエンド ユーザー ライセンス契約

ザイ リ ンクスは、 ザイ リ ンクス デザイン ツールで次のサードパーティ ベンダーのライセンスを使用する許諾を受け

ています。 各ライセンスは、 該当するソフ ト ウェアのみに適用されるもので、 その他に適用されるものではあ り ませ

ん。 サードパーティの所有するライセンスは英文のまま記載しています。 ライセンス ファ イルを生成する前に、 ザ

イ リ ンクス デザイン ツールおよびサードパーティ製品のエンド ユーザー ラ イセンス契約 (EULA) を許諾しておく必

要があ り ます。

サードパーティ ライセンスの詳細および EULA については、 エンドユーザー使用許諾契約を参照して ください。

ザイ リ ンクス デザイン ツール ライセンスの詳細および EULA については、

https://japan.xilinx.com/cgi-bin/docs/rdoc?v=2017.2;d=end-user-license-agreement.pdf を参照して ください。

登録ゲスト リソース

ザイ リ ンクス サードパーティ ラ イセンス EULA で提供されるソース パッケージは、

https://japan.xilinx.com/guest_resources/gnu から入手できます。

参考資料

注記: 日本語版のバージ ョ ンは、 英語版よ り古い場合があ り ます。

1. 『UltraFast 設計手法ガイ ド (Vivado Design Suite 用)』 (UG949)

2. UltraFast™高生産性設計手法ガイ ド (UG1197: 英語版、 日本語版)

3. 『UltraFast エンベデッ ド デザイン設計手法ガイ ド』 (UG1046: 英語版、 日本語版)

4. 『Vivado Design Suite ユーザー ガイ ド : ロジッ ク シ ミ ュレーシ ョ ン』 (UG900)

5. 『Vivado Design Suite ユーザー ガイ ド : 高位合成』 (UG902)

6. 『Vivado Design Suite ユーザー ガイ ド : パーシャル リ コンフ ィギュレーシ ョ ン』 (UG909)

7. 『Vivado Design Suite チュート リ アル: パーシャル リ コンフ ィギュレーシ ョ ン』 (UG974)

8. 『Vivado Design Suite ユーザー ガイ ド : 階層デザイン』 (UG905)

9. 『Vivado Design Suite ユーザー ガイ ド : System Generator を使用したモデル ベースの DSP デザイン』 (UG897)

10. 『Vivado Design Suite ユーザー ガイ ド : インプ リ メンテーシ ョ ン』 (UG904)

11. 『Vivado Design Suite ユーザー ガイ ド : 消費電力解析と 適化』 (UG907)

12. 『IP リ リース ノート ガイ ド』 (XTP025)

13. 『Platform Cable USB II データシート 』 (DS593)

14. 『Parallel Cable IV データシート 』 (DS097)

15. ザイ リ ンクス ダウンロード センター

16. Xilinx Design Tools WebTalk ページ

17. Vivado Design Suite ビデオ チュート リ アル

18. Vivado Design Suite の資料

Vivado Design Suite 2017.3 リ リース ノート 82UG973 (v2017.3) 2017 年 10 月 4 日 japan.xilinx.com

付録 A: その他のリソースおよび法的通知

19. 『PS および PL ベースの 1G/10G イーサネッ ト ソ リ ューシ ョ ン』 (XAPP1305: 英語版、 日本語版)

20. 『Zynq-7000 All Programmable SoC のセキュア ブート 』 (XAPP1175: 英語版、 日本語版)

ト レーニング リソース

ザイ リ ンクスでは、 この資料に含まれるコンセプ ト を説明するさまざまな ト レーニング コースおよびオンライン ビ

デオを提供しています。 次のリ ンクから関連する ト レーニング リ ソースを参照してください。

1. Vivado Design Suite 入門ワークシ ョ ップ ト レーニング コース

2. Vivado デザイン ツール フロー ト レーニング コース

3. Vivado での FPGA 設計導入

お読みください: 重要な法的通知本通知に基づいて貴殿または貴社 (本通知の被通知者が個人の場合には 「貴殿」、 法人その他の団体の場合には 「貴社」。 以下同じ ) に開示される情報 (以下 「本情報」 といいます) は、 ザイ リ ンクスの製品を選択および使用するこ とのためにのみ提供されます。 適

用される法律が許容する 大限の範囲で、 (1) 本情報は 「現状有姿」、 およびすべて受領者の責任で (with all faults) とい う状態で提供

され、 ザイ リ ンクスは、 本通知をもって、 明示、 黙示、 法定を問わず (商品性、 非侵害、 特定目的適合性の保証を含みますがこれ

らに限られません)、 すべての保証および条件を負わない (否認する ) ものと します。 また、 (2) ザイ リ ンクスは、 本情報 (貴殿または

貴社による本情報の使用を含む) に関係し、 起因し、 関連する、 いかなる種類 ・ 性質の損失または損害についても、 責任を負わな

い (契約上、 不法行為上 (過失の場合を含む)、 その他のいかなる責任の法理によるかを問わない) ものと し、 当該損失または損害に

は、 直接、 間接、 特別、 付随的、 結果的な損失または損害 (第三者が起こした行為の結果被った、 データ、 利益、 業務上の信用の

損失、 その他あらゆる種類の損失や損害を含みます) が含まれるものと し、 それは、 たとえ当該損害や損失が合理的に予見可能で

あったり、 ザイ リ ンクスがそれらの可能性について助言を受けていた場合であったと しても同様です。 ザイ リ ンクスは、 本情報に

含まれるいかなる誤り も訂正する義務を負わず、 本情報または製品仕様のアップデート を貴殿または貴社に知らせる義務も負いま

せん。 事前の書面による同意のない限り、 貴殿または貴社は本情報を再生産、 変更、 頒布、 または公に展示してはなり ません。 一

定の製品は、 ザイ リ ンクスの限定的保証の諸条件に従う こ と となるので、 https://japan.xilinx.com/legal.htm#tos で見られるザイ リ ンク

スの販売条件を参照してください。 IP コアは、 ザイ リ ンクスが貴殿または貴社に付与したライセンスに含まれる保証と補助的条件

に従う こ とにな り ます。 ザイ リ ンクスの製品は、 フェイルセーフと して、 または、 フェイルセーフの動作を要求するアプリ ケー

シ ョ ンに使用するために、 設計されたり意図されたり していません。 そのよ うな重大なアプリ ケーシ ョ ンにザイ リ ンクスの製品を

使用する場合のリ スク と責任は、 貴殿または貴社が単独で負う ものです。 https://japan.xilinx.com/legal.htm#tos で見られるザイ リ ンク

スの販売条件を参照してください。

自動車用のアプリケーシ ョ ンの免責条項

オートモーティブ製品 (製品番号に 「XA」 が含まれる ) は、 ISO 26262 自動車用機能安全規格に従った安全コンセプ ト または余剰性

の機能 ( 「セーフティ設計」 ) がない限り、 エアバッグの展開における使用または車両の制御に影響するアプリ ケーシ ョ ン ( 「セー

フティ アプリ ケーシ ョ ン」 ) における使用は保証されていません。 顧客は、 製品を組み込むすべてのシステムについて、 その使用

前または提供前に安全を目的と して十分なテス ト を行う ものと します。 セーフティ設計なしにセーフティ アプリ ケーシ ョ ンで製品

を使用する リ スクはすべて顧客が負い、 製品の責任の制限を規定する適用法令および規則にのみ従う ものと します。

© Copyright 2012-2017 Xilinx, Inc. Xilinx、 Xilinx のロゴ、 Artix、 ISE、 Kintex、 Spartan、 Virtex、 Vivado、 Zynq、 およびこの文書に含

まれるその他の指定されたブランドは、 米国およびその他各国のザイ リ ンクス社の商標です。 すべてのその他の商標は、 それぞれ

の保有者に帰属します。

この資料に関するフ ィードバッ クおよびリ ンクなどの問題につきましては、 [email protected] まで、 または各ページの

右下にある [フ ィードバッ ク送信] ボタンをク リ ッ クする と表示されるフォームからお知らせください。 フ ィードバッ クは日本語で

入力可能です。 いただきましたご意見を参考に早急に対応させていただきます。 なお、 このメール アドレスへのお問い合わせは受

け付けており ません。 あらかじめご了承ください。