46
yms 歩留まり管理ソ リューション www.kla-tencor.com/ymsmagazine 日本語版 2007年冬号 45nm ノードの技術革新の課題 誌の本号では、マスク検査テクノロジの最新情報から計測用のセンサ・ ウェーハのアプリケーションをはじめ、45nmノードの検査および計 測に関連するさまざまな事例を取り上げる 記事 の目次 欠陥管理 計測 ファブの経済性 マスク データ・ストレージ 製品ニュース

Yms sm07 final jpn

Embed Size (px)

DESCRIPTION

 

Citation preview

Page 1: Yms sm07 final jpn

yms 歩留まり管理ソリューション

www.kla-tencor.com/ymsmagazine日本語版 2007年冬号

45nmノードの技術革新の課題誌の本号では、マスク検査テクノロジの最新情報から計測用のセンサ・ウェーハのアプリケーションをはじめ、45nmノードの検査および計測に関連するさまざまな事例を取り上げる

記事 の目次欠陥管理計測ファブの経済性マスクデータ・ストレージ製品ニュース

Page 2: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

Featured Articl es歩留まり向上のためのベアウェーハ上のLarge欠陥を特定する新技術 Hynix Semiconductor Corporation and KLA-Tencor Corporation

電子ビームウェーハ検査によるエッチングプロセスの監視 Powerchip Semiconductor and KLA-Tencor Corporation

生産性向上とテストウェーハのコスト削減に向けて KLA-Tencor Corporation

45nmノードのプロセスで用途が拡大するウェーハ・レベル計測 KLA-Tencor Corporation

45nmへ向けた分光エリプソメトリ膜厚測定 KLA-Tencor Corporation

多くの利点をもたらすサイクルタイムの短縮 KLA-Tencor Corporation

45nmノードのDie-to-Databaseレチクル検査のフィールド評価結果 Toppan Printing Co., Ltd, Advanced Mask Technology Center GmbH & Co and KLA-Tencor Corporation

硬質ディスク研磨における化学機械平坦化(CMP)スラリー開発に向けたレーザ使用欠陥検出システムの活用 KLA-Tencor Corporation

製品ニュース

目次 3

YMS誌は、KLA-Tencor Corporationが刊行しています。 TYMS誌を入手するには、www.kla-tencor.com/ymsmagazineにアクセスしてください。

製品案内を請求するには、www.kla-tencor.com/productsをご覧ください。

©2007 KLA-Tencor Corporation. All rights reserved. 本稿の内容をKLA-Tencor Corporationの許可なく複製することは許可されていません。 本稿に記載されている製品は、各社または各組織の商標として登録されています。

編集責任者Charles Lewis

寄稿者Becky PintoReeti PunjaLisa Garcia

制作編集者Robert DellaCamera

アートおよび制作責任者Inga Talmantiene

制作コンサルタントJovita Rinkunaite

発行編集者Cathy Silva

8

11

14

19

24

27

34

42

欠陥管理

計測

ファブの経済性

マスク

データ・ストレージ

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 3: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

歩留まり向上のためのベアウェーハ上のLarge欠陥を特定する新技術Kerem Kapkin, KeunSu Kim, Jason Saito, Hyosik Suh – KLA-Tencor CorporationChung Geun Koh, Dae Jong Kim, Byeong Sam Moon, Seung Ho Pyi – Hynix Semiconductor Corporation

きるようになり、ウェーハを不要に廃棄することを回避できる。また、LLPDはウェーハメーカ内での製造過程で発生するものであるから、ウェーハメーカはLLPD発生の根本原因を速やかに特定し、対策を講じ、LLPDに起因した不要な廃棄を避ける必要がある。

本稿では、パターンなしウェーハ検査装置であるSurfscan

SP2XPを使用することによって、歩留まりに大きな影響を与えるこれらのLLPDをパーティクルから自動的に分離する方

法を実証する。また装置の新機能であるGC(グローバルコンポジット)とRBB(ルールベースのビンニング)が、ウェーハメーカの最終検査工程とICデバイス製造のIQC(受入品質管理)アプリケーションの両方に有効であることを示す。

ウェーハ欠陥のタイプとその原因

デバイスの歩留まりに影響を与える従来の微細な(ミクロンメートル以下の)欠陥には、パーティクル、COP(結晶起因ピットまたはパーティクル)、残留物、スクラッチなどがあり、すでにその特性は十分に解析されている。図1にこれらの欠陥を示す。ウェーハ上の大きなパーティクル状の欠陥は、搬送時の汚染、プロセス装置、またはクリーンルームの環境に起因しており、これらのパーティクルの多くは、さまざまなクリーニングプロセスで除去できる。

本稿で紹介する新たなパターンなしウェーハ検査技術によって45nm世代に重要なLarge Shallow Defectの検出度と分類能を向

上できる。マルチチャンネル検出技術によって可能になったこの欠陥分類機能は、検出した欠陥が洗浄可能かどうか、あるい

はウェーハを廃棄しなければならないのかどうかを判定することができ、ウェーハメーカおよびICメーカに有益であろう。製

造プロセスの上流部でこのような識別を行うことによって、ウェーハやICの品質向上と歩留まり向上が可能になる。

デバイスの微細化が進むにつれ、ウェーハの表面状態、ウェーハ上の欠陥サイズ・欠陥形状および欠陥種類がデバイスの歩留まり・性能・信頼性に大きな影響を与えるようになってきた。ITRS (国際半導体技術ロードマップ)のガイドラインによると、ベアウェーハの表面上の欠陥のクリティカルサイズは、デザインルールの1/2程度であると規定されている。

同時にICメーカは、受入ウェーハ上の欠陥数の許容値を年々小さくしており、さらには現在欠陥数だけでなくLLPD

(大型の輝点欠陥)の数も定めはじめている。これらのLLPDというものは、横方向に広く深さ方向には非常に浅い欠陥である。幅は数ミクロンメートルにたいして高さはわずか数ナノメートルの場合もある。LLPDの発生原因は、単結晶シリコンのインゴット成長時と、その後のウェーハ加工工程と表面前処理プロセスの両方の可能性がある。これらのLLPDは、ベアシリコンウェーハの受け入れ時に、ピット、へこみ、エアポケット、および研磨スクラッチとして現れ、歩留まりを著しく低下させるキラー欠陥となる可能性が高い。したがって、ICメーカは、デバイスの処理を開始する前に、LLPDのあるウェーハを特定して選別する必要がある。

ウェーハメーカは、多くのパーティクルの中からLLPD欠陥を検出し、正確に分類する必要がある。そうすればそのウェーハがクリーニングやリワークできるのかどうか判断で

Particle COP Residue Scratch

0.1µm 0.1µm 0.1µm 0.1µm

図1:従来の欠陥やLPD(輝点欠陥)の例、これらの欠陥に対しては検出および分類のためにより高い感度が要求されている

特集記事

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 4: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

しかし、LLPDはこれらに比べて特定して特性評価するのが難しい。図2に一般的なシリコンウェーハ製造工程の概念図を示す。へこみ状LLPD欠陥の原因は、結晶成長プロセスとウェーハ加工プロセスのどちらかに大別できる。

これまではLLPD欠陥をLLPDとして分類できず、LPDの一種でしかなかった。もちろん、LLPDをLPDから分離して、個々の種別ごとに特定および分類することは重要である。もし分類が可能になれば、例えばウェーハメーカなどはこの情報を利用して、製造プロセスや結晶成長プロセスのどこに問題があるかを特定し、対策を導入できるようになる。またICデバイスメーカはウェーハの受け入れ検査において、LLPDの種別・サイズ・個数を仕様に追加できるようになる。

ICメーカがこれまで行ってきたウェーハの受け入れ検査の方法は次のとおりである。第1段階:パターンなしウェーハ検査ツール(最初のサンプリング)第2段階:確認のための目視検査 第3段階:SEMによる確認

また、ウェーハメーカは、これまで、最も重要な欠陥種別である図3に示すようなへこみ状のLLPDを効率よく特定し分類することができず、SEMレビューを行う必要があった。SEMレビューでは、欠陥のわずかな抜き取りサンプルしか検査できない。最も重要なへこみ状のLLPDは、エアポケット欠陥と呼ばれるものである。この欠陥は、結晶引き上げ工程で形成され、ウェーハ全体にわたってシリコン基板内に分散する。表面に露出したエアポケットの大きさは、その発生場所と、ウェーハのスライスおよび研磨工程に依存する。露出したエアポケットは、さまざまな大きさのピットとして測定できるが、バルク内に埋め込まれている欠陥は、ボイドとして残される。

このほかにも、へこみ状欠陥にはエッチング工程や研磨工程で生じる、機械的または化学的損傷のものもある。これらの欠陥は、ウェーハの表面に限られており、基板内には存在しないが、イオン注入のプロファイル、デバイスの段差、および電気的特性に影響を与え、ダイを破壊する可能性がある。一部のへこみ状LLPDは、インラインプロセス監視時に捕捉されれば、さらに研磨およびエッチング処理を施すことによってリワーク可能である。

歩留まりへの影響を防ぐためのLLPDの検出および分類方法

ウェーハメーカは、出荷前検査工程において全ウェーハのすべてのDOI(Defect of Interest)を高スループットかつ低コストで実施できるような、量産に適した検査技術を必要としている。ウェーハメーカからは、高いAcuuracyおよび Purityをもって広範なDOIタイプを捕捉し、自動的に分類することの重要性が示されている。それによって、仕様外のウェーハをICデバイスメーカに出荷するのを防ぎ、一方で疑似欠陥によるウェーハの不要なリジェクトとスクラップをなくすことができる。

ほとんどのICデバイスメーカは、受入ウェーハの検査にランダムなサンプリング方法を適用しており、仕様外のウェーハが1枚でも検出された場合は、出荷品全体を拒否することがある。生産の遅れを防ぐために、新しいウェーハの受入から一括サンプリングの完了までの時間は、ICメーカにとって重要である。しかし、これまでの3段階の工程は2週間かかる可能性があり、遅れが生じたり、量産仕様を満たしていないウェーハを受け入れるリスクをICメーカが負う必要が生じることもある。

特集記事

Crystal

LLPD (Crystal) LLPD (Wafering process)

Wire Saw Lapping Etching Polishing Inspection

図2:シリコン・ウェーハ製造プロセスの概略図とへこみ状LLPD

Large defects (~16µm)

図3:新しい(へこみ状) LLPD欠陥(それぞれ、研磨関連の欠陥、エアポケット、エッチング関連の欠陥)

Surfscan SP2XP検査システムにより、種類、大きさ、数量別に、大規模な照射点の不良を検出するこ

とができます。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 5: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

ウェーハメーカとICデバイスメーカは、LLPDがリワークできないへこみ状LLPDであるのかとリワーク可能なLLPDなのかを区別できる、高信頼・高感度・高速度な検査技術を必要としている。その要求を満たすために、いくつかの新しい機能を備えた新しいパターンなしウェーハ検査ツールが開発された。

図4に示すように、新しい装置では、355nm UVレーザをベアシリコン・ウェーハに垂直方向および斜方向から照射し散乱光を測定することでDOIを検出する。さまざまな欠陥タイプからの散乱光が、Wide ChannelとNarrow Channelへ集光され、これらの散乱光の信号を見ることにより解析と分類が可能となる。このアーキテクチャにより、4つの信号(Oblique Narrow、Oblique Wide、Normal Narrow、Normal Wide)が形成される。

この装置では、さらに多種の欠陥タイプや表面特性を捕捉するために、多チャネルの暗視野信号に加えて新しい明視野(BF)照明チャネルも採用している。この明視野テクノ

ロジでは差分干渉コントラスト(DIC)を利用して位相の差をとらえ、図5のような高さや勾配の情報を明らかにする。このDICテクノロジを使用して、暗視野チャネルでは検出できない可能性がある大きな欠陥、平坦な欠陥、または浅い欠陥を検出できる。

上記の方法により、全チャネルでのウェーハ表面の詳細な光学情報を取得したあとは、RBB(ルールベース欠陥分類)という新しいアルゴリズムを使用した信号解析を行うことができるようになる。ユーザはRBBを使用して、図6に示す5つの欠陥チャネル(BF-DIC、DF Normal Wide、DF Normal Narrow、DF Oblique Wide、DF Oblique Narrow)間で信号を比較することができる。

これらの信号比較の結果を使用することで、対象分類が可能となる。すべての暗視野チャネルは、Darkfield Composite (暗視野チャネルを全て統合したマップ)として結合でき、

Wide

BrightFieldDIC

Narrow

ObliqueIllumination

ScanRotatingWafer

Normal and BFIllumination

Collector

図4:Surfscan SP2XPの照明と光学テクノロジ

Time

Signal

Beam motion Arbitrary surface

A0

B C D E

Convex Step Concave

BA C D E

図5:明視野(BF)照明による微分干渉コントラスト(DIC)検査技術

NormalOblique BF DIC

Wid

eN

arro

w

図6:Surfscan SP2XPでは各欠陥について5つのチャネルの情報が生成される

特集記事

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 6: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

明視野を含めた5つのすべてのチャネルは、Grand Composite (全チャネルを統合したマップ)として結合できる。Grand Compositeと明視野チャネルは、LLPD欠陥の特定に使用でき、RBBを使用してLLPD欠陥をさらにパーティクル、エアポケット、結晶起因ピット、またはエッチング欠陥に分類可能である。解析の概略図を図7に示す。

図8に使用されているデータは、7枚の300mmウェーハを検査したものである。暗視野チャネルの情報(Oblique Narrow、Oblique Wide、Normal Narrow、Normal Wide)が1つのDarkfield Compositeと明視野に結合されている。Darkfield Compositeの欠陥とBF欠陥を重ね合わせると、共通の欠陥がLLPD欠陥として明確化することができた。SEMで検証した結果、この自動欠陥分類はPurity(分類の正確性)が100%であることを確認した。

LLPD欠陥を特定したら、検査装置でRBBを使用して、明視野 (DIC)情報を暗視野チャネルデータと正確に結合することにより、大型パーティクル、エアポケット、結晶起因ピット、およびエッチング欠陥をさらに特定し、分類することができる。

従来の方法を使用したLLPD検査の結果と、RBBによる新技術を使用したLLPD検査の結果の比較

ケーススタディ1:20枚の300mmウェーハ

さまざまなウェーハメーカから入手した20枚の300mmウェーハを検査し、スキャン結果を統合して、従来の方法と新しい方法を比較した。SEMレビューでは、合計29個のLLPD欠陥が明らかになった。

従来の方法では、合計28個のへこみ状LLPD欠陥が特定された。このうち、

16個のへこみ状LLPD欠陥が正しく特定された。12個のパーティクルが間違ってへこみ状LLPD欠陥として分類された。また13個のへこみ状LLPD欠陥が見逃された。

このケーススタディの結果を図9に示す。

従来の方法では、パーティクルがへこみ状LLPD欠陥として報告されたために、ウェーハメーカの最終検査工程でウェーハの誤ったリジェクトが43%増加した。さらに、へこみ状LLPDの総数の45%が見逃され、ICメーカの顧客がリスクを負うことになった。

--

-

新しいRBBベースの方法では、29個のへこみ状LLPDをすべて自動的に検出できた。間違ってへこみ状LLPDとして分類されたパーティクルは1個だけだった。

ケーススタディ2:23枚の200mmウェーハ

このケーススタディでは、さまざまなウェーハメーカから入手した23枚の200mmウェーハを同様にスキャンして結果を統合し、従来の方法と新しいRBBベースの方法の比較を行った。SEMレビューでは、これらの23枚のウェーハで合計28個のへこみ状LLPD欠陥が確認された。

従来の方法では、合計65個のへこみ状LLPD欠陥が報告された。このうち、

20個のへこみ状LLPD欠陥が正しく分類された。

45個のパーティクルが間違ってへこみ状LLPD欠陥として分類された。また、8個のへこみ状LLPD欠陥が見逃された。

このケーススタディの結果を図10に示す。

従来の方法では、パーティクルが間違ってへこみ状LLPD欠陥として分類されたために、ウェーハの誤ったリジェクトが69%増加し、さらに、へこみ状LLPD欠陥の29%が見逃された。このことは、ICデバイスメーカにおいてデバイスの歩留まりに予期せぬ影響をもたらす可能性がある。

新しい方法では、SEMレビューで確認されたとおり、28個のへこみ状LLPD欠陥すべてが自動的に正しく検出された。

-

-

-

特集記事

Darkfieldcomposite

An overlay of inspection data from seven 300mm wafers

8950 DFC Defects 56 BF Defects 17 LLPD Defects

oblique + normal common defects

Grand compositeLLPD : DF & BF

Brightfield

LLPDCluster

LLPD

LLPD LPD Purity

LLPD 17 0 100%

LPD 0 8950 100%

Accuracy 100% 100%

図8:暗視野およびBF(DIC)のグランドコンポジットによるLLPD分類

True LLPD

Missed LLPD

Particles classified as LLPD

Missed LLPD

LLPD

Conventionalmethod

Newapproach

Identified LLPDby review

12ea.

16ea.

1ea.

29ea. 29ea.

13ea.

図9:ケーススタディ1:300mmウェーハの製造でのへこみ状LLPDに関連する現在の課題

Grandcomposite

Defect classification

Classification with rules-based binning

LPD

LLPDcrystal

LLPDwafering

LLPDwafering

Grand compositewith RBB

Grandcomposite

Darkfieldcomposite

Brightfield RBB

図7:ルールベースのビンニングによる分類結果

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 7: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

LLPD欠陥タイプの電気的解析:大きいパーティクル(LPD)と大きいピット(LLPD)の比較

いくつかのタイプのLLPD欠陥がどのように歩留まりに影響を与えるかを解析するために、LLPD欠陥に関して受入プライムウェーハを検査した。このウェーハで2個のLLPD欠陥が捕捉された。SEM解析では、大きいパーティクルと結晶起因ピットとして特定された。このプライムウェーハは、

80nmのDRAMメモリデバイス用のプロセスに投入された。LLPD欠陥のある場所に形成されたダイの電気的テストの結果から、重大度のレベルは異なるが、どちらも歩留まりに関連する問題があることが明らかになった。図11に示すように、大きなパーティクル欠陥はいくつかの不良メモリセルの原因となったが、結晶起因ピットはメモリデバイス全体を破壊することが分かった。

結晶起因ピットに起因する不良のメカニズムをさらに解析したところ、CMP工程の後、Si

3N

4エッチング停止層の上で

SiO2 STI (シャロートレンチアイソレーション)膜の一部が

研磨および除去されていなかった。したがって、ピット内およびピット付近では、後続の湿式化学Si

3N

4除去プロセス

が正常に完了せず、メモリセルを構成するトランジスタを機能する状態に形成されなかったと考えられる。

結論

デバイスのデザインルールの微細化によって、微小サイズの欠陥を捕捉する必要があるため、感度への要求が高まっているが、それと同時に歩留まりに影響を与えるLLPD欠陥の重要性も増してきた。

ウェーハメーカは、SEMを用いてランダムに微小欠陥をレビューする現在の検査方法よりも、迅速に効率よくすべてのLLPDを捕捉して正確に分類する方法を必要としている。へこみ状欠陥と従来のパーティクル欠陥を識別することにより、誤ったウェーハ廃棄を回避したり、IQC仕様を満たしていないウェーハがICデバイスメーカに出荷されたりするのを防ぐことができる。そして、検査装置を使用してウェーハ製造プロセスの初期段階にこれらの欠陥を自動的に捕捉し、正確に分類することによって、速やかに根本原因を特定できるというメリットも得られる。それによって、ウェーハメーカは適切なプロセスステップで速やかに対策を導入し、製品の品質を安定化することができる。

ここで紹介した新しいウェーハ検査技術がウェーハメーカとICデバイスメーカが共に直面している課題を同時に解決できることを実証し、製品の品質、コストおよび生産性を向上させるためのソリューションを提供した。新しいRBBテクノロジを多チャネル処理と組み合わせることにより、ウェーハメーカとICデバイスメーカは、従来の欠陥タイプと歩留まりを阻害するLLPDの欠陥タイプの両方を捕捉し、正確に分類し、製造効率を大幅に高めることができる。それによって、全体的な歩留まりにおける重要な要素であるウェーハの品質を向上させ、最終的にファブの生産性を改善するという目標を達成できる。

謝辞

Hynix SemiconductorウェーハエンジニアリンググループおよびKLA-TencorのSurfscan部門のエンジニアリングおよびアプリケーション担当者による甚大な貢献に謝辞を表明します。

またウェーハメーカ各社からの強力な支援がなければ、この研究は成功しなかったであろう。

参考文献1. International Technology Roadmap for Semiconductors 2005 Edition,

Yield Enchancement, pp. 7–10.

2. C.G. Koh, D.J. Kim, Hynix Technical Report, A06041883, Evaluation

Result of SP2 SSIS - 200mm Wafers, UNPUBLISHED.

3. C.G. Koh, B.S. Moon, D.J. Kim, Hynix Technical Report, A06095565,

Evaluation Result of SP2 SSIS - 300mm Wafers, UNPUBLISHED.

Before CMP

After CMP

STI

Si

Device failure area

図12:へこみ状LLPDのパターン形成およびCMPの問題が原因で残された酸化膜と除去されていない窒化膜によって生じたデバイスの不良

90

778M

1A1A 1A

778793

2104

K

1A46

1C 1C

LLPD Wafering

Killer Device Yielding Die

300mm prime wafer inspection before device processing

Large Particles

s

図11:DRAMデバイスメモリセルのビットマップ:IC製造において80nmノードのDRAM上のへこみ状LLPDと大型パーティクルが歩留まりに与える影響の比較

特集記事

Missed LLPD

LLPD

Conventionalmethod

Newapproach

Identified LLPDby review

45ea.

20ea. 28ea. 28ea.

8ea.

True LLPD

Missed LLPD

Particles classified as LLPD

図10:ケーススタディ2:200mmウェーハ製造でのへこみ状LLPDに関連する現在の課題

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 8: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

各々のウェーハをエッチング処理する。その後、光学検査または電子ビーム検査を使用して、各ウェーハでの欠陥レベルを比較できる。さらに、最終工程での電気テストによって確認ができる。しかしながら、この方法には欠点がいくつかある。たとえば、特に欠陥シグネチャーを用いることによって最適プロセス設定が微妙であると決定された場合、制御されない可変要素によってデータの不確実性が高まる可能性がある。これらの可変要素には、前のレイヤのプロセス変動、リソグラフィでの変動、エッチングプロセス(ツール/チャンバ)での変動、検査ツールの安定性のばらつきなどがある。電子ビーム検査の場合、ウェーハ間によって表面の残留電荷や大気分子汚染(AMC)が異なることも検査結果に影響を及ぼす可能性がある。

これらの理由により、最適なエッチングプロセス条件を決定するために、1枚のウェーハを使用することを推奨する。この研究では、このような手法を開発し、その手法をうまく使用してエッチングプロセスの条件を最適化することができたので報告する。

実験方法

この研究では、 0 . 1 1 µ mデザインルールのフルフローDRAMウェーハを3枚使用した。コンタクトエッチング工程までは、すべてのウェーハを通常どおりに処理した。トランジスタのコンタクトエッチングレベルまで処理された各ウェーハで、さまざまなダイにエッチングプロセスの通常

エッチング条件 ガス流 オーバーエッチング時間

通常 20 sccm 69秒

テスト1 21 sccm 55秒

テスト2 19 sccm 69秒

テスト3 19 sccm 75秒

電子ビームウェーハ検査によるエッチングプロセスの監視Luke Lin, Jia-Yun Chen, and Wen-Yi Wong – Powerchip SemiconductorMark McCord, Alex Tsai, Steven Oestreich, Indranil De, Jan Lauber, and Andrew Kang – KLA-Tencor Corporation

エッチングプロセスウィンドウクォリフィケーション(エッチングPWQ)では、電子ビーム検査を使用してコンタクトエッチン

グ工程以降の欠陥レベルを設定することにより、歩留まりの正確なデータを出力できる。それによって、ユーザはプロセス歩

留まりウィンドウの中心でエッチングプロセスを維持し、エッチングプロセス条件を監視できる。

プロセスウィンドウクォリフィケーションは、プロセスウィンドウの中心でリソグラフィプロセスを維持するために、光学ウェーハ検査および電子ビームウェーハ検査と共に一般に使用される手法である。フォーカスおよび露光量のさまざまなパラメータを変更して、ウェーハ全体のさまざまなダイが露光される。欠陥検査は、異なる露光条件を与えられたダイの欠陥量を調べるために用いられ、専用のソフトウェアを用いて結果を解析する。

エッチングプロセスの場合も、プロセスウィンドウの中心でプロセスを維持することが重要である。たとえば、コンタクトレイヤのアンダーエッチングは閉塞コンタクトや抵抗コンタクトを生じる一方で、オーバーエッチングがトランジスタのソース、ドレイン、ゲート間でショートを引き起こす可能性がある。これまでは、ウェーハスプリットを使用して、最適なエッチングプロセス条件を決定してきた。2枚以上のウェーハを使用し、異なるエッチング条件で

欠陥管理

Test 1

Test 2

Test 3

図1:通常のダイとエッチングプロセス条件の異なるダイの位置を示すウェーハダイレイアウト

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

表1:エッチングウェーハのさまざまなダイに使用したエッチングプロセス条件の一覧表

Page 9: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

の条件、または表1に示す異なるエッチング条件のいずれかを適用した。各ダイは、自動検査ツールで通常のダイとテストダイがダイ間比較出来るように配置された。テストダイの列を、通常の条件を使用して処理した2列のダイと交互に配列した。このようにして、各テストダイを2列の隣接する通常のダイと比較できるようにした。さらに、発生する可能性のあるあらゆるウェーハレベルの欠陥の特徴とプロセスの欠陥の特徴を区別できるように、ウェーハ全体にさまざまなテストダイを分散させた。通常のダイおよびテストダイのウェーハレイアウトを図1に示す。

1枚のウェーハのさまざまなダイで多様なエッチング条件を処理するために、多くのリソグラフィ工程を使用した。まず、ブランクレジストによってテストダイを保護しながら、通常のダイを露光してエッチングした。その後、未露光レジストによって通常のダイを保護しながら、テストダイでさまざまなエッチングプロセス条件ごとにリソグラフィとエッチングの各プロセスステップを繰り返した。この

ようにして、さまざまなプロセス条件をすべて1枚のウェーハに適用した。図2に、リソグラフィとエッチングの各プロセスステップのフローチャートを示す。

ウェーハ#1は、エッチングプロセスステップの後、コンタクトのサイズをCD-SEMで確認し、電気テストまで通常の処理を続行した。ウェーハ#2は、プロセスフローから取り出して、まずeS31電子ビーム検査ツールで検査し、さらにeS32電子ビーム検査ツールで検査した。ウェーハ#3は、FIBやTEMなどの可能性のある今後の研究のために、コンタクトエッチング工程の後で確保した。

結果

ウェーハ#2は最初に、ランディングエネルギー1000eV、ビーム電流212nA、ピクセルサイズ100nmを使用してeS31電子ビーム検査ツールで検査した。アンダーエッチング状態のコンタクトが正常のコンタクト(DVC)より明るく検出されるようにフィールドの条件をセットアップした。この検査では、エッチング条件とダイ欠陥の重要な関連性を明らかにできなかった。ただし、通常の処理が続行されたウェーハ#1における最終工程のビット不良テストでは、エッチングプロセス条件に相関した明らかな歩留まり低下が示された。ビット歩留まりマップを図3に示す。スラッシュは、結果としてビット歩留まりの低下が判明したダイを示す。

この時点で、eS32を使用してウェーハを再検査した。このツールは感度が向上しており、より広範な光学系設定が用意されている。検査ケアエリアをアレイ領域のエッジにま

欠陥管理

Wafer preparation and photo exposure shot edit

Overlay measurementin spec?

Condition 1~N etchPR strip & wafer clean

CD measurement

Scan by e-beam inspector

PR coating and etch Condition 1~N

Shot exposure/development

Photo rework

Repeat1~N Yes

No

図2:1枚のウェーハで複数のエッチング条件を使用してダイを製造するためのプロセスフロー

感度を高めるために70nmピクセルを使用し、コントラストを強調するためにプリチャージ処理を行って、エッチング条件と最終工程でのビット歩留まり結果の両方に関連のある微妙なアンダーエッ

チング欠陥を検出した。

図3:エッチングプロセスダイスプリットおよびインライン欠陥検査マップとの関連性を示す最終工程のビット不良マップ

図4:エッチングプロセス条件での欠陥のばらつきを示すエッチングウェーハの欠陥検査マップ

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 10: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

で拡張した結果、エッジ近辺でほとんどの欠陥が発生していることが判明した。欠陥のあるコンタクトのコントラストを強調するようウェーハ表面の電圧を調整するために、特別なプリチャージ処理を行った。さらに、感度をいっそう高めるために、検査ピクセルサイズを70nmまで小さくした。この時点で、エッチング条件と最終工程のビット歩留まり結果の両方に関連のある微妙なアンダーエッチング欠陥が検出された。欠陥マップを図4に示す。ウェーハ2の検査欠陥密度とウェーハ1の電気的ビット歩留まりの間には、十分

な関連性が明らかになった。欠陥のあるコンタクトがいくつか含まれている検査ツールのレビュー画像を図5に示す。

ePMは、KLA-Tencorで現在開発中の新しいeS32アルゴリズムである。ePMを使用すると、標準の電子ビーム検査よりも迅速に許容範囲外のウェーハを検出できる。ウェーハ上の特定の数(またはすべて)のダイでそれぞれ同じ場所の画像を取り込む。各画像の平均グレイレベルが計算され、マッピングされる。このグレイレベルは2次電子の平均放出量と関連がある。わずかなプロセス変動でも2次電子の放出量に大きな変化を引き起こす可能性があるので、この手法を使用して、エッチングやその他のプロセスステップにプロセス許容度の限界を設定できる。図6に、ウェーハのePMグレイレベルマップを示す。このマップは、通常のダイとテストダイの違いをはっきりと示している。図7に、4つの各エッチングプロセス条件について、ウェーハ#1で測定されたコンタクトのCDと、ePMによって明らかになった平均グレイレベルを示す。ここでもまた、2つの測定値に高い関連性が見られる。予想したとおり、正常なコンタクトは周囲の酸化膜よりも暗くなるので、アンダーエッチング状態では平均グレイレベルに比較して明るく見えた。

結論

エッチングプロセスウィンドウクォリフィケーション(エッチングPWQ)は、プロセス歩留まりウィンドウの中心でエッチングプロセスを維持するために、コンタクトエッチング工程以降の欠陥レベルを設定し、正確な歩留まりデータを出力するための有望な手法であることが明らかになった。1枚のウェーハに実験用のデザインを配置することで、ウェーハのプロセス変動や検査ツールの変動によるデータの不確実性を回避する。きわどいエッチングプロセス条件から微妙なアンダーエッチング欠陥を検出するには、プリチャージ処理を行い、感度を最適にする光学系条件を選択する必要があった。検査欠陥と電気的ビット歩留まりとの間で十分な関連性が明らかになった。さらに、ウェーハ全体の2次電子放出量を測定するeS32検査ツールの電気的プロセス監視機能であるePMは、エッチングプロセス条件の監視ツールとして有望であることがわかった。

謝辞

JasonLim氏とKumarRaja氏のこの研究へのサポートに感謝する。

欠陥管理

図5:アンダーエッチング状態のコンタクトのクラスタを示すeS32検査のレビュー画像

2

4

6

8

10

12

2 4 6 8 10 12 14

図6:エッチングプロセス条件と画像のグレイレベル強度との関連性を示すウェーハのグレイレベルePMマップ

CD (nm)

nominal test 1 test 2 test 3

0.195

0.190

0.185

0.180

0.175

0.170

0.165

0.160

0.155

CD (nm)

Gray level

nominal test 1 test 2 test 3

60

70

80

90

100

110

120

Gray level

図7:さまざまなエッチングテスト条件についての測定CDと画像の平均グレイレベルの比較

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 11: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

欠陥管理

生産性向上とテストウェーハのコスト削減に向けてMing Li, Lisa Cheung, and Mark Keefer – KLA-Tencor Corporation

Surfscan SP2検査装置を使用すると、テストウェーハの再利用回数を増やすことができ、これにより新品のテストウェーハの

投入量や最研磨回数を減らすことができ、ひいてはファブ全体での製造コストを低減できる。大規模なファウンダリでは、こ

の新しい方法によってウェーハの再利用回数を改善し、再利用回数を15%改善することができた。これにより年間300万ドル

を超えるコスト削減につながった。

現在のウェーハ製造工場では生産性を向上すると同時に変動コストを削減する必要に迫られている。ある特定の分野ではプロセスコントロール(計測および検査)装置を用いることによって、変動コストを最小限に抑えられるところがある。ひとつめは、テストウェーハという消耗品の削減である。利益に直結しないテストウェーハの消費量を抑えることで変動コストを抑えることができる。ふたつめはプロセス装置の生産性を向上させることである。年間のメインテナンスサイクルの回数を減らすことと、誤った異常アラームによるダウンタイムを短縮することによって変動コストを抑えられる。この論文では、これらの考えを詳しく検討して、先端の65nmデザインルールファウンダリでテストウェーハのコストを削減するための効果的な方法を探る。

プロセス装置の監視

一般に、パターンなしテストウェーハ(あるいはモニターウェーハ)の欠陥数を測定してプロセス装置の稼動状態を監視するのはつぎのようなときである。定期点検(プリベンティブ・メンテナンス)の後、または製品ウェーハの投入前、または量産期間中の定期検査時、あるいは各製造シフトの前でのツール監視をするときなど。したがって、パターンなし検査装置は、定期点検後、または予定外のダウンタイムの後でプロセス装置を再検査するために実施し、プロセス装置の異常を迅速に診断するためにもちいるのである。さらに、新しく導入したプロセス装置の評価にも用いられ、さらにはプロセス装置をラインから外すかどうかという深刻な問題につながる装置からのコンタミネーション問題(ツールダウン問題)の診断を行うためにもパターンなしウェーハ検査ツールは使われている。

フロントエンドのような、クリティカルディメンジョンが微細でありより高い検査感度が必要とされるところではプロセス装置モニタリングで使用するウェーハは非常にグレ

ードが高い。プロセスモニタリングにおいては、このようなハイグレードなテストウェーハを1プロセスあたり1枚使用している。プロセス装置(プロセスチャンバをアクティブにした状態またはアクティブにしない状態で)で処理するテストウェーハを処理前後で検査することで、検査前後の欠陥数を引き算する計算方法、またはより高度な方法としてはマップ間欠陥オーバレイ比較を使用して計算する(参考文献1)方法によって、追加欠陥がどのようなものであるかを評価する。このような方法によってプロセス装置内で処理されたことによって追加された欠陥数が明らかになり、Particls Per Wafer Pass (PWP)を得ることができるようになる。

プロセス装置監視手順

プロセス装置モニタリング手順の最初のステップでは、テストウェーハをグレード別にビンに割り当てる。グレード(通常はA、B、C)は、さまざまな監視アプリケーションに応じたテストウェーハの品質を表す。微細なサイズの異物は、表面が粗いウェーハ上よりも滑らかなウェーハ上で確実に検出できるので、この場合はその表面の粗さである。表面の粗さは通常、検査ツールを使用してヘイズを検出することによって測定する。ヘイズは、ウェーハの表面から散乱した光の低周波かつ低振幅の成分である。ヘイズはppm単位で測定する。ppmは、入射レーザビームの強度に対する表面の平均散乱強度の比率である。ベアウェーハの場合、ヘイズは表面の粗さと高い関連がある(透過膜が存在する場合、ヘイズには膜パラメータの変動も含まれる)。

次のステップは、実際のプロセス装置モニタリングのステップであり、処理前検査と処理後検査を比較し、追加欠陥を数量化する。テストウェーハは最表面を化学的に洗浄することでプロセス装置で追加された膜レイヤと異物が除去され、次の装置モニタリングのために再利用できるようになる。化学的洗浄により、表面の粗さまたはヘイズ(図1の上側のループ)が大きくなったら、テストウェーハのグレード

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 12: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

を変更する必要がある。リサイクル処理を一定の回数行った後、テストウェーハが最も粗いグレードの仕様を満たさなくなったら、再生(再研磨)またはスクラップに送る(図1の左下のループ)。

モニタウェーハの寿命改善

欠陥検出感度は、欠陥信号とそのバックグラウンドノイズの比率によって決まる。バックグラウンドノイズ(ヘイズ)が検出スレッショルドに近づくにつれて、S/N比が低下する(図2の左)。誤検出を極力おさえるためには高い欠陥S/N比(通常3以上)が望ましい。

ただし、ウェーハ表面の化学洗浄(リサイクル)を繰り返して、テストウェーハの表面の粗さとヘイズが大きくなるにつれ、ウェーハの表面で微細な欠陥を検出するためのS/N比が低下する。ある検査ツールの結果を別のツールに適合させるというような製造現場の検討事項では、検査感度のスレッショルドを一定の値に保つことが指示されている。そのため、図2(右)からわかるように、検出スレッショルドを大きくすることで、増加したバックグラウンドノイズを抑制することはできない。したがって、特定のテストウェーハで行うリサイクル処理の回数は、表面の粗さがどの程度大きくなるかによって制限される。

ここで必要なのは、微細な欠陥に対する検査において、表面が粗いウェーハでもS/N比を高める方法を実現することである。最新世代のウェーハの表面検査装置であるSurfscan SP2は、旧世代のSP1よりも微細なスポットサイズを備えている。したがって、微細な欠陥にスポットのフォーカスを合わせるとき、スポットに含められる背景が小さくなる。そのため、Surfscan SP2は、粗いウェーハ上での感度がSP1よりも向上している。図3に、複数回リサイクルされた高へイズウェ

欠陥管理

Surfscan SP1 Surfscan SP2

Test wafers

PWP < XSP1Inspection

Grade A, B, C ProcessPre SP1

Inspection Post SP1

Inspection

New wafers

Scrap $300/wfr Re-polish $30 / wfr

Regeneration area

Grade

Reclaim 1 Reclaim 2 Reclaim 3

Roughness

A B C

GradingA < X1 counts <Y1 ppm

B < X2 counts <Y2 ppm

C < X3 counts <Y3 ppm

In-house chemical clean

図1:テストウェーハを使用したプロセス監視ループ

Threshold Threshold

Scan positionScan position

Lase

r sc

atte

rin

g s

ign

al (

pp

m)

Lase

r sc

atte

rin

g s

ign

al (

pp

m)

Haze Haze

Noise

図2:低へイズ値(左)および高へイズ値(右)のウェーハに関するウェーハの表面の粗さ(ヘイズ)と検査感度の関係。注:ノイズはヘイズに比例する

S/N

Rat

io

Defect Size (µm LSE)

18

0.06

15

0.07

12

0.08

9

0.09

6

0.10

3

0.11

0

0.12 0.13

SP2 HT Mode S/N vs. Wafer Haze Level

0.150.14

Low HazeMedium HazeHigh Haze

S/N

Rat

io

Defect Size (µm LSE)

18

0.06

15

0.07

12

0.08

9

0.09

6

0.10

3

0.11

0

0.12 0.13

SP1 HT Mode S/N vs. Wafer Haze Level

0.150.14

acceptable inspection window

acceptable inspection window

Low HazeMedium HazeHigh Haze

図4:さまざまなサイズの欠陥に関するS/N比とウェーハのヘイズレベルの比較(Surfscan SP1が左、SP2が右)。許容できる検査ウィンドウは、S/N比が3を超え、欠陥サイズが88nm LSEを下回る

図3:表面が粗いウェーハの感度の比較。最も微細な欠陥のS/N比が3を超える状態で、左側のSurfscan SP1マップは、大部分が疑似欠陥を示している一方で、右側のSP2マップは、大部分が実際の欠陥を示している

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 13: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

ーハを、Surfscan SP1検査装置(左)とSP2検査装置(右)でスキャンしたときのそれぞれのマップを示す。SP1のマップでは、S/N値が低いために疑似欠陥が相当数あることがわかる。また、実際の欠陥を捕捉するように設定された検査スレッショルドは、ヘイズ信号のピークを捕らえている。一方、SP2のマップでは、その優れた感度によって、ヘイズレベルよりもかなり高くスキャンスレッショルドを設定できるので、疑似欠陥が大幅に減っていることがわかる。信号を強化し、ノイズをさらに抑制するSurfscan SP2の機能によって、このタイプの検査が可能になり、テストウェーハを再生またはスクラップするまでより長期間にわたってリサイクルできる。

さまざまなヘイズレベルをもつウェーハをもちいてSP1およびSP2のS/N比を解析した。この結果を図4に示す。グラフの左上にあるのが、許容できる「検査ウィンドウ」である(S/N比が3以上で88nm以上の感度を達成)。High Throughモー ドでは、SP1ではMediumヘイズ、Highヘイズウェーハでは88nmの欠陥感度で3:1のS/N比の条件を満たすことができない。SP2では、強化された感度とバックグラウンドノイズ抑制機能により、高ヘイズウェーハの使用時でも高スループットモードで必要な感度を達成できる。

費用効果

図4より、Surfscan SP2をもちいれば、表面の粗いウェーハ上 でも十分な感度とS/N比を達成できることがわかった。この結果をうけて、同ファブの施設内再生センターに専用の

S P 2検査装置を配置した。Surfscan SP1検査で表面粗さがグレードBカテゴリであったウェーハは、現在のSP2検査ではグレードAカテゴリとなっている。その結果、同一ウェーハを化学洗浄センターで洗浄することによりリサイクルできる回数が増えた。ここで実際のリサイクル率は15%上昇したと見積もられた。このリサイクル率の上昇は、再研磨の必要回数の低減と対応している(図5)。

この改善されたリサイクル率の数字をもちいると、コスト削減効果を次のように見積もることができる。月産2万5千枚の300mmファウンドリで、テストウェーハの使用量が量産レートの3倍の7万

5千枚という前提で、Surfscan SP2の導入により施設内リサイ クル量が15%改善し最研磨量が15%削減できたとする。このケースでは、表1のとおり年間約300万ドルのコスト削減につながっている。このモデルは、さまざまなウェーハ投入数、テストウェーハ使用量などに合わせて調整できる。

まとめ

さまざまなコスト削減によってウェーハファブの生産性が向上するが、そのなかでも特にテストウェーハの再利用回数は、プロセス装置モニタリングのコスト削減に直接影響を与えている。本論文では、旧世代のツールに代えてSurfscan SP2検査装置を使用することで、モニタウェーハの再利用回数を増やすことができ、これにより新品テストウェーハの調達を削減することで、コストを削減できることを明らかにした。また、このコスト削減による費用効果に加えて、表面が粗い再生ウェーハから生じる誤った異常検出アラームによる製造中断を抑えることもできるようになり、そのような意味でもファブの製造現場の生産性を高めることができることがわかった。

謝辞

この論文は、上海(2006年8月)および北京(2006年9月)におけるKLA-Tencorイールドマネジメントセミナーで最初に発表された。

参考文献

1. Lorrie Houston, Motorola; John Anderson, Motorola; Rhonda Stanley, KLA-Tencor; “Process tool quali�cation using SP1TBI automated overlay feature,” KLA-Tencor Surfscan Applications Note (2002).

欠陥管理

Test wafers

PWP < XSP2Inspection

Grade A, B, C

Process Pre SP2

Inspection

SP2

Post SP2 Inspection

New wafers

Scrap $300/wfr Re-polish $30 / wfr

Regeneration area

Grade

Reclaim 1 Reclaim 2 Reclaim 3

Roughness

A B

In-house chemical clean$5 / water recycle

Recycle rate from 70% to 85%

$75K/month

20% 5%10%

図5:ウェーハのリサイクル率の純増加

ウェーハタイプ リサイクル 再生 スクラップ テストウェー

ハの総コストウェーハのコスト $5 $30 $300

ウェーハ投入量 (合計75,000枚)

52500 → 63750 15,000 → 3,750 7,500

リサイクル率(SP1) 70% 20% 10% 296万ドル

リサイクル率(SP1+SP2)

85% 5% 10% 268万ドル

月間コスト削減額 $56,250 $337,500 変化なし 28万ドル

表1:ウェーハリサイクル率の上昇による月間コスト削減額(推定):28万ドル、年間コスト削減額:336万ドル

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 14: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

パターン微細化への流れが続いた結果、従来の形式の計測データに加え、リアルタイムでの装置データを統合する必要性が出てきた(1)。半導体業界では、プロセス装置との関連において計測データを、オフライン(ラインから切り離した解析のための計測)データ、インライン(プロセス直前・直後の計測のために装置と直結または近傍での計測)データ、およびin-situ(プロセス中での計測のために装置内に組込み計測)に分類・定義している。ITRSのMetrology and Factory Integration分科会も2007年版改訂のロードマップに「オフライン/インライン/in-situ計測」の分類を記載することになっている(2)。

計測用ウェーハは、空間分布(オフラインデータ)と同時にリアルタイム情報をプロセス内部から収集する(in-situデータ)だけでなく、これらの計測値をプロセス直前直後に読み込む(インラインデータ)ことができるという点で、上記の3つのカテゴリ全体を網羅するものとして位置付けられる。次節では、45nmノードに関連するさまざまなアプリケーション事例について取り上げ、計測用ウェーハの用途を考察する。

物理気相蒸着(PVD):Cuバリヤー/シード形成における温度分布のチャンバ間マッチング

半導体工程にCu配線が導入されたことにより、シード・レイヤおよびバリヤー形成に細心の注意を払う必要が出てきた。成膜温度の低下に伴い、ワイヤレス方式のセンサ・ウェーハは、成膜プロセスの特性を評価し、チャンバ間マッチングを実現する上での有用な手段となっている。

2つの量産用Cuシード形成チャンバを、様々なRF電力およびチャック温度条件で比較調査した(3)。室温、低温、および超低温の各カソード温度条件に対し、低電力および高電力条件を評価した。図1aおよび1bに基準条件(低電力、低温)を示す。この図から、2つのチャンバ間では熱の均一性と平均温度に差があることが一見してわかる。チャンバAでは、ノッチ近くのエッジの非均一性が顕著である。チャンバBでは、同心円状でほぼ均一なパターンであり、温度レンジが狭い範囲に収まっている。SensorWaferの実行で得られた温度の空間分布データを、RF電力およびチャック温度パラメータでモデル化し検証を行なった。チャンバ間のマッチング不良はノッチ付近に局所的に現れており、RF電力供給の非均一性によるものと判断された。

化学気相蒸着(CVD):プラズマ窒化工程

このCVD膜の良否は、成膜時のウェーハの温度に大きく依存する。ウェーハ温度は、ソース電極およびバイアス電極を通じてウェーハに供給される電力だけでなく、静電チャックや熱プレート内部の温度制御によって左右される。

パターンの微細化に伴い、CVDプロセスは低温化してきた。従来、熱処理CVD電気炉は600~1000°Cで運用してきた。プラズマCVD(PECVD)の導入で、ウェーハ温度は250~550°Cにまで下がった。その後、45nmノードでの超low-k誘電体やhigh-kゲート構造の到来により、ウェーハ温度はさらに下がっている。近年、30~50°Cの範囲のウェーハ温度を使用し、超low-kバリヤー層としてPECVD膜が開発された(4)。一部のhigh-kゲート材料に絶縁やバリヤーなどの特性を付加するためにプラズマ窒化が実用化されている(5)。

計測

45nmノードのプロセスで用途が拡大するウェーハ・レベル計測Paul MacDonald, Greg Roche, Mark Wiltse -– KLA-Tencor Corporation

製造装置のトラブル・シューティングやモニタ等、多岐にわたるプロセスの最適化にKLA-TencorのIntegral™ 、SensorWafers™を

はじめとする計測用ウェーハの用途が広がっている。これらの専用ウェーハには高精度かつ時間系列で計測を行うための計測

装置一式が組み込まれており、ダイナミックに変動するプロセス環境に対するウェーハの挙動を調べることができる。

Mean 81.121Range 47.365

Mean 71.807Range 33.599

a) b)

図1:低電力、低温Cuバリヤー成膜:a) チャンバAの基準温度分布(左)、b) チャンバBの基準温度分布

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 15: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

図2に、最大温度40°Cでのプラズマ窒化プロセスの温度特性を示す。ウェーハがそのピーク温度に達する過程で温度の均一性と熱挙動を一回のテストで把握できた。図の右側に示すのは温度の空間(面内)分布である。

次に、低温プラズマ窒化プロセスで実験を行い、シーズニング・ウェーハがウェーハの温度分布に対してどう影響するかを調べた。ここでは、3枚のウェーハを使用したシーズニングを1組として各シーズニングの前後にSensorWaferによる計測を実行した(図3)。シーズニング・ウェーハの9枚目でチャンバは定常温度に達した。興味深いことに、シーズニングの過程で、ウェーハ面内温度分布が変化した(図4)。

化学機械平坦化(CMP)

化学機械平坦化(CMP)は、ウェーハの表面に研磨パッドを接触させ、スラリーの物理的研磨作用と化学的研磨作用の両方を組み合わせて膜の凹凸を取り除くプロセスである。このCMPプロセスで温度は制御対象になってないが研磨ヘッドの回転速度、プラテンの回転速度、ヘッドの圧力、スラリー流量などのいくつかの主要な制御パラメータに依存して温度が変化する(6)。研磨時、プロセス条件の変化に対するウェーハ表面温度の挙動観察にSensorWafersを使用した。

図5に、Integral SensorWaferによって収集された温度と時間の追跡データを示す。これらの追跡では、全体の温度、ウェーハ全体にわたる変動、回転効果など興味深い温度データ特性が見られる。

リソグラフィ時のベーク温度によるCDのチューニング

リソグラフィ工程は、SensorWafer計測の最も重要な応用分野の1つである。線幅の微細化に伴い、リソグラフィのプロセスは温度変動に対して敏感になっており、リソグラフィ・セル内のプロセス制御と装置ハードウェア間のマッチングへの負担が重くなっている。たとえば、SensorWaferは、ベーク・プレートの温度調整に頻繁に使用される。温度の空間分布(プレート面内分布)と時間変動(温度変化率)、さらにプレート間の温度マッチングに活躍する。計測された温度分布データはリソグラフィ装置に保存される。これに基づき、SensorWaferを使用して定期PMや異常発生時に装置状態のモニタを行なうのである。

本研究では、SensorWafer出力を使用してCD制御を行なった。すなわち、露光後ウェーハのCDは、フォトレジストのベーク・プレートの温度分布を調整することで最適化した。温度分布の調整は以下の3段階で行う。

基準となる温度データを収集する。最適制御入力を計算し、ベーク・プレートの各ゾーンの温度を調整する。温度性能を検証する(7)。

この例では、48nm線幅のプロセスにおいて7つの温度ゾーンを持つPEBプレートの温度を最適化してCD制御を行なうものである。専用ソフトウェア(AutoCD™)を使用して各ゾーン温度の制御入力を計算し、1回の補正を行った。図6に、プレート温度調整前および調整後のウェーハCD分布の結果を示す。調整の結果、CDのバラつきの範囲は22%改善した。

1)

2)

3)

計測

Time (s)

Tem

per

atu

re ˚C

図2:常温プラズマ窒化プロセスの温度反応。各トレース線は1つの温度センサを表している。トレース線が全体的に散在していることは、空間的な非均一性を示す

Cumulative seasoning wafers

Temperature profile vs. seasoning wafers

Tem

per

atu

re m

ean

(˚C

)

Tem

per

atu

re r

ang

e (˚

C)T-mean

T-range

0 5 10

6

5

4

3

2

1

6

39

38

37

36

35

3415 20

図3: シーズニング過程の温度分布推移

図4:シーズニング前(左)とウェーハ9枚によるシーズニング後(右)の面内温度分布阿

20C

2C /1sec

4C

Global temperature rise during polishingAcross-wafer variation by radial zoneRotation effectsEdge variation due to rotation is much greater than the center

図5:CMP特性評価テストにおけるIntegral™ ウェーハを使用した温度 の時間変動データ

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 16: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

プラズマ・エッチング

プラズマ・エッチングはSensorWafersの最も重要な応用分野の1つである。プラズマ・エッチング・プロセスは非常に複雑である。ウェーハのプロセス結果は、プロセス条件だけでなく、エッチングされるデバイスの材料とパターン形状にも大きく依存する(8)。それに加え、エッチャのチャンバ内の状態も変動する。チャンバ表面は物理的な接触や化学物質への暴露により変化する。エッチング結果は通常、温度に大きく依存するので多くの場合、温度がウェーハ表面のプラズマ状態の指標として適している。通常、市販の反応炉ではソース電極とバイアス電極に対して電力を供給する。以下は、エッチング工程におけるSensorWafersの基本的な事例を4つ示す。

プラズマ・エッチング例1:温度によるチャンバの定期点検後の診断

エッチャのプロセス・チャンバでは、一貫したデバイス性能を実現するために頻繁に定期点検(PM)作業を行う必要がある。SensorWafersには、チャンバの健康状態を診断するためのポータブルで強力なプラットフォームとなる。

図7に、洗浄サイクル時のチャンバの健康状態を表したものを示す。チャンバの稼働状況を把握するために、温度範囲(最高温度と最低温度の差)を定期的に測定した。温度範囲が上部管理限界(UCL)を超えた場合にPMを実施した。UCLを超えたチャンバ異常の面内分布を調べた結果、異常部位はウェーハのエッジ部で見つかった。PM終了後、チャンバ性能を検証し、チャンバを量産ラインに戻した。

プラズマ・エッチング例2:温度に基づくチャンバ間マッチング

クリティカルなエッチング工程においてはエッチャのチャンバ間マッチングは、今後も難しい技術課題であり続ける。パターンの微細化とアスペクト比の増大により、微妙なチャンバ間差を特定し、これを補正しなければ、望まれるレベルの性能を達成できない。歩留まりを制限する変動の原因を特定するために2つのチャンバを比較した(9)。基準となるゴールデン・チャンバと被検査チャンバの調整パラメータの特性を評価した(図8)。マッチング調整に使用可能な各調整パラメータがどんな効き方をするのかを、SensorWaferデータと高性能解析ソフトウェアを組み合わせて評価したものである。

表1:プラズマ・エッチングの制御変数と対応する温度反応(SensorWaferで計測)

両チャンバの各プロセス変数は、直感的に予想された通りの反応を示した(表1)。マッチング不良の原因を特定するために、各項目に形状比較アルゴリズムを適用し、その結果チャンバ間差の迅速な修復を実現する調整パラメータとして下部電極の温度が選ばれた。

計測

Recovered Chamber

Chamber Deviation

Time Units

20T - Range [All]

UCL

Nominal

16141210 8 6 4 2 0

11.55

10.13

8.70

7.27

5.84

4.41

図7:SensorWafer計測による温度のS管理図と面内温度分布の詳細

PM1Golden chamber

Model A Model B Difference

Model A Model B Difference

Model A Model B Difference

PM2Problem chamber

Difference

LowerelectrodeRF powerincrease

Edge Hecooling

Center Hecooling

5.00E-02 2.50E-02

-2.50E-020.00E+02

5.00E-01 7.00E-01

-3.00E-01-5.00E-01

0.00E+00 3.00E+01

-2.00E-00-5.00E-00

Model A Model B Difference

Lowerelectrodetemp

1.70E+00 3.00E+01

-7.00E-007.00E-00

Model A Model B Difference

Chamberpressure

1.00E+01 5.00E+02

-1.00E-01-2.00E-00

˚C /

˚C

˚C /

˚C

˚C /

T

˚C /

T

˚C /

T

˚C /

T

˚C /

W

˚C /

W

˚C /

mt

˚C /

mt

図8:ゴールデン・チャンバと被検査チャンバの比較。PlasmaRxソフトウェアを使用して各プラズマ反応炉の特性を評価した。空間分布解析エンジンを実行した結果、下部電極温度が、チャンバ間差の原因であることが判明した

プロセス変数とその反応 - 要約

プロセス変数 数値上昇に対する反応

下部電極のRF電力の増加 ウェーハ全体への熱量増大

ウェーハ・エッジ部のヘリウム冷却 ウェーハのエッジ部における熱量低下

ウェーハ中心部のヘリウム冷却 ウェーハの中心部における熱量低下

下部電極の温度 ウェーハ全体の熱量増大

チャンバ圧力 放射効果

CD

Pre CDMean: 48.56 nmNormalized 3σ: 1

Mean: 48.83 nmNormalized 3σ: 0.78

Validation

–50.97

– 46.65

– 48.02

–49.50

図6:プレート温度分布の補正前(左)および補正後(右)のウェーハCD分布

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 17: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

プラズマ・エッチング例3:温度による問題のトラブル・シューティング

インライン欠陥検査マップによって、自己調整コンタクト(SAC)エッチング工程において特定のダイで許容不能な局所的性能低下が明らかになった(10)。SensorWafer(Plasma-Temp™)で装置状態を調べたところ、ウェーハ中心部で温度が約3˚C高くなっていることが示された。面内温度分布のマップをレビューした結果、局所的に顕著な異常が認められた (図9)。温度異常の発生箇所はリフト・ピンの位置に一致していたため、リフト・ピンを調べた結果、その降下

量の設定が不適切であったことが判明した。プローバの試験データを解析した結果からもSACのアンダー・エッチングはリフト・ピン上部のダイでのみ発生していることが確認された。ハードウェア問題を解決し、その結果得られた温度分布が基準温度分布と一致したことから不具合が解消されたことが確認された。量産再開後のプローバ試験でもこのことが裏付けられた。

プラズマ・エッチング例4:SensorWafer電気計測によるプラズマ・プロセス監視

温度はプラズマ・エッチングの特性評価の指標として有効であるが、一部のプラズマ・エッチング環境においてはこれだけでは不十分である。この事例では、ウェーハ表面の電圧を測定する(PlasmaVolt™)ことでより有益な診断情報が得られることを示す。

図10は、エッチャによって測定されたVpp電圧低下と、同時に計測されたPlasmaVoltによっても電圧低下の計測結果である。このとき、温度計測用ウェーハはこの間の温度が定常状態で安定していることが示された。これはプロセスに熱的慣性があった上、電力が小さかったために逆向きの熱束が発生し、結果的に熱平衡が保たれたからである。

高感度の電気計測により、温度に連動しないような微妙なプロセス変動の特性評価を適切に行えるようになる。この量産工場の例では、チャンバE固有の問題として発生したエッチングCD異常の診断に電気計測用SensorWaferが採用された(11)。既存の試験手法では2つのチャンバの機能差を識別することはできなかったのである。問題チャンバEと優良チャンバDから得られたデータ追跡情報を比較した結果、Sensor-Wafer電気計測によってエッチング時の不安定性が示された(図11)。これは電圧測定なので、この不安定性はRF電力供給システムが原因であると考えるのが妥当である。問題はすぐに、電力供給ケーブルの不良であると判明した。ケーブル交換後、ウェーハの追跡計測を実行し、CD値は正常値に戻った。

結論

これまで、in-situのウェーハ・レベル計測の例をいくつか考察してきた。KLA-TencorのSensorWafersをはじめとする計測用シリコン・ウェーハは、半導体プロセス内部で何が起きているかの理解を深める上で極めて有効なツールである。これまで述べてきた主要な動向を以下に整理しておく:

プロセス・ウィンドウの縮小は、製造容易性問題と相まって、in-situウェーハ・レベル計測に対する需要はますます高まる。

プロセス温度の低下および熱バジェットの縮小により、ワイヤレス式のSensorWafersがより望ましい形態となってきた。電気計測は、プラズマ・システムの温度管理を強力に補完する。

計測

Faulty

Hot spot

Normal

-11.0-7.0-3.0-0.0

-11.0-7.2-3.6-0.0

図9:正規化された温度分布。リフト・ピンが原因のホットスポット不良(左図)、不良解消後(右図)

Temperature Data

Reported Chamber Vpp

PlasmaVolt Data

850

2000

4000

6000

60

40

80

100

0 900 950 1000 1050

50 100 150 200 250 300

Time (s)

Time (s)

RF

Vo

ltag

e (V

)Te

mp

erat

ure

(C

˚)

図10:エッチャのチャンバ時間で同期したデータ。PlasmaVoltデータ(上)、バイアス電力供給システムから報告されたVpp電圧データ(中)、SensorWafer温度データ(下)

Chamber D Chamber ENominal CD

Time (s) Time (s)

“bad” CD

RF

Vo

ltag

e (V

)

420 440 460 480 500 520 300 320 340 360 380 400

2900

2800

27002600

2500

2400 RF

Vo

ltag

e (V

) 2900

2800

2700

2600

2500

2400

図11:2つのプラズマ・エッチング・チャンバのPlasmaVolt追跡データ、それぞれ正常および規格外CDを示す

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 18: Yms sm07 final jpn

SensorWafers

ワイヤレス計測用ウェーハ(“SensorWafer”と呼ばれる)は、標準的なウェーハ搬送ロボットで搬送できるという利点がある。SensorWafersは搭載されている電子回路の関係で仕様可能な環境温度が制約される(通常、持続温度で約140°C)。近年、半導体ロードマップのノードごとにプロセス温度は低下し、熱バジェットは縮小している。このことが、ワイヤレス計測の利用を加速している。大部分のSensor-Wafersは温度を測定するものであるが、最近は電圧を測定するものも出てきている。

上の画像は、10µmのポリイミドでコーティングされたIntegral™ウェーハを示す。ポリイミドは可視光に対して透明なので、電子回路や温度センサの位置の詳細を確認できる。すべてのセンサおよび電子制御系はウェーハの表面の下に配置されている。この温度計測用SensorWaferには他にもいくつかの種類があり、たとえば、シリコン、シリコン酸化膜、その他のユーザ指定のコーティング材料でも形成可能である。これらの計測用ウェーハはウエット・プロセス、CMP、リソグラフィ、低温CVD、PVD、プラズマ・エッチングなどの用途に応じて使い分けることが出来る。

次の画像は300mmのPlasmaVolt™ ウェーハである。表面に電気センサと計測回路が見える。ウェーハ表面から出ているセンサおよび電子回路の段差は最大で3.4 mmである。この程度の段差なら、ほとんどの量産用300mm真空プロセス装置に標準の搬送ロボットで載せることが可能である。ウェーハ全体は、化学的にフォトレジストに似たポリイミドで覆われている。これらのタイプのSensorWaferは基本的に、プラズマ・エッチングでの使用に適している。

参考文献

1. International Technology Roadmap Semiconductors 2006 Update, Li-thography. http://www.itrs.net/Links/2006Update/FinalToPost/08_Lithogra-phy2006Update.pdf.

2. M. Janakiram, “ITRS Factory Integration Presentation,” Presentation to Create, Arizona State University, January 2007, http://create.asu.edu/calen-dar2/pdfs/ITRS_Factory%20Facilities_Jan2007.pdf.

3. P. MacDonald,“In situ thermal measurements for Cu barrier seed deposi-tion,” OnWafer Technologies, Inc., 2005.

4. L. Zambov, K. Weidner, V. Shamamian, R. Camilletti, U. Pernisz, M. Loboda, G. Cerny, D. Gidley, H Peng, R. Vallery, “Advanced chemical vapor deposition silicon carbide barrier layer technology for ultralow permeability applications,” JVST A Vol 24(5) September 2006 pp. 1706–1713.

5. A. Callegari, P. Jamison, D. Deumayer, F. McFeely, J. Shepard, W. An-dreoni, A.Curioni, C. Pignedoli, “Electron Mobility dependence on annealing temperature of W/HfO

2 gate stacks: the role of interfacial layer,” Journal of

Applied Physics, Volume 99, 2006.

6. H. Hocheng and Y.L. Huang, “In situ endpoint detection by pad tempera-ture in chemical mechanical polish of copper overlay,” IEEE Transactions on Semiconductor Manufacturing, Vol 17, No 2 May 2004 pp. 180–187.

7. S. Wang, P. MacDonald, M. Kruger, C. Spanos, M. Welch, “CD uniformity improvement and IC process monitoring by wireless sensor technology,” IEEE 2004.

8. I. Husala, K. Enke, H. Grunwald, G. Lorenz, H. Stoll, “In situ silicon wafer temperature measurements during RF Ar-ion plasma etching via �ouroptic thermometry,” J. Phys D Applied Physics 20 (1987) pp. 889–896.

9. P. MacDonald and M. Kruger “Component health monitoring and diagnos-tics in plasma Etch Chambers using in-situ temperature metrology,” SEMI® Technical Symposium: Innovations in Semiconductor Manufacturing (STS: ISM) 2004.

10. Brown, T. Schrock, K. Poolla, M. Welch, P. MacDonald “Rapid diagnostics of etch processes in high-volume production using temperature metrology,” Semiconductor Manufacturing. Volume 4(10), pp.140–156, October 2003.

11. G. Roche, P. Arleo, P. MacDonald, “Wafer based diagnostics for dielec-tric etching plasmas,” Northern California AVS, Meeting of Plasma Etch Users Group, May 2007, http://www.avsusergroups.org/peug_pdfs/PEUG_07_5_

Roche.pdf.

計測

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 19: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

65nmや45nmノードに向けて新しい材料や構造が出現する中、薄膜の測定技術に対する要求がますます複雑さを増し、測定バジェット(許容値)は厳しくなっている。いくつかの主要プロセスでは、もはや膜厚と屈折率のモニタリングだけではプロセス管理に不十分で、組成、多孔率その他のパラメータを測定、あるいは推察しなければならない。これらのパラメータで光学特性が体系的にばらつくことを利用し、分光エリプソメトリ(SE:Spectroscopic Ellipsometry)の応用分野において達成された最近の技術的進歩によって、High-kゲート絶縁膜、窒化ゲート酸化膜、BドープSiGeなど多様な材料の組成監視のために、SEは研究開発や生産環境にうまく採用されてきた。新しい材料や複雑な構造を取り扱うにあたって、プロ

セス管理に関する重要な課題や要求があり、光学的薄膜測定技術を使った新しいアプリケーションデータや将来性のあるソリューションが検討されていくだろう。

複数の分野における課題

65nmや45nmノードでは薄膜の測定技術は複雑になり、より精度が求められるようになるだろうという見解にはほとんど異論がない。その傾向は、しだいに厳しくなるプロセスウィンドウと測定許容値(一般的な経験から言えば、トータル膜厚の測定バジェットはプロセスバジェットの10%以下)と共に、他の二つの要因によって促進される。つまり、フロントエンドとバックエンドのどちらにおいても多くの新材料や革新的な構造が導入されることと、モニターウェーハ膜厚を生産ウェーハの代わりに測定するやり方から生産ウェーハ膜厚の測定に変更されること、である(1-5)。

フロントエンドでは、多くの新材料導入によって測定やプロセス管理上の新たな課題が生み出される。まず、Si基板からSOI(Silicon On Insulator)基板へという緩やかな移行である。これらの課題は新たな要求を生み出す。SOI基板では、薄膜表面Si層と埋め込み酸化膜の膜厚と均一性のモニタリングが必要である。また、SOI基板を使用すると、ゲート絶縁膜と多層構造の測定が非常に困難になる。表面Si膜はHeNe波長(633nm)で透明なので、複数パラメータ測定(ゲート酸化膜、表面Si膜、埋め込み酸化膜を同時に測定)となり、これは標準的な固定アングルの単一波長エリプソメータ(SWE:Single

Wavelength Ellipsometry)では測定不可能である。

Siチャネルに歪みを導入するため複数の方法が試みられている。例えば、圧縮しながらチャネルに圧力をかけるためBドープBSIG(Ge、B、そしてBドープSiGeの膜厚を監視する必要がある)をソース/ドレイン領域に使用したり、チャネルに引張応力や圧縮応力をもたらすため高圧をかけた窒化層(応力

計測

45nmへ向けた分光エリプソメトリ膜厚測定Arun R. Srivatsa -– KLA-Tencor Corporation

分光エリプソメトリ(SE)は、近年の半導体工場において、薄膜を生産ラインで管理する上で不可欠で重要なテクノロジであ

る。今回、スペクトルの安定性向上、短波長の使用、その他多くの改善によって、SEテクノロジは膜厚および屈折率の測定

のほかに、65nmおよび45nmノードでの窒化酸化膜、ボロンでドーピングされたシリコンゲルマニウム(SiGe:B)、high-k材料な

どの、多くの膜の組成の測定も可能としたので、それを紹介する。

TiN

Ru

P

d Laminated Material Engineered M

aterial

SiO

C C

-SiO

2

Ultr

a-Lo

w-K A

LD-Barrier ALD-Seed Electroless Cu Engineered Material

SiON

PSG Cu Ta/TaN

Al W Ti T

iN T

iSixN y

BPS

G

W H

fO2 AL

2 O3 SiO

2 S

iOxNy

P

oly

W

Six

HfO

2

Al 2

O 3

Al2O3+HfO2 BST Ni Ti Co

SiOx N

y SiO2

Poly

WSi x

Si 3N4

Poly

SiO2

HDP-Ox

SO

I SiG

e

Si-Epi

Isolation

Transistor

Capacitor

Interconnect

Substrate

図1:これまでの技術ノードに比べ早いペースで、非常に複雑な多くの新規材料が導入されている

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 20: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

監視)を使用したりするのである。プロセス管理の要求や方法は使用するパスによって異なる。ゲート酸化絶縁膜はより薄膜化し、より高濃度に窒化されるので、膜厚と酸化膜中の窒素濃度のどちらも管理する必要性がでてくる(図1)。

High-kゲート絶縁膜は45nmノードで採用されることが最有力視されている。候補に挙がっているHfSiOxNyのような材料は、効果的なプロセス管理のために複数の要素/組成を同時に監視する必要がある。より多くの変数を監視する難しさに加え、これらパラメータからの誤差幅が全体的な測定許容値に食い込んでくる可能性があるので、各変数の許容値は一般的に厳しくなる。High-k膜の計測に関連したさらなる課題は、High-k絶縁膜とSi膜の間にあるメタルゲート電極と界面層の監視である。フロントエンドプロセスでは、キャパシタ用に2層(バイレイヤー)構造とナノラミネートベースのHigh-k材料積層構造も導入されている。

フロントエンドプロセスでは多くの問題が起きているが、バックエンドプロセスでもLow-k材料とCuが重大な問題をもたらしている。Low-kのCドープ酸化膜(CDO:Carbon-Doped

Oxide)を関連するバリア層やエッチストップ層と共に使用すると、より複雑な積層構造でより厳しい測定管理が要求される。そして、多孔質Low-k絶縁膜は複雑さを増す。なぜなら、現在のところは孔のサイズや分布は生産監視に不必要なパラメータに思われるかもしれないが、多孔性と誘電率の一方あるいは両方の推測は生産管理に必要なものだからである。

生産ウェーハを検査するという傾向は、特に300mmのモニターウェーハをなくしたいという願望が背景にあることが大きい。ダイのばらつきとスクラブレーンにおけるより大きい形状ばらつきの相関関係が乏しいので、場合によってはプロセ

ス管理にin-die測定が必要である(6)。通常、生産ウェーハ測定はスクラブレーンの大きなパッド上で行われる。微細化が進むと、多くのクリティカルなプロセスが影響を受ける。例えば、シャロートレンチアイソレーション(STI:Shallow Trench

Isolation)では、スクラブレーンのパッド上におけるCMP率とダイ中のCMP率の相関関係が著しく乏しい。STIのプロセス管理には酸化膜と窒化膜積層のin-die測定が必要である。

膜厚計測問題の解決

多くはSEをベースとした光学薄膜計測が、ファブ全体を通してプロセス管理に広く使われている。SEは、モニターウェーハ、生産ウェーハのどちらの測定にも使われる、高速の非破壊法である。SE法は2つの主要な要素から成る。つまり、膜からの情報を抽出する高いスペクトル忠実度を持ったハードウェアと、スペクトル情報とアルゴリズムツールを使って実行可能なソリューションを作り出すアプリケーション技能である。両分野における最近の進歩によって、研究開発と生産環境のどちらにおいても複雑な膜の組成が監視できるアプリケーションのような、実行可能なSEベースのソリューションが生み出された。

ハードウェアの主な技術向上とは、スペクトルの安定性を高めることにつながった光学技術の進歩や、SEをDUV波長(~150nm)まで延命したことなどがある。これら2つを組み合わせると重要な要素になる。なぜなら、DUV波長への延命は、これらの波長でよりすぐれた吸収率を持つ薄い絶縁膜からのより多い情報抽出を可能とし、スペクトルの安定性は解像度を上げて測定誤差幅を最小化し、ますます厳しくなる要求を満たすのに役立つからだ。

計測

0.02

0.01

0.00

-0.01

-0.02

-0.04

-0.03

300 400 500 600 700

0.03

0.02

0.01

0.00

-0.01

-0.02300 400 500 600 700

SEα

SEα

SEβ

SEβ

0.03

0.02

0.01

0.00

-0.01

-0.02300 400 500 600 700

0.02

0.00

-0.02

-0.04

ASET-F5x SpectraFx

Error-SE1

Error-SE2

Error-SE3

Error-SE4

Error-SE5

Residual spectral errors

300 400 500 600 700

図2:スペクトル残差はすべての波長でゼロに近く、残差の「痕跡」は最新のSEシステムで再現性がある

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 21: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

スペクトル安定性は、薄い酸化膜からスペクトル誤差(測定スペクトルと理論スペクトルの差)を評価することによって容易に判断できる。我々の装置を用いた例で示している通り2つの世代のSEシステム(ASET-F5xとSpectraFx)のスペクトル品質を調査した(図2)。新しいSpectraFxの残差は、すべての波長でかなり小さく、ゼロに近いようだ。これら生産装置上での誤差の大きさは、同様のテストを使って研究レベルのシステムから得られた誤差と同等であることが分かった。同じように重要なのは、この最新のSE装置に見られる、残りの小さい残差の「痕跡」はどの装置でも事実上同じようだ。スペクトルの観点からいうと、測定ハードウェアは本質的に合致する。高いスペクトルの安定性とシステム間ばらつきの少なさは、最も難しい膜アプリケーションに対して求められる極めて厳しい要求を満たすためのカギである。

薄いゲート絶縁膜の光学的監視と言えば、浮遊分子吸着汚染(AMC:Airborne Molecular Contamination)の問題は避けて通れない。詳細な議論も行われている。AMCに対応するため、エリプソメトリ技術と脱着装置(desorber)を使って、膜厚と薄いSiONゲート絶縁膜中のN濃度を監視しようと、生産実績のある実行可能なソリューションが作成された。このソリューションでは、実験計画法(DoE:Design of Experi-

ments)のあらゆる地点で、測定SEパラメータとN濃度のベースラインデータ間によい相関関係が繰り返し示された。現在、この光学ソリューションタイプは世界中のいくつかのファブでうまく実行されている(7)。

High-kゲート膜の光学的計測

候補に挙がっている材料はほとんどがHfベースの酸化物あるいはケイ酸塩で、HfO2、HfSiOx、HfSiOxNyなどがある。これらの材料と共に、通常、20~40ÅのHigh-k絶縁膜とSi膜の間に膜厚5~10Åの界面層がある。この界面層はバルクHigh-

k材料より誘電率が低い。通常のプロセス管理方法は、High-k絶縁膜とSi間の界面層を電気的に監視することに加え、膜厚とバルクHigh-k絶縁膜の組成監視に頼っている。これらのHigh-k材料の光学的特性は組成によって体系的にばらつく。特に150nmまでのDUVという短波長では吸収率が増加するため、これらの材料に対して感度が上がる。この情報を使い、ハードウェア、アルゴリズム、アプリケーション方法における最近の進歩を利用することで、SEは2つの組成パラメータを同時に監視することができる。

開発ファブで行われたHigh-k膜組成の光学的測定の例とHfSiOx

DoEの結果を図3に示す。この例では、SEはHfSiOx膜中のSiO2濃度をマッピングし出力するために使われた。HfSiOx膜中の50%近いSiO2濃度のばらつきという広範囲な組成が、複数のウェーハを使ったDoEの各地点でサンプリングされた。X線光電子分光装置(XPS:X-ray photoelectron spectroscopy)は参考技術として使用された。XPSとSEの両方を使って、DoEに基づき、各ウェーハ全面(中心からエッジまで)21カ所の測定が行われた。光学モデルを作成するため150nmまでのDUV波長が使われた。その結果、組成についてのSE出力と、DoE各地点でのXPSベースラインデータとDoEでの各ウェーハ内XPSベースラインデータの間に強い相関関係が見られた。HfSiOxNy膜については、膜中のSiO2濃度とN濃度の両方を同時に計算するため、最近開発されたアルゴリズムモデルが使われた。そして、DoEの広範囲な組成とともに変わる各ウェーハ内の組成ばらつきを追跡する能力を確認するため、HfSiOx膜同様、DoEに基づいて各ウェーハ面内21カ所が測定された。ここでも、DoEでサンプリングされた広範囲な組成でのベースラインデータとよい相関関係が見られる。

2層(バイレイヤー)構造の監視

High-k材料と同じく、SiGeの光学特性はGe濃度の増加によって体系的なばらつきがある。高濃度Bドープは光学特性に二次的な影響を与える。単層のBドープSiGeと2層のSiキャップ/BドープSiGe/Si構造の両方を同じレシピで測定するため、

計測%

SiO

2 (S

E)

%SiO2 in HfSiOx

%SiO2 (XPS)

a)

50%SiO2

y = 0.9881x + 1.0923R2 = 0.9954

y = 0.8012x + 11.828R2 = 0.9096

y = 1.1088x + 1.0751R2 = 0.9676

b)

%N

(SE)

%N

%N (XPS)

8%N

%Si

O2

(SE)

%SiO2

%SiO2 (XPS)

25%SiO2

SE vs XPS

図3:(a) SEを使ったHfSiOx膜の組成監視と、(b) SEを使ったHfSiOx膜中の2組成同時確認

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 22: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

比較的一定のB濃度(いくらかばらつきあり)のDoEを使い、Ge濃度に体系的なばらつきをもたせて、SEベースの光学ソリューションが作成された。BドープSiGe層中のGe濃度に加え、BノードSiGe層とSiキャップ層の膜厚が同時に測定された。ここではX線回折(XRD:X-ray diffraction)と二次イオン質量分析法(SIMS:Secondary Ion Mass Spectrometry)がベースライン技術として使用された。先に述べた他のアプリケーション同様、Ge濃度の光学的測定とベースライン技術間の優れた相関関係が達成された。

生産環境で複数のパラメータを同時に追跡調査する能力は図4の結果に見られる。BドープSiGeとSiキャップ膜厚はおおよそ似ているがGe濃度は異なる4枚のウェーハを使ったDoEの結果がプロットされる。標準的な9カ所Prometrixパターンを使って、ウェーハの中心からエッジまでの測定が行われた。BドープSiGe層の名目膜厚は1000A以上で薄いSiキャップ層を持つ。9ヶ所のパターン内では、異なるGe濃度で、リアクタの特徴がBドープSiGeとSiキャップの各膜厚で再現される。また、生産環境における3装置からのデータは、異なるパラメータに対する結果がよく合致することを示している。前述のスペクトル忠実度のお陰で、装置間ばらつきの低減が可能である。

超薄膜ONO積層測定技術

薄い酸化物/窒素/酸化物(ONO)膜の積層はDRAMとフラッシュメモリー積層のどちらにも使われる。90nmノードではフローティングゲートフラッシュのターゲットN膜厚は50Å以下である(65nmでは30Åまで縮小するかもしれない)。これはトップとボトムの酸化膜間で極めて高い相関関係が要求されるので難しい測定となる。この相関の程度は2つの酸化膜を分ける窒化層の膜厚によって決定される。なぜなら、窒化層が薄膜化するにつれて相関が著しく強まるからだ。窒化膜は短波長で吸収率が上がるので、短波長を使うことによってトップとボトムの酸化膜間のコントラストが増加する。これらの測定を可能にするため、SE技術は、50Åの窒化膜を持つONO積層向けDUV波長(190nm)まで、そして30Åまで薄膜化された窒化膜を持つ真空紫外(VUV、150nm)にも対応できるように延命されなければならない。

190SEシステムと150SEシステムが持つプロセス変化を正確に追跡する能力についてモニターされた。両システムは、高精度で窒化膜の膜厚を追跡する。190SEシステムは、窒化膜厚50Åまでトップとボトムの酸化膜厚に対して均一な反応を示すが、それ以下の窒化膜厚になると酸化膜間のずれや相関を示し始める。150SEシステムは、デザインルールを通じ、DoE全体に渡って、トップとボトムの酸化膜厚に対し均一の反応を示す。よって、50Å以下の窒化膜を持つ薄いONO積層のプロセス監視には150SEシステムが推奨される。

多層、複数パラメータの測定

優れたスペクトルの安定性と信頼性のあるアルゴリズムを持つ最新のシステムを使って達成された測定タイプの例を表1

に示す。このBEOLにおける6層Low-k膜の測定では、もたらされた変化を正確に予想する測定の信頼性を評価するため、単一レシピ、7枚のウェーハでDoEが実行された。合計16個のパラメータが同時に測定された。つまり、膜厚とトップ酸化膜(膜厚のみ測定)を除くすべての層のnとkである。単一レシピを使って、この7枚のウェーハのDoEに同時にもたらされた様々な変化が正確に予想できるようだ。異なる色で囲まれたところはミッシングレイヤー、二重蒸着層、半蒸着層、膜厚にランダムなばらつきを持つ層を示す。

300mmウェーハでは、モニターウェーハから生産ウェーハでの測定へその比率を高めている。モニターウェーハ上では、シンプルな計測と個々の膜やプロセス監視が容易である。生産ウェーハでは多層スタックの中の同じ膜やプロセスを監視することが要求される。測定はより複雑になるが個々の膜やプロセスに対する計測要求は変わらない。なぜなら、より多くのパラメータが積層中で同時に測定されなければならないからだ。スペクトル安定性と装置間スペク

計測

Si-c

ap t

hick

ness

(Å)

%Ge in the SiGe:B layer in Si-cap/SiGe:B/Si

Multiple parameter tracking

%G

e

Si-cap thickness in Si-cap/SiGe:B/Si

Tool A

Tool B

Tool C

Tool A

Tool B

Tool C

Tool A

Tool B

Tool C

SiGe:B thickness in Si-cap/SiGe:B/Si

SiG

e:B

thic

knes

s (Å

)

Nom + 40Å

Nom

Nom - 40Å

Nom + 150Å

Nom

Nom - 150Å

10%range

wfr1

wfr2

wfr3

wfr4

wfr2wfr3 wfr4

wfr1 wfr2 wfr3 wfr4

wfr1

図4:SE装置を使った、DoEに基づく、異なるGe濃度でのSiキャップ膜厚とBドープSiGe層の膜厚と組成。生産環境におけるツール間の良好なマッチングが特に重要である

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 23: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

トルばらつきの減少が多層膜ではより重要になる。6層スタックにおける複数パラメータの測定例は、この技術力が進化したことを示している。しかし、典型的な生産環境ではこれほど多くのパラメータを同時に測定することはないということを言及しておかなければならない。

将来に向けたSE

SEは今日のファブにおいて膜厚の生産モニタリングのために選ばれた技術である。スペクトル安定性のさらなる向上、より短波長に向けたSEの延命、ハードウェア、アルゴリズム、アプリケーション能力の向上によって、SE技術を使った、超薄膜から厚膜までの組成といった、追加的パラメータを計測することが可能となり、これは、65nmや45nmノードに向けて複雑化する測定要求を満たす可能性を秘めている。現在、SEベースの光学膜厚測定技術は、窒化酸化膜(ONO)やBドープSiGeを含むいくつかの複雑なプロセスとHigh-k材料の開発において組成をモニタリングするために採用されている。複数の分野における最近の技術的進歩が、生産ウェーハでの測定と複数パラメータ、多層測定への移行を加速させている。これらの進歩が継続されると、SEベースの膜厚測定技術は45nm以降の生産における測定でも主要な技術であり続けるかもしれない。

謝辞

著者は、きめ細かい技術的議論に加え、文中の数値や図表などを提供してくれた、同僚であるKLA-Tencor社のArun Chatterjee氏、Torsten Kaack氏、Zhengquan Tan氏、Sung-chul Yoo氏、Shankar Krishnan氏、そして、STMicroelectronics社のSimona Spadoni氏、Rosella Piage氏、Davide Lodi氏に感謝の意を表する。

注:この記事は、『Semiconductor International magazine』2006年12月号に発表されたものである。

参考文献

1. International Technology Roadmap for Semiconductors, http://www.itrs.net.

2. Y.-C Yeo, Q. Lu, T.-J King, C. Hu, T. Kawashima, M. Oishi, S. Mashiro and J. Sakai, Proc of the International Electron Devices Meeting (IEDM), p. 753, 2000.

3. H. van Meer and Kristin De Meyer, 2002 Symp. on VLSI Technology, Digest of Technical Papers, p. 170 2002.

4. H.S.P. Wong, IBM Journal of Research and Development, V46, N2/3, 2002.

5. David Lammers, EE Times, 4/4/2005. http://www.eetimes.com/showArticle.jhtml?articleID=160401538.

6. Arun R. Srivatsa, Yield Management Solutions, Winter 2005, p. 22.

7. Sungchul Yoo, Zhiming Jiang, Eric Wang and Zhengquan Tan, YMS Seminar, Semicon West, San Francisco, July 2006.

計測

MeanRI @ 633 nm

MeanThickness

MeanRI @ 633 nm

MeanThickness

MeanRI @ 633 nm

MeanRI @ 633 nm

MeanRI @ 633 nm

MeanThickness

MeanThickness

SiC

(2)

Low

-KSi

C(1

)Lo

w-K

SiN

MeanThicknessOx

Thickness Mean

Wafer 3

1.4042

526.7

2.0443

613.6

1.7370

1.3805

1.8472

2513.1

419.6

9.9

2491.6

Wafer 4

1.3907

526.2

2.0361

647.2

1.7075

1.3662

1.8368

2575.5

789.4

1007.5

2551.3

Wafer 5

1.3925

515.6

2.0572

617.0

1.7193

1.3785

1.8418

1238.1

412.9

1019.9

2501.1

Wafer 6

1.4069

521.3

2.0526

593.9

1.7210

1.3713

1.8441

4939.2

418.4

1045.4

2468.0

Wafer 7

1.4153

559.3

2.0594

649.4

1.7095

1.3647

1.8394

2561.6

363.3

1001.3

56.4

Wafer 1

1.3943

524.0

2.0350

653.4

1.7161

1.3807

1.8548

2568.9

423.4

650.6

1266.1

Wafer 2

1.3923

529.2

2.0317

577.3

1.7224

1.3640

1.8384

2640.4

414.8

1048.5

4921.4

Seven-wafer DoE of six-layer low-k stack

表1:この6層low-k積層ウェーハ7枚のDoE測定の信頼性は、ミッシングレイヤ、二重蒸着層、半蒸着層、積層膜のばらつきをランダムに使用してテストされた

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 24: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

通常、計測および検査工程がウェーハ工場の合計サイクルタイムに占める割合は5%ほどにすぎないが、これらの計測および検査工程でもたらされる価値は、歩留まりの改善という点から、プロセスに要するサイクルタイムコストの何倍にもなる。しかし、サイクルタイム管理プログラムを成功させるには、工場内に設置されているあらゆるツールセットにおいてサイクルタイムを短縮するというファブワイドな活動が要求される。近年、ウェーハ工場では、ツールの利用率を最大限高めてウェーハあたりのコストを引き下げるという傾向から脱却し、サイクルタイムを短縮して売上と収益を伸ばそうとする傾向が強くなっている。これら2つの目的は互いに相反するものである。それは、利用率を下げるとサイクルタイムは短縮するが、それと同時に生産性も下がるからである。重要なのは、これら2つの目的のバランスをとることである。

サイクルタイム(CT)の短縮は多くの利点をもたらす。その1つは、製品の市場への早期投入である。ほとんどの半導体製品(DRAM、フラッシュメモリ、ロジックなど)の価格は、当初の製品リリースから1年間で50~80%急落することが普通である。CTが短いほど、製品が製造工程に投入されてから市場に供給されるまでの価格の下げ幅が小さくなるので、高い価格を維持できる。その他の利点としては、習熟サイクルの短縮と仕掛品(WIP)の削減がある。研究開発分野では、習熟サイクルの短縮は開発時間の短縮を意味する。量産工程に応用すれば、歩留まりの早期立ち上げに役立つ。WIPとCTの関係は、いわゆる「リトルの法則」で求めることができる(1)。

WIP = (CT) x (単位時間あたりの投入量)

上の式では単位時間あたりの投入量が一定の場合、WIPはCTと共に線形的に小さくなることになる。その利点は、その時々で工場のロット数を少なくできるということである。その結果、オーバーヘッドを削減し、プロセス切り替えの対象となるロット数を減らし、歩留まり異常の発生に際してリスクにさらされるロット数を減らすことができる。また、WIPが少なくなることは、市場が鈍化した際

に手持ちの未完成品が少なくなることも意味する。Clayton Christensenは、サイクルタイムについて、次のような大変興味深い発言をしている(2)。「開発時間を1日追加することは、ステッパーの検査であれ、プロセスの検証であれ、製造するウェーハ1枚あたり3.44ドルを支払っていることに等しい。また、ダイ歩留まりの成熟が1日延期されることは、ウェーハ1枚あたり1.35ドル支払うことに等しい。さらにサイクルタイムが1日延びることは、ウェーハ1枚あたり3.04ドル支払うことに等しい。」

以上のことから、CTの価値がいかに大きいことが想像できるであろう。つまり、CTを一日短縮すれば、年間で約100万ドルの節約となる(30,000 [WSPM] x 12[月数] x 3.04ドル[ウェーハ1枚] = 年間110万ドル)。

数学的に説明すると、CTは、待ち時間(1つのロットが処理されるまで待機する時間)にプロセス時間(ツールでの処理に要する時間)を加算した数値に等しい。プロセス時間は簡単に計算することができるが、待ち時間(QT)は、以下の3つの関数の積として求める必要がある(3)。

QT = {ƒ(変動性)} {ƒ(利用率)} {ƒ(稼動率)}

上記の式が唯一正しい式というわけではない。式に反映させる詳細レベルに応じ、単純なものから非常に複雑なものまでいくつかの式がある。しかし、基本的には、QTに関連すべての数式には以下の4つの特徴が共通している。

1) 変動性のないシステムの待ち時間は0(ゼロ)となる:ƒ(変動性)=0の場合、QT=0である。2) ƒ(利用率)は1/(1-利用率)に比例する:CTは、利用率の増加と共に指数関数的に増大する。3) ƒ(利用率)は1/(ツール数)にも比例する:CTはツール数が増えるほど短縮する。4) ƒ(稼働率)が1/(稼働率)と比例する:CTは、稼働率(アップタイム)が上がるほど指数関数的に小さくなる。

ファブの経済性

多くの利点をもたらすサイクルタイムの短縮Doug Sutherland – KLA-Tencor Corporation

コンシューマエレクトロニクスが工場の収益力向上の原動力となる中、ウェーハのサイクルタイムが半導体製品の市場投入ま

での時間を短縮する決め手となっている。サイクルタイムを短縮して運用効率を向上させることで、ウェーハ工場環境の稼働

率改善と早期の市場投入を実現できる。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 25: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

利用率を下げて稼働率を高めるだけでは、CTは短縮できない。あらゆる変動原因を排除することによってのみ、QTをゼロにすることができる。数学的には、変動性はシステムの標準偏差をその平均値で除算して測定される。ウェーハ工場における変動の基本原因は以下の3つである。

1) ロット到着間隔の変動2) ロットのプロセス時間の変動3) ツールのダウンタイムの変動

図1に、1~5台のツールで構成された同一のツールセットの運用曲線(CTと利用率のプロット)を示す。ここでは単位変動性と稼働率100%を想定する。ここで明らかなのは、ツールを1台から2台に増やしても処理能力が単純に2倍になるわけではないという点である。CTが、利用率60%のツール1台と同じ場合、2台のツールを約80%の利用率で実行できる。この場合、ツール数が2倍になるだけでなく、それらの各ツールで処理できるウェーハが約30%増えることになる。これは260%の改善率である。n+1台目のツールを増やす効果は、nが大きくなる(大規模なツールセット)ほど低減されるが、原理的にはn=1の場合と同様に利用率を高められる。これが、大規模ウェーハ工場が得ている経済的優位性の根幹を成す教義の1つである。大規模工場は通常、CTが短く、ウェーハ1枚あたりのコストも低い。それは、運用曲線の最も急峻な部分にまで上昇することなく、高利用率でツールを運用できるからである。

ツール数の他にも、関連する変動、稼働率、利用率もCTに直接的な影響を与える。稼働率および利用率をそれぞれ95%と85%に仮定した場合、いくつかの興味深く予期しない傾向がサイクルタイムに現われる。たとえば、ある稼働率について、MTBI (Mean Time Between Interrupts:平均介入時間)が大きくなると、CTは実際には増大する。つまり、図2に示すように、同じダウンタイムを、少数の長時間イベント(高MTBI、高MTTR)に分割するよりも、多数の短時間イベント(低MTBI、低MTTR)に分割したほうが良いということである。この場合、ツール設計の点が問題となることはない。それは、我々は通常、MTBIが高い(システムのダウ

ンイベント数が少ない)ほど、稼働率が高くなると想定するからである。しかし、点検修理の観点から、我々は「ここで問題Aを解決したら、同時にB、C、およびDも調整したほうが良くはないだろうか」というように、実利的な考え方をすることがよくある。このような善意の行動はツールのMTBIとMTTRを改善するが、稼働率が大きく改善することはなく(つまり、合計修復時間は変わらない)、結果的にCTを大きくしてしまう。我々の善意の行動は、顧客のCTを短縮するという点で、直感に反し、しかも逆効果である。

もう1つの興味深い事例はツールマッチングである。専用(ゴールデン)ツールを所有することは必ずしもCT短縮につながらない。図3は、プロセスの5レイヤを5台の明視野検査マッチングツールで検査した場合と、4レイヤを4台のマッチングツールで、残りの1レイヤを1台の専用(ゴールデン)ツールで検査した場合の、CTへの影響を比較したものである(単純化を図るため、ここではサンプリング率を100%とする)。5台のマッチングツールの場合、5レイヤともCTは5台のツールの運用曲線(図1を参照)で表されるが、4台のマッチングツールの場合、4レイヤのCTが4台のツールの運用曲線で表され、1レイヤのCTが1台のツールのみで構成されたツールセットの運用曲線で表される。この事例で非マッチングツールを使用した影響は、そのツールセットの合計CTが2倍になったことである(図3)。工場では、ゴールデンツールを利用できない場合、他のツールをマッチングツールとみなして(つまり、

ファブの経済性

0

1

2

3

4

5

6

0% 20% 40% 60% 80% 100%

Utilization

Cyc

le T

ime

( x

Pro

cess

Tim

e)

1 Tool2 Tools3 Tools4 Tools5 Tools

図1:1~5台のツールで構成されたツールセットのサイクルタイムと利用率。CTの単位はツールのプロセス時間の倍数である。各ツールセットのツール数が多い大規模工場は、CTに大きな影響を与えずに高利用率でツールを実行できる点で優位である。

0.0

1.0

2.0

3.0

4.0

50% 60% 70% 80% 90% 100%

Utilization

Cyc

le T

ime

(Day

s)

1000 Hrs MTBI

100 Hrs MTBI

図2:稼働率は同じ(95%)だがMTBIとMTTRは異なる2つのツールセットのサイクルタイムと利用率。CTの短縮という観点からは、稼働率が同じあれば、イベント時間は長いがイベント数は少ない(MTBI=1000時間)よりも、イベント時間は短いがイベント数は多い(MTBI = 100時間)ほうが良い。この例の両者の差は利用率85%で約1日である。

大規模工場は通常、CTが短く、ウェーハ1枚のコストも低い。それは、運用曲線の最も急峻な部分にまで上昇することなく、高利用率でツールを運用できているか

らである。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 26: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

ゴールデンツールでロットを処理するまで待機させるよりも、他のいずれかのツールでロットを処理することによって)、この影響を緩和できる。ただし、この方法では、ベータリスク増大によるコストは避けられない。

サービス契約では、有償契約と比べ、サイクルタイム管理にもたらす利点が3倍になる。第一に、サービス契約はツールの稼働率を高める。これは言い換えると、利用率が自動的に小さくなるという利点も追加される(利用率は、生産時間を稼動時間で除算したものに等しい)。最終的には、サービス契約はダウンタイムの変動を大幅に縮小する。このこと自体、CT短縮に大きく寄与する。図4に、2つの異なる条件下で6台の明視野検査ツールを運用したときの運用曲線を示す。1つは、有償ツールの代表的な信頼性特性値を適用するという条件、もう1つは、サービス契約下で6台のツールを保証対象とする条件である。対応が速く(ダウンタイムが短く、稼働率が高い)、またダウンタイムの変動が小さくなると、CTが1.9日短縮される。もう1つの大きな要因は、利用率は生産時間を稼動時間で除算したものと等しいので、有償契約の場合に85%の利用率で運用しているツールセットは、サービス契約だと82%の利用率で運用できるということである。

IC業界がコンシューマエレクトロニクスによって活力を得るにつれ、適切な量の製品を適時生産することを目指すウェーハ工場にとって、サイクルタイム(「市場投入までの時間」ともいう)はこれまでにない重要性を帯びてきている。ICメーカが初めて見込み客に提供するエンジニアリングサンプルによってデザインウィンを獲得できる可能性もある。これは、文字通りそのビジネスの成功を左右する。同様に、数百万ドル分のWIPで身動きが取れなくなっていても、市場が下降したり消費者が次の新製品に目移りしたりすることは止められない。そこが、その年度を増益で終わることができるか、赤字で終わるかの分かれ道となる。IC業界には、サイクルタイムの重要性が低い多くのニッチ市場が存在し、今後もこれは変わらないであろうが、全体的な傾向として、当面はサイクルタイムを短縮して経営効率を改善する方向に進んでいくであろう。その結果、ウェーハ工場環境に高稼働率と変動縮小をもたらす製品およびサービスを重視する傾向は強まっていくであろう。

参考文献

1. W.J. Hopp and M.L. Spearman, McGraw-Hill, “Factory Physics”, 2001, p. 223.

2. Clayton Christensen, “Solid State Technology”, August 2001.

3. W.J. Hopp and M.L. Spearman, McGraw-Hill, “Factory Physics”, 2001, p. 325.

ファブの経済性

0.0

1.0

2.0

3.0

4.0

50% 60% 70% 80% 90% 100%

Utilization

Cyc

le T

ime

(Day

s)

Billable

Contract

図4:6台の明視野ツールを有償契約からサービス契約に切り替えた場合のサイクルタイムへの影響。ツールをサービス契約で所有することで稼働率が高くなると、運用曲線が平坦化すると同時に、利用率が低下する(利用率は生産時間を稼動時間で除算したものと等しい)。利用率が85%の有償ツールの場合、サービス契約に切り替えることでサイクルタイムが1.9日短縮される。

0.0

1.0

2.0

3.0

4.0

50% 60% 70% 80% 90% 100%

Utilization

Cyc

le T

ime

(Day

s)

1 Golden & 4 Matched

5 Matched Tools

図3:複数台の専用ゴールデンツールでレイヤを処理した場合、「シングルツール」環境(図1を参照)が形成され、サイクルタイムが大幅に増大する。このサイクルタイムの増大は、利用率が高くなるにつれて悪化する。この事例の場合、非マッチングツールを所有した結果、サイクルタイムがほぼ2倍になっている。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 27: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

TeraScanHRは、従来のTeraScanTRプラットフォームをベースに改善された新しいレチクル検査プラットフォームである。このプラットフォームでは光学系精度が向上し、微細パターンを高いレベルで解像する。また、高精度データベースモデリング機能によってdie-to-database検査で微細なOPCを高精度で表現する。さらに、画像処理機能の高速化により特に統合モード(透過光+反射光)の使用時に生産性を向上させることができる。TeraScanHRプラットフォームは、45nmノードに対応している他、65nmノード、90nmノード、130nmノード向けに構成することもできる。

本論文では、TeraScanHRプラットフォームの技術的側面について解説し、凸版とAMTCに出荷したベータ装置のフィールドテストで得られた結果を一部報告する。このテストでは、90~32nmロジックノードの幅広い製品レチクルや製品に近いレチクルと共に、プログラム欠陥テストレチクルを使用して欠陥検出性能を検証した。また、同等のメモリノードを使用し、対象ピクセルサイズ(72/90/125/150nm)を使用

した場合の感度と検査性の両方を評価した。これらのベータ装置は現在、最先端量産ラインで使用されている。

レチクル検査の開発

TeraScanHRプラットフォームは、45nmノードの最先端量産条件と32nmノードの開発条件に対応するための高性能と新機能を提供する。TeraScanHRプラットフォームには、130nmノードから32nmノードまでのレチクル検査をコスト効率よく実施するための多様なモデルが用意されており、構成を自由に選択できる。レチクルメーカやウェーハファブは、現時点で必要な機能だけを購入し、将来、必要に応じて高機能モデルにアップグレードできる。図1に代表的なTeraScanHRシステムを示す(3つの電子ラックは本体から離して設置できる)。

この新システムの画像処理テクノロジでは、ウェーハリソグラフィシステムよりもはるかに高解像度のレチクルイメージを撮像する能力を持ち、メインパターンとアシストフィーチャの両方を直接検査できる。また、さまざまなリソグラフィ波長用レチクルの高性能検査にも対応する。TeraScanHRは、代表的なバイナリ(COG)マスク、透過率6%のEPSMマスク(シンプルトライトーンマスクを含む)、ダークフィールドAltPSMに対応する。TeraScanHRシステムでは、透過光検査モードと反射光検査モードの両方をサポートし、1回の検査に統合できる。

新しく72nmピクセルを使用することで、32nmロジックレチクルと約45nmのハーフピッチメモリレチクルの開発に適用する。エンハンサーマスク、コンプレックストライトーン、クロムレスなど、さらに高機能な超解像技術(RET)のための拡張機能の開発も行われている。大きいピクセルサイズは、65nmロジックノードから130nmノードまでに適用し、スキャン時間の短縮を利点として持つ。

マスク

45nmノードのDie-to-Databaseレチクル検査のフィールド評価結果William Broadbent, Ichiro Yokoyama, Paul Yu, Heiko Schmalfuss, Jean-Paul Sier – KLA-Tencor CorporationRyohei Nomura, Kazunori Seki – Toppan Printing Co., LtdJan Heumann – Advanced Mask Technology Center GmbH & Co

日本の凸版印刷株式会社(以下凸版)とドイツのAdvanced Mask Technology Center (以下AMTC)でTeraScanHRシステムをテストし

た結果、同システムで高感度、低擬似欠陥率、高速スキャンが達成されたことが証明された。TeraScanHRシステムの高NA光

学系、新オートフォーカス機構、ピクセルサイズの微細化、アルゴリズムのレンダリングおよびモデリング機能の改善によっ

て微細な線幅、アグレッシブOPCにおいても微小な欠陥の検出能力および擬似欠陥率の大幅改善が確認された。一部の検査モ

ードにおいては反射光検査と透過光検査を統合してもスキャン時間が延びることなく、高品質レチクルの生産に必要な高精度

レチクル検査能力を実現する。

図1:45nm世代のマスク検査を可能にする新しいTeraScanHRシステム。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 28: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

イメージングサブシステム

図2にイメージングサブシステムを示す。高解像度オプティクス/リニアセンサアーキテクチャが、透過光照明パスと反射光照明パスの両方で採用されている。

光源は、波長257nmの連続波(CW)レーザ(寿命5,500時間以上)である。アクティブビームステアリングサブシステムはビームドリフトを補正する。透過光イルミネータには、ユーザが自在に選択可能なさまざまな構成が用意されている。現在、COGおよびEPSMレチクル向けの標準コントラストと、AltPSM、エンハンサーマスク、クロムレスなどのクォーツエッチングレチクル向けの位相コントラストという2つの照明光学系構成を備える。位相コントラストモードでは、クォーツ位相欠陥(バンプやディボット)に対するコントラストに優れ、欠陥検出感度が向上している。

カスタム設計の対物レンズは、ズームレンズを通してセンサ上にレチクルの画像を結像する。ズームレンズの倍率変更により、ユーザは72nm、90nm、125nm、150nmの4種類のピクセルサイズを選択できる。低感度検査では大きなピクセルサイズを使用し、スキャン時間を短縮することができる。画像のピックアップは、Time Domain Integration(TDI)センサによって行われる。TDIセンサは、従来のCCDリニアセンサよりもはるかに低い照明レベルで高速連続画像ピックアップを行う。

TeraScanHRシステムは、スイッチングデバイスを使用して透過光照明と反射光照明を単一のイメージセンサ上に結像する。これにより、透過光照明と反射光照明の両方を使用した検査(Integrated T+Rモード)を実現できる。それぞれの照明モードが最高性能を発揮する欠陥クラスやパターンタイプは異なる。したがって、両モードを組み合わせたIntegrated T+Rモードによって最高品質の検査が可能になる。

必要な性能レベル達成のため、新システムには微細ライン、OPC、欠陥を解像する高NA光学系(従来の90nmピクセルTeraScanTRプラットフォームよりも約1.2倍のNA)を採用し、新しく72nmピクセルをサポートした。高NA光学系は焦点深度が浅いため、新オートフォーカスシステムを採用し、必要なフォーカス精度を実現した。先進のプレマップ技術により、特にクォーツエッチングタイプなどの大きなトポロジーを持つレチクルを検査する場合などに適切なフォーカスを維持する。

画像処理

TeraScanHR画像処理サブシステムは、高速プロセッサが搭載され、自在にプログラム可能でスケーラブルなマルチプロセッサアーキテクチャを採用したTera Image Supercomputerを特徴としている。

基本的な検出方法では、テスト画像をリファレンス画像に重ね合わせ、事前に指定されたサイズを超える差分を欠陥として検出する。欠陥のない画像同士は一致するので、差があれば、欠陥と見なす。die-to-die検査の場合、隣接するダイ同士でテスト画像とリファレンス画像を比較する。die-to-database検査の場合、テスト画像を、デザインデータベースまたは描画データベースから再構築したリファレンス画像と比較する。STARlight検査の場合、透過光画像を反射光画像と比較し、差があれば、異物欠陥と見なす。

新しいイメージコンピュータはさらに高速のプロセッサを採用し、従来のイメージコンピュータと比べて2倍の数のプロセッサを搭載している。処理能力を増強した結果、従来では速度低下が避けられなかった高度な処理集約型検査モードにおいてスキャン時間を改善した。また、検査ステーションの速度を落とさずに透過光検査と反射光検査の同時処理を実現した。その結果、従来のTeraScanTRシステムと比べ、飛躍的にコスト効率を改善したT+Rモード検査が可能になる。

die-to-database検査用の追加処理ブロックでは、レチクルのデザインデータベースまたは描画データベースからリアルタイムでデータベース画像を再構築する。欠陥検出感度を低下させるエラーを回避するため、高機能モデリングアルゴリズムによってデータベース画像を高い次元で光学画像と一致させることに成功した。新しいdie-to-database欠陥検出アルゴリズム“UHR”は、従来のアルゴリズムに比べ、透過光と反射光での微細なOPC構造のモデリング精度が格段に高い。リファレンス画像からテスト画像が減算され、差分画像が生成される。テスト画像とリファレンス画像は互いに正確に一致し、欠陥が存在するとき以外、差分画像の背景は一様な灰色となる。

テスト結果

凸版とAMTCで4か月にわたって行われたフィールドテストおよび調整の結果、システム性能を広範囲に検証することができた。各ベータサイトでは、KLA-Tencor標準のプログラム欠陥テストレチクルと、各サイト側で用意した独自のテストレチクルを使用して感度と擬似欠陥率を検証した。ベータサイトのテストレチクルには、32nm、45nm、および65nmロジックノードの代表的な形状パターンの他に、5xnmハーフピッチのメモリノードの形状パターンが含まれている。最大感度、およびさまざまな量産適応感度を交えてこれらのレチクルをテストした。

マスク

TDI Sensor

Condenser

Photomask

Objective

ReflectedIllumination

TransmittedIllumination

DUVLaser Source

Reflected Image

Transmitted Image

図2:高解像度の透過画像と反射画像には、サブ解像度のセリフが結像され、目視でもはっきりと確認できる。透過画像と反射画像のいずれにも、オーバーサイズクリアセリフ欠陥が目視で確認できる。遮光部分に存在する異物は反射光画像でのみ確認できる(ダークスポット)。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 29: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

また、45nm、65nm、90nmのロジックノードや4xhp、5xhp、7xhpのメモリノードの多様な製品レチクルや製品に近いレチクルを使用して量産に適応したディテクタ設定を検証した。これらはArFリソグラフィ向けのレチクルで、一部のAltPSMマスクおよびEUVマスクを含めた、大多数の透過率6%EPSMの基本のクリティカルパターンレイヤによって形成されている。このテストでは、アグレッシブOPCが適用された最先端製品レチクルのフルフィールド検査で良好な結果が得られたことが証明され、高感度、低擬似欠陥率が示された。

新しい画像取り込み機能による画像処理の改善

新しいTeraScanHRシステムの光学画像処理の均一性の改善は、SRAFなどの微細構造で顕著に見られた。図3に、従来のシステム(左)と新しいTeraScanHR (右)のSRAF差分画像ノイズの比較を示す。これらの差分画像は、90nmピクセルと従来のUCF die-to-databaseアルゴリズムを使用して同じ65nmノードレチクルから得られたものである。

オートフォーカスサブシステム、低収差光学系、低振動ステージが新しく導入された結果、ノイズが削減され、画像処理の均一性が改善された。この例では、まだ差分画像にノイズが存在しているが、これはUCFアルゴリズムが古く、微細構造のモデリング機能の限界によって発生したものである。新しいUHRアルゴリズムにはさらに高精度なモデリング機能により低ノイズの差分画像を生成できるようになり、擬似欠陥率も低下している。

高解像度とデータベースモデリング機能の改善

新システムの高NA光学系により、新しい72nmピクセルで微細なOPC構造、微細ラインアンドスペース、微細欠陥を解像できるようになった。図4aに、従来の90nmピクセル(左の画像)と新しい72nmピクセル(右の画像)を使用して撮像した微細なダーク突起欠陥の比較を示す。72nmピクセルのほうはモジュレーションが40%高い(差分画像ではサイズが大きく、信号がより暗いことに注目)。加えて、72nmピクセルに使用されるUHRファミリdie-to-databaseアルゴリズムが提供する高精度モデリング機能によって、差分画像のノイズを減らし、擬似欠陥率を低下させることができる。

図4bは、アグレッシブOPCが適用された45nmロジックゲートレイヤの差分画像を示す。これは、72nmピクセルで結像した画像と、新しいUHRアルゴリズムでモデリングしたデータベース画像の差分画像である。この差分画像では、微細パターンについてノイズが非常に低いことが示されている。これにより低擬似欠陥率と高ディテクタ感度設定が実現する。

72nmピクセルのDie-to-Database感度

図5 (次ページ)に、KLA-TencorのSpica-200-193プログラム欠陥テストレチクルを使用したdie-to-databaseモードによる代表的な感度性能を示す。このテストレチクルは、波長193nmのリソグラフィ向けの透過率6%の標準的なEPSMであり、複数の線幅セクションに代表的なSEMI Wireプログラム欠陥が作り込まれており、最小ダークラインは260nmである(図を参照)。 このテスト結果は、透過光照明による72nmピクセルのdie-to-databaseモードと、最高感度を設定した標準HiResディテクタ(HiRes1およびHiRes2)を使用して得られたものである。灰

マスク

45nm Logic

Poly Layer

6% Tri-tone

Aggressive OPC

72nm pixel

Database Transmitted

High detector settings

UHR Algorithm

Excellent matching of optical image and database model

Difference Image

図4b:72nmピクセルで実現した高解像度と新しいデータベースモデリング機能によって、低ノイズ、低擬似欠陥率、高ディテクタ感度設定を可能とした。

Small lines & dark SRAF

Same pixel 90nm

Same algo UCF (old)

Prior Image Acquisition New Image Acquisition

Difference Image Difference Image

Improved imaging

Real defect

Optical & database matching errorsFalse detection

Better opticsNew autofocusImproved stage

Imaging limitations

図3:従来と新しい画像取り込み機構の微細SRAFイメージングの比較。

Transmitted light Spica-200–193260nm dark line

Dark extentiondefect ~ 30nm

~ 40% more modulation than 90nm pixel

1.25x Mag

72nm Pixel90nm Pixel

図4a:90nmと72nmピクセルの微細なダーク突起欠陥のイメージの比較。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 30: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

色の四角形は、20回の連続検査で100%の検出率を得られたことを示す。四角形の上の数値は、SEM画像からKLA-Tencor の最大内接円中心法(MIC)を使用して得られた欠陥サイズである。下の数値は検出率である。最小欠陥の検出率が100%の各列には、読みやすいように大きいフォントで欠陥サイズを示している。微細なピンホールは製造が難しく、この上部についてはレチクル上に欠陥は存在しない。

凸版の45nmプロセスレベル

凸版で使用されたテストレチクルは、最先端45nmプロセスで作成されたものである。45nmプロセスでは、従来のプロセスと比べ、リニアリティー、コーナーラウンディングおよび解像度で大幅な向上を示した。図6を見ると、65nmプロセスと比べてリニアリティーが57%向上している。

凸版のプログラム欠陥テストレチクルを使用した欠陥検出性能

凸版は、最先端レチクル検査装置の性能をテストすることを目的とした2種類のプログラム欠陥テストレチクル ( 「Carbonate」と「Cyclics」を設計した。Carbonateレチクルがライン/スペース系デザインであるのに対し、Cyclicsレチクルはホール系デザイン(360nmと420nm)である。Carbonateテストレチクルには、45nmノードに特有のライン/スペースクリティカルパターンレイヤの代表的な複数のパターンが含まれている。これらのパターンには、ジョグ、セリフ、SRAF、およびさまざまなプログラム欠陥がメインパターンおよびOPCストラクチャ上、またはその近辺に配置されている。

図7 (次ページ)に、Carbonateテストレチクル(一部の欠陥のみを示す)の45nmセクションについてdie-to-databaseおよびdie-to-die透過光モードを使用した72nmピクセルによる欠陥検出性能を示す。ディテクタ設定は、最高感度および量産適応設定の両方を使用した。量産適応設定は、50を超えるさまざまなパターンを検査し、擬似欠陥率を低く抑え、かつ最大の検出能力を持つ量産設定を導き出した。この量産設定では、最大設定の場合とほぼ同等の感度性能が達成された。また、Die-to-databaseの性能は、die-to-dieの性能と非常に近い。これは、データベースモデリングとレチクル均一性が共に良好であることを示す(多くのシステムおよびマスクエラーは隣接ダイで共通しているので、通常、die-to-dieの性能が最も高い)。

マスク

90nm P65nm P45nm P

Target CD

∆ C

D

10

73%

57%

-10

-20

0

図6:トッパンの45nmプロセスでは、プロセスリニアリティーが57%改善。

図5:Spica-200-193テストレチクルを使用した透過光Die-to-database、72nmピクセルによる欠陥検出感度。

0.017µm

0.00%

0.000µm

0.00%

0.000µm

0.00%

0.000µm

0.00%

0.005µm

0.00%

0.005µm

0.00%

0.005µm

0.00%

0.005µm

0.00%

0.005µm

0.00%

0.005µm

0.00%

0.000µm

0.00%

0.000µm

0.00%

0.019µm

0.00%

0.000µm

0.00%

0.000µm

0.00%

0.000µm

0.00%

0.010µm

0.00%

0.010µm

0.00%

0.010µm

0.00%

0.010µm

0.00%

0.010µm

75.00%

0.010µm

95.00%

0.000µm

0.00%

0.000µm

0.00%

0.024µm

0.00%

0.015µm

0.00%

0.000µm

0.00%

0.018µm

0.00%

0.015µm

0.00%

0.015µm

0.00%

0.015µm

0.00%

0.015µm

5.00%

0.015µm

100.00%

0.015µm

100.00%

0.028µm

40.00%

0.000µm

0.00%

0.031µm

100.00%

0.022µm

0.00%

0.000µm

0.00%

0.030µm

0.00%

0.020µm

0.00%

0.020µm

0.00%

0.020µm

100.00%

0.020µm

100.00%

0.020µm

100.00%

0.020µm

100.00%

0.024µm

100.00%

0.000µm

0.00%

0.039µm

100.00%

0.031µm

100.00%

0.017µm

0.00%

0.030µm

0.00%

0.026µm

100.00%

0.026µm

90.00%

0.026µm

100.00%

0.026µm

100.00%

0.026µm

100.00%

0.026µm

100.00%

0.033µm

100.00%

0.000µm

0.00%

0.039µm

100.00%

0.031µm

100.00%

0.028µm

0.00%

0.033µm

0.00%

0.031µm

100.00%

0.031µm

100.00%

0.031µm

100.00%

0.031µm

100.00%

0.031µm

100.00%

0.031µm

100.00%

0.034µm

100.00%

0.000µm

65.00%

0.046µm

100.00%

0.039µm

100.00%

0.032µm

5.00%

0.036µm

100.00%

0.036µm

100.00%

0.036µm

100.00%

0.036µm

100.00%

0.036µm

100.00%

0.036µm

100.00%

0.036µm

100.00%

0.055µm

100.00%

0.033µm

80.00%

0.047µm

100.00%

0.046µm

100.00%

0.039µm

45.00%

0.017µm

100.00%

0.041µm

100.00%

0.041µm

100.00%

0.041µm

100.00%

0.041µm

100.00%

0.041µm

100.00%

0.041µm

100.00%

0.059µm

100.00%

0.044µm

100.00%

0.017µm

100.00%

0.017µm

100.00%

0.017µm

100.00%

0.047µm

100.00%

0.046µm

100.00%

0.046µm

100.00%

0.046µm

100.00%

0.046µm

100.00%

0.046µm

100.00%

0.046µm

100.00%

0.046µm

100.00%

0.046µm

100.00%

エッジ配置

CD

外角

内角水平方向の拡張

斜め方向の拡張

31nm

31nm

36nm

26nm

31nm

20nm 20nm 24nm

15nm 15nm

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 31: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

図8に、感度を最大に設定した場合の透過光および反射光によるdie-to-dieとdie-to-database間の感度の関連性を示す。この例では、凸版のCyclicsテストレチクルを使用している。このレチクルには、サイズの異なる密集ホールと孤立ホールなど、ホール形状パターンを使用したさまざまなプログラム欠陥が含まれている。この例で示された一般的な関連性は以下のとおりである。(1) die-to-die検査はdie-to-database検 査よりも感度が高い、(2)ダーク欠陥の場合、透過光のほうが反射光よりも感度が高い、(3)クリア欠陥の場合、反射光のほうが透過光よりも感度が高い。これは、透過光と反射光を併用することによって全体的な欠陥検出性能が大幅に向上することを示唆している。緑色のラインはITRS条件を示す。

図9 (次ページ)に、透過光モードによる、オーバーサイズSRAF 欠陥の画像と欠陥マップを示す(Carbonateテストレチクルの32nmノードセクション)。パターンを識別しやすいようにエッジ強調機能を有効にしている。差分画像の欠陥以外の差分が少ないことは、微細SRAFのデータベースモデリングが良好であることを示している。欠陥マップでは、ヌイサンス欠陥や擬似欠陥は見当たらない。

Die-to-Database透過光モードを使用した最先端製品レチクルの評価

多数の製品レチクルおよび製品に近いレチクルを使用し、フルフィールド検査において擬似欠陥率の低い「量産適応感度設定」を検証した。45nmノードの最先端クリティカルパターンレイヤレチクルを使用して72nmピクセルをテストする一方、65nmノードの最新の量産レチクルを使用し

マスク

: p72 ddT Max Sense

: p72 dbT Max Sense

: p72 ddR Max Sense

: p72 dbR Max Sense

Dark Extension Clear Extension Pinhole

Big

Def

ect

size

Sm

all

図8:凸版の45nmノード密集ホールプログラム欠陥テストレチクル(Cyclics)を使用したTeraScanHRの72nmピクセル感度性能。die-to-dieおよびdie-to-database、それぞれ透過光と反射光による性能を示す。

— 50nm spec — 50nm spec — 50nm spec

: p72 ddT Max Sense

: p72 ddT Production Sense*

: p72 dbT Max Sense

: p72 dbT Production Sense*

— 25nm spec — 25nm spec — 50nm spec — 50nm spec — 25nm spec

Pindot Extension Pinhole Mis-Place Mis-Place Intrusion Intrusion CD

*More than 50 various patterns tested

図7:凸版の45nmノードのライン/スペースプログラム欠陥テストレチクル(Carbonate)を使用した72nmピクセルによる感度性能。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 32: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

て90nmピクセルをテストした(後者のデータは省略)。同等のメモリレチクルも使用した。die-to-dieモードとdie-to-data-baseモードを透過光照明と反射光照明の両方でテストした。具体的には、標準HiResディテクタを、ホールレイヤ用のLitho2ディテクタと併用した。TeraScanHRシステムは、両ベータサイトにおいて高ディテクタ設定(最高感度)で擬似欠陥率が低下したことをはじめ、優れた検査能性を示した。

透過光照明モードと反射光照明モード – 最高品質検査

反射光機能をテストした結果、クリアパターン欠陥(ピンホール、クリア突起欠陥、クリアブリッジなど)に対し、透過光と比べて反射光機能のほうが一般的に高い感度を示した。同様に、微細なクリアラインやクリアSRAFの欠陥に対し、通常、反射光のほうが透過光よりも高い感度を示す。また、反射光は通常、EPSM材料の異物や残留クロムなどの不透明な領域上の欠陥に対する感度が高い。したがって、最高品質検査は、透過光と反射光のdie-to-dieモードまたはdie-to-databaseモードを使用してパターン欠陥と汚染欠陥の両方を検出することで達成できる。「統合モード」機能を使用すると、2つ以上の検査モードを、1回のセットアップ、1回のスキャン、1回のレビュー、および1個のレポートで構成される1回の検査に統合できる。透過光と反射光の両方を統合したモードは「T+Rモード」として知られ、die-to-dieモードとdie-to-databaseモードの両方で使用できる。

TeraScanHRに新しく導入されたイメージコンピュータは、いくつかの演算集約型検査モードおよび透過光検査モードと反射光検査モードの両方を使用する(「統合」モード)においてスキャン時間の大幅短縮を実証した。図10に示すように、従来のTeraScanTRプラットフォームの場合、die-to-dieまたはdie-to-databaseのいずれかのモードを使用したT+R検査では、透過光のみまたは反射光のみの場合と比べて約2倍のスキャン時間を要する。これは、画像処理演算の負荷が重いためである。TeraScanHRでは、ほとんどのT+Rモードにおいて速度低下を起こさない。これらの「高速T+R」モードには以下のものがある。(1) COG、EPSM、およびトライトーンレチクルタイプを使用したdie-to-dieモードによる72/90/125/150nmピクセル、(2) COGおよびEPSMレチクルタイプを使用した(トライトーンは使用しない)die-to-databaseモードによる90/125/150nmピクセル。高速T+Rは現在、72nmピクセルdie-to-databaseモードについては利用できない。72nmピクセルではCOG、EPSM、トライトーン、およびaltPSMレチクルタイプにおいて標準T+Rモードを使用する。

従来の45nmアクティブレイヤ(図11)も、これまでの透過光ではなく反射光による72nmピクセルdie-to-databaseモードで検査した。図11に示すように、透過光検査では検出されなかったクリア突起欠陥が反射光検査で検出された。この新規欠陥は統合T+Rモードで検出でき、より高品質な結果を提供する。

従来の7xnmハーフピッチDRAMレイヤ(図12)も、これまでの透過光ではなく、反射光による72nmピクセルdie-to-data-baseモードで検査した。図12に、2つのホールにまたがる欠陥を示す。この欠陥は、透過光検査では検出されなかったが、反射光検査で検出された。統合T+Rモードで検査することにより、このような欠陥が新しく検出されるようになり、さらに高品質な結果をもたらす。

マスク

Database Image Difference Image Optical Image Defect Map

図9:72nmピクセル、die-to-database透過光モードで検出された標準より大きいSRAF (光学画像)。Carbonateテストレチクルの32nmノードセクション(150nmメインフィーチャと50nm SRAFを含む)。

Inspection Time (arbitrary units)

Current TeraScanTR

New TeraScanHR

Trans-only or Refl-only

Trans-only or Refl-only

Standard T+R

Fast T+R*

図10:TeraScanHRはT+R検査において飛躍的にスキャン時間を短縮。

Difference Reflected

Clear extension defect(detected in R not T)

図11:45nmのアクティブレイヤ-die-to-database反射光モードで検出されたクリア突起欠陥。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 33: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

4xnmハーフピッチDRAMホールレイヤを、HiResディテクタとLitho2ディテクタの両方を使用したdie-to-die統合T+Rモードで検査した(Litho2は透過光のみ)。レチクルはAMTCで製造されたもので、透過率6%の標準ArF EPSMである。この検査では量産感度設定を使用し、擬似欠陥率は低下した。図13に、検出されたオーバーサイズクリアSRAF欠陥(上の画像)とアンダーサイズホール(下の画像)を示す。

結論

TeraScanHRシステムのテストを、45nmノード(および同等メモリノード)を代表する多数のプログラム欠陥テストレチクルおよび製品レチクルの他、32nmノードの初期レチクルを使用してdie-to-databaseとdie-to-dieによる透過光および反射光照明モードで実施した。トッパンとAMTCで実施したテストから得られたデータから、このプラットフォームは、目標とする感度向上、擬似欠陥率低下、高速スキャンを達成したことが実証された。また、大きいピクセルによるテストも、現世代のレチクル(65nmと90nm、データは記載せず)を使用して実施した。

TeraScanHRシステムに新しく導入された高NA光学系、オートフォーカス、72nmピクセルサイズ、アルゴリズムのレンダリングおよびモデリング機能の改善によって微細な線幅、微細欠陥、アグレッシブOPCの検査機能が大幅に向上した。新しいイメージコンピュータは、一部の例外を除いてスキャン時間を短縮することでシステムの生産性を改善する。生産性の飛躍的向上により、高速T+Rモードは実用性の高い検査モードとなっている。高速T+Rモード検査を使用することによって最高の欠陥検査性能を発揮し、業界で最高品質のレチクルを生産できるようになる。

謝辞

新しいTeraScanHRプラットフォームの開発、社内テスト、そして先ごろ実施されたフィールドベータテストに貢献してくださった以下の個人および組織の皆様に謝意を表する。

TeraScan原型プラットフォームのテクノロジ開発資金にご協力くださったNIST (National Institute of Standards and Tech-nology)

KLA-TencorのRAPID TeraScanHR開発エンジニアリングチームの皆様開発および社内テストで使用したレチクルを提供してくださった世界中のレチクルメーカおよび半導体メーカトッパンおよびAdvanced Mask Technology Center*をはじめとするベータサイトを引き受けてくれたお客様データ収集および解析に努めたKLA-TencorのRAPIDアプリケーション開発チーム

* AMTCは、AMD、Qimonda/In�neon、およびToppan Photomasksの合弁企業である。

参考文献

1. W. Broadbent, et al, “Results from a new reticle defect inspection platform,” 23rd Annual BACUS Symposium on Photomask Technology, Kurt R. Kimmel, ed., Proc SPIE Vol 5256, pp. 474–488, 2003.

2. W. Broadbent, et al, “Results from a new die-to-database reticle defect inspec-tion platform,” Photomask and Next Generation Lithography XI, Hiroyoshi Tanabe, ed., Proc SPIE Vol 5446, pp. 265–278, 2004.

3. J. Heumann, et al, “Detailed comparison of inspection tools: capabilities and limitations of the KLA 576,” 25th Annual BACUS Symposium on Photomask Technology, J. Tracy Weed, ed., Proc SPIE Vol 5992, p. 599246, 2005.

4. A. Dayal, et al, “Optimized inspection of advanced reticles on the TeraScan reticle inspection tool,” 25th Annual BACUS Symposium on Photomask Technology, J. Tracy Weed, ed., Proc SPIE Vol 5992, p. 599245, 2005.

5. K. Bhattarcharyya, et al, “Process window impact of progressive mask defects, its inspection and disposition techniques (go/no-go criteria) via a lithographic detector,” 25th Annual BACUS Symposium on Photomask Technology, J. Tracy Weed, ed., Proc SPIE Vol 5992, p. 599206, 2005.

6. S. Maelzer, et al, “High-resolution mask inspection in advanced fab,” Photo-mask Technology 2006, Patrick M. Martin, Robert J. Naber, ed, Proc SPIE Vol 6349, p. 63490S, 2006.

7. S. Teuber, et al, “Limitations of optical reticle inspection for 45nm node and beyond,” Photomask Technology 2006, Patrick M. Martin, Robert J. Naber, ed, Proc SPIE Vol 6349, p. 63490T, 2006.

8. W. Broadbent, et al., “Results from a new die-to-database reticle inspection platform,” Metrology, Inspection, and Process Control for Microlithography XXI, Chas N. Archie, Ed., Proc. of SPIE, Vol. 6518, p. 651821, 2007.

-

-

-

-

-

マスク

Difference Reflected

Bridging holes (in R)(detected in R not T)

図12:7xnmハーフピッチDRAMレイヤ-die-to-database反射光モードで検出され、透過光モードで検出されなかった2つのホールにまたがる欠陥。

Under-size holes ~ 5% flux error

図13:72nmピクセルによる統合T+Rモードを使用したdie-to-dieモードで検査した4xnm DRAMホールレイヤ。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 34: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

硬質ディスク研磨における化学機械平坦化(CMP)スラリー開発に向けたレーザ使用欠陥検出システムの活用 Toshi Kasai, Charles Dowell – Cabot Microelectronics CorpAnoop Somanchi – KLA-Tencor Corporation

硬質ディスクサブストレート上のスクラッチ特性評価には、いくつかの検出システムを使用できる。1つの例は、暗視野顕微鏡(DFM)をベースにしたスクラッチカウントツールである。DFMは便利で使いやすいが、DFMを使用したスクラッチカウントや解析は、主観的になりやすくオペレータへの依存度が大きいことで知られている。DFMを手動で操作すると、相対的に再現性と再現精度(R&R)が低くなり、欠陥分類(サイズ別など)が煩雑になる。また、欠陥数が比較的多いため、異物の総数を把握することも困難になる。

レーザを利用した光学表面アナライザ(OSA)システムの最近の開発により、表面形態に関する再現性と信頼性が向上している (4-6)。たとえば、一連のCandela装置にはエリプソメータ、反射率計、散乱計、および光学プロファイラが完備している(6-8)。それぞれの操作モードやモードを組み合わせて、タイプ、数や場所などの固有の欠陥データを使用して欠陥を検出できる。さらに、多目的欠陥スキャンおよび解析レシピを使用すると、構造的な検出調整と整合性の実現が可能になる。これは、手動検出の主観性の排除に役立つ。Candelaツールは、欠陥識別用にハードディスクドライブ業界で広く使用されている。

ハードディスク製造では、CMPスクラッチの特性評価がデバイスの信頼性向上の鍵となる。エリプソメータ、反射率計、散乱

計、および光学プロファイラを完備したKLA-TencorのCandelaTM光学表面アナライザ(OSA)システムを欠陥検出に使用すると、検

出の調整と整合性が可能となり、手動検出の主観性を排除できる。Candela OSAテクノロジでは、従来の暗視野顕微鏡(DFM)に

比べてばらつきが大幅に低減したことが実証され、小さなスクラッチ(10μm以下)の識別も容易になった。

はじめに

ハードディスクドライブ(HDD)業界では、10年前からデータ容量の大型化に対する需要の高まりを受けて、いくつかの技術が実現されている(1)。ヘッドディスクインタフェース(HDI)の観点から、浮上読み取り/書き込みヘッドとディスクメディア間の距離を近づけることが、HDDの高データ密度を実現するための主流となっている。ヘッドとディスクの間の間隙を最小にするために、ディスク表面の粗さを抑制する必要があるが、より重要なことは、スクラッチや異物などの表面欠陥の数を少なくしてHDD動作の機械的信頼性を向上させることである。

化学機械平坦化(CMP)プロセスは硬いディスク表面を平坦化するための重要なステップである(2)。一般に、CMPは、ポリマーパッドと接触するディスク表面の化学反応と機械的研磨を、複雑な化学的性質と研磨剤を含んだスラリーと組み合わせ負荷をかけて実現される(3)。硬質ディスクスクラッチ欠陥性能にスラリーが大きな影響を持つことは認識されている。スクラッチは、取扱い、化学的性質の不平衡、またはスラリー内の大きな研磨異物が原因で生成される。そのため、スラリー開発には一貫したスクラッチ特性評価技術を活用することが不可欠である。

データストレージ

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 35: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

このレポートでは、Candela装置で測定した硬質ディスクに対する欠陥検出レシピとスクラッチカウント結果の最近の開発について述べる。Candelaでコンパイルされたスクラッチデータを、従来のDFMや別のタイプのOSAツールを使用して取得したスクラッチデータと比べる。レシピパラメータの調整が整合性のあるスクラッチカウントの取得の鍵となることがわかる。この選択肢はDFM技術では使用できない。スクラッチ欠陥解析にCandelaツールを使用する他の利点についても述べる。

実験

Candela欠陥検出システム

Candela CS10は、405nmレーザを利用した多機能ツールである。

図1に示すように、このシステムには円周方向レーザと半径方向レーザと呼ばれる2つのレーザがある。2つのレーザビームは、サンプルの解析ポイントを90度の角度で照射する。装置には、いずれかのビームか、または両方のレーザで同時にディスクをスキャンする機能がある。信号検出は、反射ビームと散乱ビームを対象に2つの検出チャネルを使用して行う。スクラッチおよび異物欠陥の識別には、光電管(PMT)ディテクタで構成された散乱チャネルを使用する。このモードで、レーザは、サンプル表面と相互作用して散乱信号を生成し、この

信号は散乱信号画像で明るい領域として表示される。入射レーザでは3つの偏光モード、P、S、およびQ (PとSの組み合わせ)を使用できる。測定時、ディスクが回転すると、レーザ光源と検出システムは、ディスクサンプルの全領域がスキャンできるように横方向に移動する。

暗視野顕微鏡(DFM)スクラッチ検出システム

DFM技術は複数の光源を使用して、異なる角度からディスク表面を照射する。荷電結合素子(CCD)カメラをディスク上

データストレージ

Candela CS10 system apparatus

Disk sample

Scatter channel

Reflectionchannel

Circumferentiallaser

図1:Candela C10に使用するXビームテクノロジ。半径方向レーザビームと円周方向レーザビームを直角に交差させて、ディスク表面に当て、散乱信号が生成され散乱チャネルによって収集される。

方に設置し、ディスク表面の暗視野画像をモニタに表示する。この研究ではCCDカメラの倍率を10倍にした。光をディスク表面に対して浅い角度で入射し、CCDが反射光を直接収集しないようにした。ディスクに欠陥があると、入射光は散乱し、散乱信号はCCDカメラによって検出される。欠陥は、モニタでは暗い背景上の明るい画像として表示される。オペレータはディスクを回して欠陥を検査し、欠陥数を手動で数える。DFM技術を使用する利点は、Candelaツールに比べて、相対的に処理時間が短いこととセットアップが容易なことである。

CandelaおよびDFMのサンプル準備と実験セットアップ

CMPプロセスに先立ち、ディスクサンプルを研磨しニッケルリンをめっきした。9ディスクの内径、外径、厚みは、それぞれ25mm、95mm、1.27mmである。ディスクは数種のCMPスラリーで研磨し、洗浄した後に欠陥検査に送られる。取扱いが原因と思われる残留化学物質と汚れはミスカウントにつながるため、ディスクのクリーン度はスクラッチ検査に重要な影響を持つ。

Candela測定手順は、ディスクを装置のプラテンに載せると自動的に実行される。データ出力では、各ビンのスクラッチおよび異物の分布状況とともに、ディスク上の欠陥の場所を示すマップが表示される。収集された欠陥データはサイズ別に5つのビンに分類される。スクラッチ欠陥ビンの名目上の設定は、次のとおりである。ビン1:20~100µm、ビン2:100~500µm、ビン3:500~1000µm、ビン4:1000~5000µm、およびビン5:5000µm以上。

DFM技術では、スクラッチの数を手動カウンタで数える。スクラッチの長さの解析は、モニタに表示された各スクラッチの長さを分類用のスケールを使用して測定した。固有の検査作業として、スクラッチを4つのグループ、短くて(2mm以下)浅い、短くて深い、長くて(2mm以上)浅い、長くて深い、に分類した。スクラッチの深さは、モニタに表示された欠陥の明るさに応じて目視検査で予測した。

ディスク上の解析領域は、DFMでは中径 (MD)から外径(MD)までの範囲、Candelaでは内径(ID)から外径(MD)までの範囲である。そのため、スキャン領域はCandelaではDFMよりも約1.5倍大きくなった。

OSA-2スクラッチ検出システム

顧客サイトで使用する別のOSAツールについては、後に紹介しCandelaツールと比較する。このツールもプローブとしてレーザを使用し、スクラッチの検出に散乱信号を採用しているが、Candelaテクノロジは使用していない。このツールは元々顧客が開発したもので市販されていないため、ここでは便宜的にOSA-2と呼ぶことにする。機密情報があることから情報はごく限られているため、関連性を見つけることは困難な作業であった。次のセクションに述べるように、このツールとの関連性を明らかにするうえで、Candelaツールの調整機能は大きな役割を果たす。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 36: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

テクスチュアリングを円周方向に行う場合、半径方向スクラッチがあることは好ましくない。この研究では、両方のレーザを使用可能にして総合的に解析した。図3は、硬質ディスク表面で検出されたスクラッチ欠陥の原子間力顕微鏡(AFM)による高さ画像(a)とその断面図(b)を示す。スクラッチの幅と深さは通常それぞれ1µm以内と数ナノメートルである。Candelaシステムでは幅0.1µm深さ1nmのスクラッチがはっきりと観察されることが実証されている。

図2でもわかるように、異物欠陥は両方の画像で認識できる。異物の画像はレーザ方向に長くなるが、散乱信号はビーム方向とは比較的無関係である。その理由は、入射面でレーザビームの入射角が通常と約60度の角度になるためである。長くなったパターンはスクラッチに似ており、スクラッチと異物の区別がしにくくなる場合がある。この問題は、解析レシピでアスペクト比などのスクラッチ分類パラメータを最適化することで解決できる。

エンコーダ乗数の設定や光電管(PMT)の電圧が、S/N比に大きな影響を与えることが判明している。エンコーダ乗数の設定は、各半径位置の円周データポイントの数を定義する。たとえば、エンコーダ乗数の設定を64倍にすると、1つのラジアル位置で64 x 1,024 (=65,536)のデータポイントを確保できる(7)。図4は、エンコーダ乗数とPMT電圧を次のような3つの異なる設定にして、画像中央付近で範囲を固定したときの1つのスクラッチのCandela散乱信号とその断面図を示す。(a) 16倍および475V、(b) 16倍および525V、(c) 64倍および475V。

スクラッチとS/N比のピーク強度を表1に示す。断面解析から得られたピーク強度は、平均バックグラウンドノイズレベルから測定したピークの振幅(%)である。単位はツールが指定するPMT出力電圧の割合として表わされる。S/N比は、バックグラウンドノイズの最大振幅に対するピーク強度の割合として定義される。事例(a)のS/N比は1.2で、信号がバックグラウンドノイズと明確に区別されないことを示す。PMT電圧を475 Vから525 V (事例(b))に引き上げると、S/N比は1.2から2.1 (1.8倍)に大幅に上昇する。また、エンコーダ乗数を増やすと、S/N比は2.4倍改善された(事例(c))。両方のパラメータを大きくすると、散乱信号が飽和した。そのため、この研究ではエンコーダ乗数の設定を64倍、PMT電圧を475Vにした。

スキャン後、欠陥サイトを特定するために、取得した散乱信号画像を解析レシピを使用して処理した。解析レシピパラメータの最適化も非常に重要である。ここで鍵となるパラメータは、欠陥サイトとして認識される最小散乱信号強度を定義するスレッショルドパラメータである。レシピパラメータを最適に設定するために、次の操作を行った。最初に、散乱信号画像を解析レシピを使用して処理し、スクラッチカウントを記録した。次に、同じ散乱信号画像をオペレータの目視検査で確認し、オペレータが認識したスクラッチを手動でカウントした。最後に、2つのスクラッチカウントを比較した。オペレータによるスクラッチカウントが正確で精度が高く、Candelaスクラッチカウントを評価する標準として使用できると考えた。エラーには2種類あり、タイプIのエラーは、スクラッチ欠陥があるにもか

結論と考察

Candelaレシピ作成の課題

測定前にスキャンレシピと解析レシピを最適化しておく必要がある。このセクションでは、スクラッチを検出するためのCandelaレシピの重要なパラメータの影響について詳しく調べる。

図2は、(a)半径方向レーザと(b)円周方向レーザを使用した研磨済み硬質ディスク表面のCandela散乱信号画像を示す。図では横方向がディスクサンプルの円周方向と平行になる。図を見てわかるように、入射レーザの方向が欠陥の見え方に大きな影響を与える。円周方向スクラッチは、角度の向きがディスクの円周方向に沿っており、半径方向レーザ(図2(a))を使用すると見えるが、円周方向レーザ(図2(b))を使用すると見えなくなる。この異方性が発生するのは、レーザビームの方向とスクラッチの長手方向との角度が90度に近くなるほど散乱信号が多く生成されるためである。2つのレーザを使用する利点は、スクラッチの向きの影響を最小にできることである。半径方向スクラッチに的を絞るのであれば、装置が半径方向スクラッチを検出できるように意図的に半径方向レーザを使用することができる。これが該当するのはディスクをCMPの後にテクスチュアリングプロセスに送る場合である。テクスチュアリングプロセスでは均一で制御されたスクラッチが形成され、そのスクラッチに沿って磁気層蒸着プロセスで磁気結晶が成長する(9)。

データストレージ

Particle

Particle

Scratch visible

Scratch visible

Beamdirection

Beamdirection

200µm

200µm

(a)

(b)

図2:(a)半径方向レーザと(b)円周方向レーザを使用した研磨済み硬質ディスク表面のCandela散乱信号画像。太い矢印は入射ビーム方向を示す。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 37: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

データストレージ

かわらず、Candelaがスクラッチ欠陥を認識しない場合に発生し、タイプIIのエラーは、Candelaが実際にはスクラッチ欠陥ではないものをスクラッチとして分類した場合に発生する。これらの分類を表2に示す。エラーの可能性は、解析レシピに設定したスレッショルドパラメータによって決まる。スレッショルドが高くなるとタイプIIのエラーは少なくなるが、タイプIのエラーは増え、その逆も同様である。円周方向レーザおよび半径方向レーザの散乱信号の両方に対して最適化されたスレッショルドパラメータの値は、0.12%であることがわかった。4つのディスクサンプルの関連するスクラッチカウントを表3に示す。タイプ Iおよびタイプ I Iのエラー発生率は、それぞれ平均30%と2%である。共に0%が理想的ではあるが、2つのエラーは相互に相反する関係にあるため達成は困難である。タイプIIのエラーをゼロに近づけると共にタイプIのエラーを最小に抑える条件を選択した。後でわかるように、スレッショルドの設定は調整機能の研究では大きな役割を果たす。

上述のように開発されたスクラッチ識別システムは、パラメータの調整が必要になるとはいえ、集積回路(IC)シリコンウェーハなどの他のタイプのサンプルにも適用できる。

(b)

A B

5.05.0

-5.00 5.0 0 5.0

(nm

)

(µm)(a)

(µm)

図3:(a)CMP後の硬質ディスク上スクラッチのAFM画像および(b)矢印で示すスクラッチのABライン部分の解析。

33610µm

33235

187.90 191.75 deg

187.90 191.75 deg

189.39 190.35 deg

32860

32485

33610µm

33235

32860

32485

34250µm

33875

33500

33125

(a) Angle (°), Radius (µ)

Uni

tsU

nits

Uni

ts

Angle (°), Radius (µ)

Angle (°), Radius (µ)

(b)

(c)

図4:エッチングテスト条件を変えたときの測定CDと平均画像の比較。

事例(a) 事例(b) 事例(c)

エンコーダ乗数 16X 16X 64X

PMT電圧(V) 475 575 475

ピーク強度(%) 0.04 0.16 0.12

S/N比 1.2 2.1 2.9

自然状態

スクラッチ スクラッチではない

Candelaによる判定 スクラッチ 合格 タイプIIエラー

スクラッチではない タイプIエラー 合格

表1:Candelaでエンコーダ乗数およびPMT電圧の設定を変えたときのピーク強度とS/N比。

表2:Candelaレシピ確認テスト用のタイプIエラーおよびタイプIIエラーの定義。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 38: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

CandelaとDFMの相関

図5は、(a) Candelaと(b) DFMを使用して見た同じ場所のディスク表面画像を示す。Candela画像は、図の上方から、P偏光半径方向レーザを使用して得られた。2つの画像の差は、Candelaツールの実験セットアップについて考察した前のセクションで説明したとおりである。DFM画像の中央下部にわずかに見える半径方向スクラッチは、Candela画像では認識できない。一方、斜めに横切っている円周方向スクラッチはCandela画像の方がよく見える。Candela画像では異物がビーム方向と平行に伸びている。両方の画像とも左下隅の汚れは明るく表示されている。

ツール間の代表的な差を表4に示す。空間検出限界はDFMよりもCandelaの方がずっと小さい。Candelaの場合、検出限界はレーザスポットサイズ(4µm)によって決められるが、DFMの場合、限界はCCDカメラの解像度とオペレータの視力によって決まる。計測R&Rの研究偏差は、再現性、再現精度、およびパーツ間ばらつきを含む総合的なばらつきに対するR&Rの影響として定義される。一般的に、値が9%以上になると、システムを改善する必要がある。10個のサンプルでCandelaの研究偏差は7%であった。これは、R&R性能が許容できることを示す。他方、DFMの研究偏差は25%で、DFMでは手動操作が多く必要となることを考慮すると、十分とはいえない。

DFMとCandelaのスクラッチカウントに関する比較研究を行った。図6は、DFMの長いスクラッチカウント(2mm以上)とCandelaの長いスクラッチカウント(1mm以上)の相関を示す。スクラッチカウントのばらつきは、スクラッチ性能の異なる数種のスラリーを使用したためである。直線回帰相関係数R2は71%であった。このことから、DFMとCandelaのスクラッチカウントの関連性はほんのわずかであることがわかる。通常、十分な相関があると見なされるためにはR2は少なくとも75%必要である。10 また、回帰線が95%信頼レベルでラインy = xとならないため、関連性は一致しない。これは、DFMシステムの低い計測R&Rを含む、2つの装置の検出システムの違いによるものであると考えられる。表5に示すように、さまざまなサイズ別におけるスクラッチカウントで相関を調べた。最も大きなR2は71%で、前述の場合に見られた。

図6の回帰線の勾配は0.56であり、1以下である。これは、解析条件のもとで、DFMが検出したスクラッチをCandelaでは検出されなかったことを示唆する。スキャン領域の差(Can-

delaのスキャン領域はDFMよりも約1.5倍大きい)とカテゴリ別に分類したスクラッチのサイズ(Candelaでは1mm以上、DFMでは2mm以上)を考慮すると、Candelaが見逃したスクラッチの全体的な数はさらに増えると思われる。この食い違いの最も大きな原因として考えられるのは、Candela装置は浅いスクラッチからの散乱信号が比較的小さいため浅いスクラッチに対する感度が低いことと、前述したようにツールのスレッショルド設定の問題である。この仮説は、表5に示すデータによって裏付けられる。前述の比較からDFM検出で深いスクラッチのみをカウントした場合、回帰線の勾配は1.5となり、0.56増加している。

データストレージ

ディスクサンプル番号

スクラッチカウント タイプIエラー(%)

タイプIIエラー(%)正解 間違い 検出なし 合計

1 39 0 17 56 30 0

2 11 2 1 12 8 15

3 7 0 8 15 53 0

4 30 0 12 42 29 0

総計 87 2 38 125 30 2

表3:タイプIおよびタイプIIエラーの評価に使用したCandelaスクラッチカウントデータ。

(a) 1 mm (b) 1 mm

図5:(a) Candela技術と(b)暗視野顕微鏡(DFM)技術を使用した硬質ディスク表面画像。

Candela C10 DFM

光源 紫外線レーザ (405 nm)

白色光

光源数 2 複数

検出器 光電管(PMT) CCD

空間分解能限界 >4 µm ~100 µm

スクラッチカウントに対する計測R&Rの研究偏差(%)

7% 25%

表4:Candela C10技術と暗視野顕微鏡(DFM)技術の特性比較。

Candela vs DFM (long scratches)

DFM counts (>2mm)

Regression95% Confidence Interval

Can

del

a co

un

ts (

>1m

m) Y = 0.56 X + 3.4

R² 70.7%

40

40

30

30

20

20

10

100

図6:Candelaの長いスクラッチカウント(1 mm以上)とDFMの長いスクラッチカウント(2 mm以上)の関連性。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 39: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

前述したように、現在のパラメータ設定ではCandela散乱信号は浅いスクラッチを検出する可能性が低いことが実験で証明されている。このことは必ずしもツールの弱点にはならない。長さ、深さや幅などのスクラッチ形状に関する有意義な情報を提供する機能は、単に欠陥をカウントするよりも重要である。Candelaの利点は、次のセクションで説明するように、目標のスクラッチ形状の関数として欠陥を認識する柔軟な調整機能にある。

Candela調整機能とCMPスラリースクリーニングにおける使用

異なるスクラッチ性能を示すことが予測される一連のスラリーを使用して、ディスクサンプルセットを研磨した。これらのディスクはOSA-2レーザ使用光学表面アナライザで検査し、「合格スクラッチ」と「不合格スクラッチ」の2つのグループに分類した。次に、同じディスクをC a n d e l aとD F Mによって解析した。図7(a )および (b )に示すデータは、それぞれC a n d e l aとDFMの合計スクラッチカウントである。各グラフは、OSA-2ツールによる分類を採用している。このセクションではCandelaのスレッショルド設定に焦点を当て、図7 ( a )の測定では0 . 1 2 %の値を選んだ。スラリーの識別では、文字と番号の組み合わせを使用し、OSA-2

によるカテゴリのうち「合格」を「G」、「不合格」を

「R」の文字で表し、使用したスラリーを番号で表している。スラリー1は標準硬質ディスクスラリーである。スラリー2、3、および4には、スクラッチ低減添加物が含まれ、濃度はそれぞれ100、1,000、および4,000

(単位:相対濃度 )である。Candela合計スクラッチは、明らかにスクラッチ制御添加物の影響が見られる。この傾向はDFM技術ではそれほど見られない。ただし、OSA-2ツールでは添加物の影

響は観察されていない。これはOSA-2では異なる分類方法を採用しているためと思われる。次の課題は、Candelaを使用してOSA-2で整合性のある結果を得る方法を見つけることである。

CandelaとDFMの相関を得ようとした場合と同様に(表5を参照)、スクラッチの長さを選んでスクラッチカウントを比べることにより明らかな相関を見出そうとした。OSA-2ではス

データストレージ

Candelaスクラッチサイズ別

DFMスクラッチサイズ別 a(勾配)

b(y切片)

R2 (%)

1 1 mm> 2 mm> 0.56 3.4 71

2 1 mm> 2 mm>で深い 1.5 3.9 70

3 合計 合計 2.8 84 56

4 0.1 mm> 合計 0.99 35 57

5 0.2 mm> 合計 0.53 18 64

表5:サイズ別のCandelaおよびDFMスクラッチカウントの回帰解析。

サンプル:G1 散乱強度範囲(%)

スクラッチビン

下限(µm) 上限(µm) 0.12 – 0.2 0.2 – 0.3 0.3 – 0.4 >0.4

1 20 100 179 31 23 15

2 100 500 76 8 9 12

3 500 1000 7 4 0 2

4 1000 5000 0 9 3 1

5 5000 N/A 6 0 0 1

合計 268 52 35 31

表6:散乱強度範囲と長さビンを使用したCandelaスクラッチカウントの分類例、図8(a)のデータプロットに使用。

500

400

300

200

100

0G1 G2 G3 G4 R1 R2 R3 R4

Good

Scratch rejected

(a) Candela: Total scratches

Scra

tch

co

un

t

Disk sample number Disk sample number

500

400

300

200

100

0G1 G2 G3 G4 R1 R2 R3 R4

Good

Scratch rejected

(b) DFM: Total scratches

Scra

tch

co

un

t

図7:別のレーザー使用欠陥検出システム(OSA-2)によって分類された「合格」および「不合格スクラッチ」に(a) Candelaと(b) DFMを使用して測定した合計スクラッチ数。使用したOSA-2カテゴリとスラリーはディスクサンプル番号によって特定される。スラリー1は、硬質ディスク用の標準CMPスラリーで、スラリー2~4には、濃度の異なるスクラッチ低減添加物が含まれている。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 40: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

て長いスクラッチを選んでOSA-2との相関が明確になる1を得ようと試みた。図8(b)に示すように、Candelaでは4となった相関はDFMスクラッチカウントでは不明確であった。

データ解析は、Candelaのスレッショルド設定を相対的に高くすると、CandelaとOSA-2の相関が明確になることを示す。表6に示すように、G1サンプルではスクラッチの大部分で散乱強度が0.2%以下である。スクラッチ制御添加物の使用は、このカテゴリのスクラッチ数の低減に有効であるが、OSA-2検出が主に対象とする散乱強度が高いスクラッチの排除には効果が低い。この認識は新しいCMPスラリー製品の開発に重要な意味を持つ。スクラッチ低減に関する顧客の要求を十分に満たすには、どのスクラッチ形状(深さ、長さ、幅、および方向)に焦点を絞るかなど、スクラッチ定義の基準が非常に重要である。さまざまなスクラッチは種類によって根本的な原因が異なる。短くて浅いスクラッチは、研磨異物が凝集したかディスク材料から生成された異物が原因であろう。長くて深いスクラッチは、除去されずにスラリーに入り込んだ大きな異物が原因と思われる。原因となるメカニズムに応じて、CMPスラリー開発の手法は異なる。必要なスクラッチ情報を柔軟かつ効果的に提供できるレシピ指向のCandelaツールを使用すると、スラリー開発作業は大きく前進する。この研究から、計測ツールから必要な情報を抽出し選択することが、スラリー製品開発の鍵となることを学んだ。

クラッチの幅や深さと併せてスクラッチの長さで欠陥を分類するということが後になってわかった。この情報から、散乱強度も追加して重視すべきであったという結論に達した。スクラッチ欠陥とレーザビーム間の相互作用から生じる散乱信号には、スクラッチの幅と深さの情報が強度に含まれている可能性がある。標準的なCandela分類技術にはこの機能がない。スレッショルド値よりも高い散乱信号を示すスクラッチ欠陥サイトは、すべて欠陥であると見なされる。スクラッチ欠陥を散乱強度で分類するために、散乱信号データを別のスレッショルド値で再度解析し、得られたスクラッチカウントを散乱強度範囲に応じて手動で分類した。

図7(a)のG1サンプルに対するこのような分類例を表6に示す。スクラッチは、長さと散乱強度に応じて分類されている。重要なスクラッチの数がこの表から特定される。強度が0.4%以上のスクラッチ欠陥は、長さにかかわらず最も大きい欠陥として分類され、すべてがスクラッチとして数えられる。強度が0.3~0.4%の範囲のスクラッチ欠陥は、長さが500µm以上であれば2番目に大きく、スクラッチとして分類される。同様に、強度が0.2~0.3%の範囲のスクラッチ欠陥は、長さが5000µm以上であれば、3番目に大きなものと認識されスクラッチとして分類される。上記のスクラッチカウント小計は、新しいスクラッチ分類カウントに基づいている。

図8(a)は、図7(a)のディスクサンプルを新しい定義に基づいて数えたスクラッチカウントを示す。OSA-2による「合格」と「不合格」という2つのカテゴリが明確に識別されている。Candela測定によるスクラッチ数が40以下の場合、OSA-2ではディスクを「合格」と評価するが、40以上の場合はディスクを「スクラッチ不合格」と評価する。この結果は、他の欠陥計測ツールとの相関を明確にするには、散乱強度がもう1つの重要なパラメータとなることを示唆する。データによっては相関が不十分であるが(図7(a)および8(a)の間に見られるスクラッチカウントプロファイルの差など)、図7(a)および8(a)の間のスクラッチカウントプロファイルの差は有意義である。多分、解析パラメータの最適化が相関の向上に役立つであろう。DFMデータの場合、OSA-2検出では散乱強度が大きくなるほどスクラッチがはっきりするという結果があることから、深く

データストレージ

150

100

50

0G1 G2 G3 G4 R1 R2 R3 R4

Good

Scratch rejected

(a) Candela: Intensity & length categorization

Scra

tch

co

un

t

Disk sample number Disk sample number

20

15

10

5

0G1 G2 G3 G4 R1 R2 R3 R4

Good

Scratch rejected

(b) DFM: Intensity & length categorization

Scra

tch

co

un

t

図8:図7のディスクサンプルについて、(a)散乱強度と長さで分類したCandelaスクラッチカウントおよび(b) DFMスクラッチカウント(深さと長さ)。

Candelaシステムでは、長さ、深さや幅などのスクラッチ形状に関する有意義な情報だけでなく、目標のスクラッチ形状の関数として欠陥を認識する柔軟な

調整機能がある。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 41: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

この調整機能は、現在Candelaシステムでは標準手順として使用できない。システムにこの機能を追加するには、

Candelaスクラッチ解析プロセスの複雑な設計変更が必要になろうが、ツールの柔軟性は増す。

結論

レーザ使用光学表面アナライザ(Candela CS10: KLA-Tencor Corp.)

および暗視野顕微鏡(DFM)技術を使用したスクラッチカウントの評価。

1. 測定器の再現性および再現精度(R&R)研究によれば、Candela

ツールの研究偏差は7%である。これはR&R性能として許容できる範囲にある(9%以下)。一方、DFMには25%の偏差があり、この不一致はDFMの手動操作によるところが大きい。

2. CandelaとDFMスクラッチカウントの相関は、特定のスクラッチサイズ別でR2が71%である。相関がこのようにわずかであったのは、DFM測定機能のR&Rが相対的に低く、ツール間の検出システムが異なるためである。

3. 検出、解析、およびデータ処理システムが異なるため、使用したパラメータ設定では、CandelaはDFMに比べて小さいスクラッチ(10µm以下)を簡単に検出し、不明瞭なスクラッチに対する感度は低いことを実証した。

4. 標準スレッショルドを使用したCandelaスクラッチカウントでは、スクラッチの低減に対するCMPスラリー添加物の影響が明らかに実証された。この影響は、上述した解像度の制限のため、DFM技術では明らではなかった。

5. Candelaの感度調整機能により、別のレーザ使用スクラッチ検出ツールで得たスクラッチカウントと関連させることが可能になった。これはDFM技術を使用しては実現されなかった。スクラッチ欠陥の基準に応じて、Candelaはレシピの変更に合わせて必要なスクラッチ情報を抽出できる。

謝辞

著者は、データ収集についてLi Wang氏に、このプロジェクトを完成するにあたり行われた有意義な討論と提案についてFrancois Batllo、Haresh Siriwardane、Edward Remsen、Vamsi

Velidandla、およびLaurie Bechtlerの各氏に感謝する。

参考文献

1. Sarid D, McCarthy B and Jabbour G E, 2004 Nanotechnology for data storage applications, in bhushan B (ed.), Springer Handbook of Nanotech- nology Springer-Verlag, Heidelberg, Germany.

2. Lei H and Luo J 2004, CMP of hard disk substrate using a colloidal SiO2 slurry: preliminary experimental investigation, Wear 257 pp. 461–70.

3. Michael R. Oliver (ed.) 2004, Chemical mechanical planarization of semiconductor materials, Springer-Verlag, Heidelberg, Germany.

4. Knollenberg R G, A polarization diversity two-color surface analysis system, 1987 Journal of Environmental Sciences 30, pp. 35–8.

5. Takami K, 1997, Defect inspection of wafers by laser scattering, Mat. Sci. Eng. B 44 pp. 181–7.

6. Meeks S W 2003, Optical surface analyzer inspects transparent wafers, Laser Focus World 39 pp. 105–6, 8.

7. Bechtler L, Velidandla V and Lane G, 2003, Optical surface analysis of transparent substrates for manufacturing applications, Proceedings of Electrochemical Society 2003-3, pp. 540–7.

8. Candela Instruments, 2003, Optical Surface Analyzer C10 User’s Manual, Software version 1.0, Rev 1.0.

9. Johnson K E, Mate C M, Merz J A, White R L and Wu A W, 1996 Thin �lm media - current and future technology, IBM J. Res. Develop. 40 (Sept).

10. Miller J N and Miller J C, 2000, Statistics and chemometrics for analytical chemistry, 4th ed. Pearson Education Limited, Edinburgh Gate.

データストレージ

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 42: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

2810 and 2815明視野パターン付きウェーハ検査装置

メモリメーカは、アスペクト比の高い密集した繰り返しパターンにおいて微細な欠陥を高スループットで検出する必要がある。ロジックメーカは、新しい材料と急速に変化するプロセスを採用した複雑なロジックパターン、および、密集した繰り返しパターンにおいて、重大な欠陥をすべて検出する必要がある。これらの欠陥検査条件の要求に加えて、新しいプロセスの歩留まりを短期間で向上させるために、感度と処理速度を向上させる必要がある。2810と2815は、業界初のメモリおよびロジック専用フルスペクトル明視野検査装置であり、デバイスタイプごとにカスタマイズされた機能によって歩留まりの問題を解決できる。KLA-

Tencorの包括的なウェーハ検査ポートフォリオを構成する281x明視野検査装置は、55nm以降のノードのメモリと45nm以降のノードのロジックの製造に有効なライン監視およびエンジニアリング解析機能を備えている。

281xは、既に多くの実績を持つ2800シリーズフルスペクトルDUV/UV/可視光明視野検査装置をベースにしており、メモリ用およびロジック用にカスタマイズされた光学モードとアルゴリズムを使用して、すべてのプロセスレイヤ上で歩留まりにとって重大な欠陥を広範に捕捉する。281x検査装置は、選択可能なスペクトル照明光源とピクセルに依存しない高いNAを備えている。それによって、材料のコントラストを高め、重要で無い欠陥を抑制し、改良された自動欠陥分類機能を使用して、有効な欠陥パレートチャートを生成できる。スループットが2800のほぼ2倍に向上した281xを使用して、歩留まりを短期間でシステマチックに向上でき、重要なエッチング、CMP、およびフォトプロセスのラインモニターおよび欠陥低減を行うことができる。281xには、プロセス開発に必要な柔軟性、量産現場での信頼性、次世代ノードおよび新しいデバイステクノロジへの拡張性が備わっている。

2810または2815の具体的な使用事例と歩留まり問題へのご質問については、

Mark Shirey ([email protected])までお問い合わせください。

281xの特長

カスタマイズされた光学モードと選択可能なフルスペクトルDUV/UV/可視光照明によって、あらゆる工程の対象欠陥に対して高い感度を実現

最高の量産加重平均スループット(WATIP)により、サンプリング数の増加、所有コスト(CoO)の低減、または高感度検査が可能に

他のKLA-Tencor検査装置およびレビュー装置との共通性および接続性により、検査装置の処理能力を最大限に引き出し、量産ラインの統合時間を短縮

量産現場で実績のある、拡張性の高い確立されたツールアーキテクチャによって、複数のテクノロジノードに対応する信頼性の高いライン監視機能を実現

プロセスウィンドウクォリフィケーション(PWQ)アプリケーションは量産に移行する前にデザインを評価し改善に寄与する

製品ニュース

EquivalentThroughput

0

25

50

75

100

Layer 1 Layer 2 Layer 3

No

rmal

ized

Def

ect

Co

un

t

2810: 75–80% throughputimprovement over 2800

28002810

スループットが向上し、メモリ用にカスタマイズされた新しいパターン抑制モードを備えた2810は、3つのメモリデバイスの前工程でスループットが2800を超え、感度が向上した例を示している。

BridgePattern Line Thinning

Particle SEM Non-Visual/Bump

Defects of Interest

Def

ect

Co

un

t 90nm Pixel (BBDUV BF)

50nm Pixel (BBDUV BF)

新しい50nmピクセルによって重大なブリッジ欠陥の捕捉が2倍に増加したことを示す2815の欠陥パレートチャート。業界最小のピクセルにより、対象欠陥の捕捉が改善され、プロセス異常の早期検出が可能になる。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 43: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

半導体デバイスメーカは、短期間で歩留まりを向上させ収益を上げるために、微細化する寸法、新しい材料、および画期的なデバイス構造に関連する歩留まりの問題に対処する必要がある。パターン付きウェーハ検査装置を使用すると、エンジニアはプロセス開発から量産に至る製品ライフサイクルのあらゆる段階で欠陥の問題を解決できるようになり、歩留まりを向上させることができる。KLA-Tencorの包括的なウェーハ検査ポートフォリオを構成するPuma 9150暗視野検査装置は、45nm以降のノードに対応する有効な異常モニター機能を備えている。

レーザイメージング暗視野検査装置のPumaファミリの最新製品となるPuma 9150は、画期的なStreak™テクノロジを利用し、機能を拡張することにより、高いスループットで幅広い欠陥タイプを捕捉できる。新しい光学モードを使用すると、エッチング工程検査ではブリッジなどのパターン欠陥に対する感度を高め、CMPプロセスでは残留物などの欠陥の捕捉を改善し、リソ工程では高いスループットでリソ起因の欠陥を検出できる。Puma 9150は、フィルム工程モニターのベンチマーク感度を提供するだけでなく、ツールモニター用アプリケーション、フォトセルモニターや現像後検査においてサンプリング数を増加し、高感度の広帯域明視野検査を補完する。

Puma 9150の具体的な使用事例と歩留まり問題へのご質問については、

Amir Azordegan ([email protected])までお問い合わせください。

Puma™ 9150暗視野パターン付きウェーハ検査装置

Puma 9150の新しい光学モードにより、不完全なCu研磨(上図)、変形したコンタクト、ブリッジ、残留物などの低プロファイル欠陥をより多く捕捉できる。

Puma 9150の特長

新しい光学モードとStreak暗視野イメージングテクノロジにより、幅広いアプリケーションで欠陥タイプの捕捉を改善

必要な感度で最高の量産スループットを維持することによって、歩留まりサンプリング数を増やし、CoOの低減を可能に

他のKLA-Tencor検査装置およびレビュー装置との共通性および接続性により、検査装置の処理能力を最大限に引き出し、量産ラインの統合時間を短縮

使いやすい改良機能と画期的なアルゴリズムにより迅速かつ簡単なレシピセットアップ

確立されたツールアーキテクチャと量産現場で実績のあるマッチング性能により、一貫性のある信頼性の高い検査結果を生成

Bridge Cu Residue Missing Contact

Sig

nal

-to

-No

ise

Rat

io Traditional Optical Modes

New Optical Modes

Puma 9150の従来の光学モードと新しい光学モードの補完的な検出機能を示す3つの欠陥のS/N比。複数の光学モードにより、幅広いアプリケーションで広範な暗視野欠陥タイプの捕捉が可能となる。

製品ニュース1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 44: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

eDR-5200電子ビームレビューおよび分類装置

デザインルールが45nm以降のノードに微細化するにつれ、欠陥および歩留まり管理エンジニアはますます、レビューツールによって生成される欠陥パレートチャートの品質と微細な欠陥にこだわるようになってきた。eDR-5200ウェーハ欠陥レビューおよび分類装置は、50nm以下の欠陥をイメージングし、SEM不可視(SNV)欠陥を大幅に減らして、より正確な欠陥パレートチャートを生成することにより、これらの問題に適切に対処できる。KLA-Tencorの包括的な欠陥ソリューションポートフォリオの重要な構成要素であるeDR-5200は、分解能および欠陥再検出感度の向上を活かすと共に、KLA-Tencor検査装置との専用接続を確立することにより、45nm以降のノードで優れたレビュー性能、短期間での歩留まり向上、および高いツール生産性を可能にする。

eDR-5200では、電磁波イマージョンカラム設計が採用されており、50nm以下の欠陥のイメージングに必要な解像度を達成する。さらに、高精密ステージ、画期的な欠陥オフセット、および高度な再検出アルゴリズムにより、低コントラスト欠陥や微細な欠陥の検出に必要な機能を提供し、レポートされるSNVの数を効果的に削減する。パワーアシスト分類(ePAC™)や完全自動欠陥分類(eADC™)などの欠陥分類への斬新なアプローチによって、欠陥パレートチャートがさらに改良される。また、eDR-5200では、検査/レビューのサイクルを1つの使用例とするために、KLA-Tencor光学検査装置へのシームレスな接続性を確立できる。それによって、より質の高い欠陥パレートチャートを1時間あたりより多く生成できるようになり、エンジニアは45nmノードデバイスの最も微細で重大な欠陥に関する歩留まりの問題でも迅速に解決できる。

eDR-5200の具体的な使用事例と対処できる歩留まり問題へのご質問については、

Christophe Fouquet ([email protected])までお問い合わせください。

eDR-5200の特長

高いステージ精度と画像解像度によって50nm以下の欠陥の検出とイメージングが可能

量産に適した手動、パワーアシスト、および全自動の欠陥分類により、短時間で最高品質の欠陥パレートチャートを取得

KLA-Tencor検査装置との専用接続によって、SEMで正確なレシピセットアップを迅速に生成し、さらにSEM不可視欠陥などの無視できる欠陥の検出率を低減

画期的なEDXデザインにより、組成に基づいて100nm以下の欠陥解析および分類が可能

KLA-Tencor検査ツールとレビューツール間の接続によって、プロセスウィンドウクォリフィケーション(PWQ)時間を大幅に短縮

製品ニュース

27

4036

71 73

8578

112

12 1322

1322

0

25

50

75

100

1-FEOL

2-FEOL

3-BEOL

4-FEOL

5-BEOL

6-BEOL

7-BEOL

Layer

SNV

Rat

e %

POR Method

eDR Method

eDR-5200では、座標精度が向上し、KLA-Tencor検査装置との接続が確立されており、レポートされるSEM不可視欠陥の数が大幅に減少している。

0.5µm FOV

50nm Defect

液浸カラム設計と高いステージ精度を備えたeDR-5200では、50nm以下の欠陥を検出およびイメージングできる。

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 45: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

SURFmonitorシステムは、業界屈指のSurfscan SP2パターンなし表面検査装置を、これまでの単なる欠陥検査を超えた計測領域に拡張する製品である。SURFmonitorは、ベアウェーハやベタ膜の表面形態における変動を測定することができ、これによりウェーハの表面粗さやグレインサイズ、プロセス温度などのさまざまなプロセスパラメータを間接的に評価することができる。SURFmonitorは、従来の欠陥情報を収集するのと同時に、サブオングストロームレベルの正確さを有する詳細なパラメトリックマップを作成するものである。したがって、検査スループットに影響を与えることなく、欠陥情報とプロセス装置の性能変動を監視することを可能にする。またSURFmonitorはSP2の欠陥検出機能を「スレッショルド以下の」領域にまで拡大しこれまでの欠陥チャネルでは捕捉されないプロセスの異常と欠陥シグネチャを検出することができる。

SURFmonitorは、散乱信号の中で低空間周波数かつ低振幅成分のみを使用してウェーハ全体のマップを生成する。このマップはサブオングストロームの垂直分解能を有する。またSURF-

monitorは、ウェーハの面内ばらつき、またはウェーハ間ばらつきを解析することで、その結果をプロセス管理に適用できる。またSURFmonitorのデータは、Cu、タングステン、およびポリシリコン膜の表面の粗さ、透明膜の膜厚、表面の損傷、表面の温度変動などのいくつかのパラメータと非常に良い関連性があることが明らかになっている。SURFmonitorには、従来の欠陥チャネルでは検出が容易でないウォーターマークや汚れなどのS/N比の低い欠陥を検出できる機能も備わっていることがわかっている。また、再現性とマッチング性能に関しても、Surfscan SP2プラットフォームに組み込まれたSURFmonitorはきわめて優れている。

SURFmonitorの具体的な事例と歩留まり問題への適用に関するご質問については、

Andy Steinbach ([email protected])までお問い合わせください。

SURFmonitorプロセスの特性と計測モジュール

SURFmonitorの特長

欠陥検査と同一のスキャンから得られるため、検査スループットに影響を与えることなく欠陥および膜の形態情報を収集する

強力なアルゴリズムによって欠陥シグネチャを抽出し、表面散乱の検査結果を有用なデータに変える

サブオングストロームの垂直(パターンの高さ)分解能と業界屈指の横方向分解能

Surfscan SP2製品へのアドオンモジュールとして使用可能

ファブ内の各プロセスモジュールにおいていくつかのアプリケーションが実証済み

AFM RMS roughness (nm)

SUR

Fmo

nit

or

sig

nal

(p

pm

) 300

250

200

150

100

50

00.0 1.0 2.0 3.0 4.0 5.0 6.0

Cu ECD膜に関するSURFmonitor結果では、AFMによって測定された表面の粗さと相関関係があることが明らかになった。SURFmonitor信号と粗さの二次関係は、理論値とよく一致している。

このSURFimageには、SURFmonitorアルゴリズムによって抽出されてレポートされたウェット洗浄プロセスでの乾燥時の汚れが示されている。

製品ニュース1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46

Page 46: Yms sm07 final jpn

2007年冬号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

HRP-350量産スループットで最先端の45nm半導体に対応できるプロファイルテクノロジ

あらゆるデバイス世代で重要なエッチングプロセスやCMPプロセスに対するプロファイル制御要求が厳しくなるにつれ、顧客は歩留まりにとって重要なナノスケールのアプリケーションをサポートし、ウェーハ上のマクロスケールのトポグラフィを制御する単一システムソリューションを必要としている。

HRP-350は、業界最先端の高解像度表面トポグラフィプロファイラであり、半導体メーカが大幅に微細な縦方向および横方向の寸法を監視できる機能を備えている。半径わずか20nmのダイヤモンドスタイラスと低ノイズプラットフォームによって測定感度を向上させたHRP-

350システムは、モデリング条件に依存することなく、AFMの分解能に匹敵するナノメートルスケールのスタイラステクノロジを提供する。システムの高分解能モードにより、シャロートレンチアイソレーション、配線内のCMP、金属膜の粗さ、タングステンのプラグ埋め込みなどのデバイスの性能に直接影響を及ぼすアプリケーションでナノスケールパターンの正確な制御が可能となる。よりスケールの大きなパターンの場合、システムの長距離スキャンモードが高スループットモードで動作して、Cu CMP ディッシングおよびエロージョン、Cuメッキ、ダイ平坦度、およびパッケージング内のC4バンプの高さを測定する。より高速のスキャン速度によって、さまざまな重要なトランジスタおよび配線アプリケーションでのHRP-

350の量産適合性が高まる。

独自の20nm UltraSharp™スタイラスを含めたシステムの広範なスタイラスポートフォリオは、ダイヤモンド材をベースにしているので、スタイラス寿命が最も長く、一般的なAFMのチップより最大100倍長い。新しいスタイラスの開発では、スタイラスの寸法を微細化するだけでなく、ロバスト性も高めることによって、テクノロジをさらに向上させ、旧世代のHRP-

340システムの最大5倍の速度でスキャンを可能にする。その他のシステム生産性の向上により、システムスループットが最大40%高まる一方で、最先端の65nmデバイスおよび45nmデバイスで重要な構造をプロファイリングできるようになった。300mmウェーハ用のHRP-350システムに加え、200mm以下のウェーハ用のHRP-250もIC半導体およびディスクドライブ製造アプリケーションに使用できる。

HRP-350で対処できる表面プロファイルの問題へのご質問については、Petrie Yam ([email protected])までお問い合わせください。

HRP-350の特長

測 定 機 能 の 拡 張 に よ り 、65nm以降のノードの高度な条件に対応

より微細なスタイラスを採用し、ノイズ抑制性能が向上しているので、高度なナノスケールパターン(埋め込みなど)のトポグラフィ測定が可能に

測定性能が33%厳密になり、最も厳しいプロセス制御を実現

斬新な処理機能によって、微細なスタイラスでは5倍のスキャン速度でスキャンが可能になり、スタイラスを交換せずにマクロおよびミクロのトポグラフィに対応できる

スループットが最大40%向上し、より信頼性の高い防振システムを備えることにより、最も量産に適した表面計測ソリューションを生み出す

Stylus Lifetime Step Height Measurement

Cu

rso

r H

eig

ht

(A)

-1080.0

-1070.0

-1060.0

-1050.0

-1040.0

-1030.0

>100k

ダイヤモンドをベースにしたスタイラスは、寿命が最も長く、一般的なAFMのチップより最大100倍長い。

専用の20nm UltraSharp™ダイヤモンドスタイラスと低ノイズプラットフォームによって横方向分解能を向上させる。

製品ニュース 1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

42

43

44

45

46