41
yms 歩留まり管理ソ リューション www.kla-tencor.com/ymsmagazine 2008 | 1 KLA-Tencor YMS マガジン、 2008 春号へよ うこそ。 CD-ROM および www.klatencor.com/ ymsmagazine からオンラインで入手可能 目次 2ページ 記事のトピック : 欠陥管理 3ページ ファブの経済性 25ページ 検査 29ページ 測定学 33ページ 製品ニュース 38ページ

Yms08 issue1 japanese

Embed Size (px)

DESCRIPTION

 

Citation preview

Page 1: Yms08 issue1 japanese

yms 歩留まり管理ソリューション

www.kla-tencor.com/ymsmagazine2008 | 第 1号

KLA-Tencor の YMS マガジン、2008 春号へようこそ。CD-ROM および www.klatencor.com/ymsmagazine からオンラインで入手可能

目次 2ページ

記事のトピック:欠陥管理 3ページ

ファブの経済性 25ページ

検査 29ページ

測定学 33ページ

製品ニュース 38ページ

Page 2: Yms08 issue1 japanese

歩留まり管理ソリューションは、KLA-Tencor Corporation によって発表されたものです。歩留まり管理ソリューションについては、www.kla-tencor.com/ymsmagazine を参照してください。資料請求の場合は、www.kla-tencor.com/products を参照してください。

©2008 KLA-Tencor Corporation. All rights reserved. 資料は、KLA-Tencor Corporation からの許可なくして複写することはできません。このドキュメント中の製品は、該当する会社または機関の商標によって確認されます。

欠陥管理 効率的に品質の高いパレートチャートを作成する SEM レビュー装置の優位性 Crolles 2 Alliance and KLA-Tencor Corporation 3ページ

45nm 量産時の欠陥異常の制御を容易にするデザインベースビンニング United Microelectronic Corporation and KLA-Tencor Corporation 7ページ

欠陥重要度指数 (DCI):45nm 量産環境における DOI サンプリング改善のための新手法 Toshiba Corporation and KLA-Tencor Corporation 11ページ

45nm 世代でのレーザアニールプロセス評価方法 United Microelectronics Corporation and KLA-Tencor Corporation 15ページ

MEEF ドリブン欠陥合否判定の自動最適化による異物検査の課題の解決 United Microelectronic Corporation and KLA-Tencor Corporation 18ページ

ファブの経済性パターン付きウェーハ検査装置比較のパラダイムシフトMicron Technology, Inc. and KLA-Tencor Corporation 25ページ

検査ウェーハエッジの欠陥に対する液浸リソグラフィの影響IMEC and KLA-Tencor Corporation 29ページ

測定学スキャトロメトリによる複雑なスペーサーの電気特性予測IBM Microelectronics and KLA-Tencor Corporation 33ページ

製品ニュースAleris 8500、8350、8310 38ページ

SensArray 39ページ

WaferSight 2 40ページ TeraFab ポートフォリオ 41ページ

編集責任者 Charles Lewis

寄稿者Becky PintoReeti PunjaLisa Garcia

美術担当者および制作担当者 Inga Talmantiene

制作コンサルタントJovita Rinkunaite

販売担当Cathy Silva

目次

Page 3: Yms08 issue1 japanese

2008 | 第 1号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

効率的に品質の高いパレートチャートを作成する SEMレビュー装置の優位性L. Tétar, B. Hinschberger, D. PepperCrolles 2 Alliance, Crolles, France

M.K. Raghunathan, O. Moreau, D. RandallKLA-Tencor, Meylan, France

図 1は、Crolles で製造されている 90nm および 65nm テクノロ

ジノードの 3つのレイヤで SEM 不可視欠陥の割合が増加した

ことを示している。欠陥および歩留まり管理エンジニアは、

欠陥パレートチャートの大部分を占める SNV カテゴリが重要

欠陥を監視を阻害し、パレートチャートの有益性や情報コン

テンツの質が大幅に失われる。このことは、異常時やプロセ

スの立ち上げ時に実施する対処法に直接的な影響を与える。

情報不足によって判断が遅れたり、最悪の場合、間違った判

断が下されたりする可能性がある。その結果、利益の最大決

定要因となる量産立ち上げまでの時間が影響を受ける。

実験と結果

有益なパレートチャートを生成に影響を与える要因をいく

つか調べた。

SEM の解像度•

欠陥検出 •

-SEM のステージ精度

-欠陥再検出アルゴリズムの有効性

欠陥分類 •

-下層レイヤの欠陥と色ムラ欠陥の割合

-自動欠陥分類の精度 (Accuracy) と純度 (Purity)

検査レシピの品質•

Crolles の 3 つのテクノロジノード (90nm、65nm、および

45nm) で 6 か月間にわたって約 800 枚のウェーハを測定し

て、新しい電子ビームレビューおよび分類装置 (KLA-Tencor

eDR-5200) の機能をテストした。それによって、eDR-5200 が

既存の検査ツールと連動してこれらの各要因を改善し、より

有益な欠陥パレートチャートを生成できることを確認した。

45nmノード世代以降、従来の SEMレビュー装置の能力では、品質の高い欠陥パレートチャートを生成するのに限界がある。

新しい eDR-5200は、広範囲にわたるテストで、90nm、65nm、および 45nmノードでの検査用 SEMのレビューおよび分類性

能を向上させたことが実証された。eDR-5200と KLA-Tencor社製検査装置間の接続性が強化され、ユーザはより短時間で最適

な検査レシピを作成できた。それによって、歩留まり改善の判断を迅速に下すことができた。

はじめに

欠陥解析に不可欠な欠陥パレートチャート作成において、

欠陥の検査、レビュー、および分類は、重要なステップで

ある。キラー欠陥のサイズが微細化し、プロセスインテグ

レーションがますます複雑になるにつれ、欠陥および歩留

まり管理エンジニアは、SEM レビュー装置によって生成さ

れる欠陥パレートチャートの品質に関心をもつようになっ

てきた。多くの場合において、半導体メーカは、欠陥パ

レートチャートの最大のカテゴリの 1 つが「SEM 不可視

(SNV)」欠陥であることを理解している。私たちは、歩留ま

り管理エンジニアがプロセス開発時または量産時に的確な

判断を下せるように、新しい SEM レビュー装置 eDR-5200 を

使用して、有益なパレートチャートを生成する上での課題

を研究し、これらの課題を解決するためのソリューション

を開発した。

欠陥管理

27 303637 40

82

FEOL 1 FEOL 2 BEOL 1

Layer

% S

NV

90nm65nm

図 1:Crollesで製造されている 3つのレイヤでは、デザインルールの微細化に伴い SNVの割合が増加している。

Page 4: Yms08 issue1 japanese

2008 | 第 1号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

SEMの解像度最先端のファブでは、65nm ノードのデバイスを量産に移行し、

45nm ノードと 32nm ノードについて研究しており、きわめて

微細な欠陥のイメージングには、非常に高い SEM 解像度 ( 約

2 ~ 3nm) が不可欠である。eDR-5200 は、図 2 のような 65nm

および 45nm テクノロジのきわめて微細なキラー欠陥の画像

で、その高い解像度が実証された。

欠陥検出 SEMのステージ精度 デザインルールが 65nm 以降に微細化されるにつれ、重大な

欠陥を検出するには、高精密ステージと高度なデスキューア

ルゴリズムが必要不可欠である ( 図 3)。私たちは、ファブ内

の業界標準の明視野検査装置の検査結果を使用して、位置決

め性能を調べた。高精密ステージを取り付けた eDR-5200 で

は、欠陥が常に 2~ 3μm の視野内に収まることがわかった。

装置のステージ精度が高いので、直接欠陥観察 (DDL)モードが可

能となる。このモードでは、グローバルなデスキューの後にス

テージが自動的に欠陥位置へ移動し、画像を取得する。欠陥再検

出アルゴリズムを使用しないので、DDL レシピの作成は容易です。

そのため、幅広いユーザがレシピを簡単にセットアップできる。

私たちは DDL を使用して、2 つのデポ工程に対してレビュー

を自動的に実行した。これらの DDL モードのレビューは 3μmの FOV で実行され、欠陥捕捉率は 90% を上回った。さらにこ

の DDL モードのレビューは、通常のレビューの最大 2 倍の速

度で実行された。

欠陥再検出アルゴリズム従来の欠陥再検出アプローチでは、SEM での低コントラスト

欠陥や微細な欠陥 ( 図 4) を完全に見逃したり、SNV として分

類したりする可能性があった。

eDR-5200 を使用して、90nm、65nm、および 45nm の 3 つのテ

クノロジノードの 29 個の工程で、600 枚以上のウェーハに対

し、90% 以上の平均欠陥再検出率が達成された (図 5)。

欠陥管理

0.5µm 0.5µm 0.5µm

図 2:eDR-5200の高解像度画像のサンプル (視野 (FOV)は 0.5μm)。

6µm 3.5µm

図 3:ステージ精度の必要性。

3.5µm 1.5µm

図 4:高度なアルゴリズムを使用した低コントラスト欠陥の再検出。

Average Defect Re-detection Rate across 29 layers - 90, 65 & 45nm

50%

55%

60%

65%

70%

75%

80%

85%

90%

95%

100%

FEOL

1

BEOL

3

BEOL

5

BEOL

8

BEOL

4

BEOL

5

FEOL

8

BEOL

11

FEOL

13

FEOL

16

Layer

Def

ect

Re-

det

ecti

on

Rat

e (%

)

図 5:eDR-5200の平均欠陥再検出率。

Page 5: Yms08 issue1 japanese

2008 | 第 1号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

欠陥分類 下層レイヤの欠陥/色ムラ欠陥の割合 電子ビームはレイヤの表面にのみ作用するので、深く埋め

込まれた欠陥や下層レイヤの欠陥は SEM では見えず、SNV

として分類される。

eDR-5200 では、検査ツールから取り込まれた光学パッチ画

像にアクセスして、これまで SNV として分類されていた、

SEM で表示されない、下層レイヤの欠陥を分類できる。

eDR-5200 では、下層レイヤの欠陥の分類に役立つ「データ

オンデマンド」(DOD) というアプリケーションを使用した。

DOD は KLA - Tencor の明視野検査装置から取り込んだ光

学情報にアクセスする機能です。この機能を使用すると、

KLARF (KLA 結果ファイル ) 内の欠陥すべての光学パッチ画

像にアクセスできる。そのため、無視できる欠陥をより詳

しく調べて、下層レイヤの欠陥、色ムラ欠陥、検査装置に

よるノイズのどれなのかを把握できる。

図 6は、色ムラ欠陥に加えて、検査対象のレイヤの下層に欠

陥がいくつか見つかったことを示している。これらの欠陥は

光学的に見えるが、SEM では不可視である。

これまでは SNV として分類されたが、新しいアプローチで

は、「下層/光学的に可視」欠陥ビンに分類できる。

自動欠陥分類 90nm テクノロジノードの 3 つのレイヤで自動欠陥分類を実

行した。これらの3つの各レイヤのClassifierには、それぞれ

7~8つの分類コードがある。これらのClassifierの基本的な

機能は、キラー欠陥とキラー欠陥以外の欠陥を効率よく分

類することである。

図 7は、FEOL レイヤの 1つに関する Classifier の性能を示し

ている。ここでは、自動欠陥分類と手動欠陥分類がほぼ一致

している。このことから、量産環境での運用が期待できる。

検査レシピの質 eDR-5200 と互換性のある光学検査装置間の接続機能を使用

して、検査レシピ最適化プロセスを調べ、その速度および精

度が向上したことがわかった。これは主に、検査装置と SEM

間で何回も繰り返されていた処理が省略されたためである。

ユーザは対話的なレシピ調整機能を使用して、レシピ感度

閾値調整を行うたびに、SEM 画像と欠陥分類割り当てとし

て即座にフィードバックを受け取ることができる。

新しい SEM システムでは、検査レシピを対話的に調整でき

るので、ユーザはレシピ感度閾値調整を行うたびに、SEM

画像と欠陥分類割り当てとして即座にフィードバックを受

け取る。検査ツールとのインタフェースが共通なので、最

先端テクノロジファブと量産ファブの両方の担当者がこの

機能を使用できる。このアプローチは、当社の明視野検査

レシピ最適化の標準プロセスとなった。さらに、このア

プローチによって検査装置のレシピ作成の時間を削減し、

削減された時間で量産用の検査に使われる。

90nm、65nm、および 45nm テクノロジノードの 10 の検査レ

シピをこの機能で最適化した。プロセスを 1 回繰り返すだ

けで、最終的なレシピが得られることがわかった。既存の

レシピセットアップ方法と比較した検査レシピの改善点を

図 8 に示す。この場合、ある領域の感度閾値を調整しただ

けで、SNV の割合が低下させた。その上、重要欠陥の数は

変わらなかった。

欠陥管理

31

0 01

7

23

Nuisance Previous-Layer Defect Color Variation

w/o DODw/ DOD

OM Ref

SEM

OM

SEM

OM Def

図 6:DODを使用して eDR-5200で行われた下層/色ムラ欠陥の 分類。

FEOL #1: 90nm Defect Pareto

Nuisance NK DOI #1 NK DOI #2 NK DOI #3 K DOI #1 K DOI #2 K DOI #3 K DOI #4

eADC Classification

Manual Classification

図 7:eDR-5200の自動欠陥分類の性能。

Actionable Pareto: Before Inspector Recipe Optimization vs After

35

2 1

35

42 1

34

SNV Killer DOI 1 Killer DOI 2 Killer DOI 3

Def

ect

Co

un

t

Defect Count using traditional Inspector Recipe Optimization

Defect Count after using new technique Inspector Recipe Optimization

図 8:SEMでの検査レシピ最適化後の SNVの減少。

Page 6: Yms08 issue1 japanese

2008 | 第 1号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

場合によってはこの方法で、SEM 画像に表示可能な重要

欠陥をできるだけ多くパレートチャートに取り込むために

最適な光学モードを、検査ツールで特定できた。図 9 に、

45nm ノードのコンタクトの現像後検査で 3 つの異なる光学

モードをテストした結果生成されたパレートチャートの例

を示す。光学モード3で生成されたパレートチャートは、(1)

SNV欠陥の数が少なかった (2) 下層レイヤの欠陥の数が少な

かった (3) キラーDOIに対する感度が最も高かった。これら

の結果に基づいて、このレシピに最適なモードは光学モー

ド 3であることがわかった。

図 10 は、光学モードに関して 45nm ノードの検査レシピを最適

化するのに 5 時間もかからなかったことを示している。この機

能がなければ、最適化に 2シフト以上かかったと推定される。

この方法で最適化した検査レシピによって生成されたパ

レートチャートを複数のロットで確認した。図 11 は、SNV

および DOI の割合が安定しており、性能に整合性があるこ

とを示している。

結論上記のすべての機能により、eDR-5200 は 90nm、65nm、およ

び 45nm ノードの複数の用途で検査用 SEM のレビューおよび

分類性能を向上させたことがわかった。eDR-5200 と検査装置

間の接続性を利用すれば、ファブの担当者に最小限のトレー

ニングを実施するだけで、適切なウェーハ検査レシピを短時

間で作成できるようになった。それによって、プロセスにつ

いての歩留まりに関連した判断を迅速に下すことができた。

eDR-5200 を使用したレビューの用途および方法は、研究開

発と量産を並行して行うCrollesの環境で欠陥制御プランを

展開するうえで不可欠となった。これは、結果を得るまで

の時間の短縮、ファブのリソースおよびツールの利用効率

の向上、および質の高い結果が確実に得られる段階的手法

の改善によって可能となった。Crollesではこの手法により、

開発時間だけでなく、現在の競争の激しい半導体市場で重

要な市場投入までの時間を最終的に短縮することができる。

元々、この論文は、2007 年 12 月 6 日~ 7 日に開催された ARCSISの『10thTechnicalandScientificMeeting』において、L.Tétar、B.Hinschberger、D.Pepper、O.Moreau、D.Randall、M.K.Raghunathanによって発表された、「AdvancesinSEMnon-visualdefectreduction:rapidgenerationofmeaningfulparetos(有意なパレートチャートの効率的生成のための SEMレビュー性能の発達 )」である。

この論文の別の版は、2008 年 1 月 24 日号の94 ~ 100 ページの『FutureFabInternational』でも発表された。

経歴 L. Tétar は、SEM レビューツールベンダのアプリケーションエンジ

ニアとして、さらにIntelIrelandの検査ツール責任者として欠陥検

査分野の経験を持つ。2006年にSTMicroelectronicsに入社し、FEOL

の欠陥削減に関わる最先端の研究開発を担当している。

M.K.Raghunathan は、メルボルンの RMIT 大学で電子工学の修士号

を取得した。2 年半にわたり、KLA-Tencor の電子ビームレビューお

よび分類部門でアプリケーションエンジニアを務め、アジアや欧州

の最先端半導体工場に勤務しながら、アプリケーションやユース

ケースを開発している。

欠陥管理

45nm: PHOTO LAYER: Defect Pareto w/ 3 Different Optical Modes on 2xxx

40

8 7

19

40

18

55

27

2 2 0 0 03 5

1 1 10

Nuisan

ce

Optica

lly

Visible

Def

ect

K DOI #

1

K DOI #

2

K DOI #

3

K DOI #

4

K DOI #

5

Optical Mode 1 High nuisance rate, sensitive to previous-layer defects

Optical Mode 3 Low nuisance rate, highly sensitive to previous-layer defects

Optical Mode 2 Low nuisance rate, not sensitive to previous-layer defects, and demonstrating high count of all killer DOIs

図 9:SEMでの高度な検査レシピ最適化。

Quick review on 2xxx

Color variations?yes

Create eDR-5200 recipe

Sampling + auto review of each test using DDL

Classification

RICO optimization

Finalize & upload to 2xxx

DOI capture?

Create basic recipe

Create 3 tests with different optical combos

Run hot scans

30min

1hr 45min

15min

25min / Test

15min / Test

10min / Test

Adjust Thresholds

図 10:SEMでの検査レシピ最適化プロセスのフロー。

45nm: FEOL: DOI % SNV % after 1 Iteration of Inspection Recipe Optimization on SEM

0%

20%

40%

60%

80%

100%

120%

0 2 4 6

DOI %

SNV %56.5%

43.5%

8 10

Lot #

DO

I % /

SNV

%

After Inspection Recipe Optimization

Before Inspection Recipe Optimization

図 11:SEMで最適化された検査レシピの性能には整合性がある。

Page 7: Yms08 issue1 japanese

2008 | 第 1号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

欠陥管理

45nm量産時の欠陥異常の制御を容易にするデザインベースビンニングCrockett Huang、Hermes Liu、S.F. Tzou、United Microelectronic Corp., Tainan, TaiwanChris Young、David Tsui、Alex Tsai、Ellis Chang、KLA-Tencor Corporation, Milpitas CA

コールアウト/見出し:デザイン情報と欠陥検査結果を併用した手法であるデザインベースビンニング (DBB)を使用する

と、欠陥が頻発する設計箇所を特定できる。その結果、最先端の半導体工場はデザインまたは OPCの修正によってシステマ

ティックな問題を解決できる。また、DBB技術は、量産環境におけるシステマティック欠陥およびランダム欠陥による異常

を効率よくモニターすることにも使用可能である。

はじめに

45nm ノードでは、液浸リソグラフィがパターン微細化を可能とする主要な技術となっている。リソグラフィ開発研究エンジニアは OPC と厳しいプロセスウィンドウ管理により、ピッチの縮小とパターンデザインの複雑化による影響を緩和しようとしてきた。ただし、パターンに関連するシステマティックな歩留まり損失はいまだに、45nm ノードを量産に移すうえでの大きな障壁とされている。パターンデザインには、成膜、フォト、およびエッチングの各ステップのプロセス変動に対して敏感なものがある。例えばこれらのステップで、過剰な成膜とエッチング不足が起きると、膜残渣が発生してラインブリッジ欠陥となる (図 1)。

この問題は、複数の製造装置およびプロセスモジュールに起因するプロセス変動によって、量産段階で悪化する。感度の高い検査装置における微小ピクセル検査レシピを利用すれば、パターン異常を特定できる。ただし、不良パターン数が少なく(数十個程度)、合計欠陥数が多い(数千個など)場合、従来のランダムサンプリング手法 (ウェーハ 1枚につき 50 ~ 100 個の欠陥をサンプリング ) を SEM レビューのために使用すると、異常を見逃しやすくなる。その結果、歩留まりと製品出荷までの時間、および収益に悪影響を与える可能性がある。

この問題を解決するために、我々は、デザインベースビンニング (DBB) という新しい手法を採用した。DBB は、欠陥の背景パターン情報に基づいて対象欠陥を分類する手法である。この情報を使用することによって、頻発に欠陥が発生する設計箇所を特定できる。既知のシステマティックなパターン問題はデザインや OPC を変更することで解決できる場合もあるが、量産時に異常として再び現れることがある。したがって、常時モニターすることが必要となる。DBC

overunderEtch

overunderPhoto

overunderDep

Line bridging (28xx)

Line broken (28xx)

Aft

er E

tch

CD

/ To

x

Time

図 1:プロセス裕度の低いデザインとプロセスのばらつきにより、システマティックな異常が発生。

Page 8: Yms08 issue1 japanese

2008 | 第 1号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

欠陥管理

( デザインベース分類、図 2にその概念を示す )を併用すると、このような異常を分類して追跡できる。

また、デザインおよびパターン情報を使用してランダムな異常を監視することもできる。我々が独自に開発したモデルでは、個々の欠陥の背景パターン情報と、検査装置からの欠陥サイズ情報を組み合わせることにより、欠陥による歩留まりへの潜在的な影響を示す値を生成する。この欠陥重要度指数 (DCI) の範囲は 0~ 1である。

検査結果に DBC および DCI を適用することによって、システマティックな異常(DBC)およびランダムな異常(DCI)を監視するための SPC チャートを作成できる。研究開発段階では、デザインライブラリまたは PWQ/FEM データからプロセス裕度の低いパターン箇所を特定できる。危険性の高いパターンの特徴をホットスポットライブラリに登録し、その後、量産現場に転送してモニターを行う。欠陥による異

常が試作/量産段階で発生すると、SPC チャートにより問題を検知することができる。さらにこれを契機に、問題箇所における欠陥の詳しいサンプリングおよびレビューが実行される。この手法により、大量のウェーハが廃棄される前に、 異常発生の初期段階で迅速な対策を講じることができる。

実験データと結果

ウェーハ検査と欠陥分類 ゲートエッチングレイヤの同一ロットから 4 枚の SRAMウェーハを選択した。明視野欠陥検査装置(KLA-Tencor 28xx)を使用してこれらのウェーハを検査し、レビュー SEM を使用して各ウェーハ上でランダムに選択したそれぞれ約 50 個の欠陥をレビューした。欠陥をマニュアル分類し、さらに欠陥タイプごとにデータを正規化して異常発生がないかチェックした。その結果、図 3 に示すように、ウェーハ 3 および 4

Class-1 Class-2 Class-3 Class-4

1. Extract design clips 3. Assign Pattern Class code

2. Compare against Pattern Library

Define “patterns of interest” in Pattern Library

12

34

31

2

24

図 2:デザインベースビンニング(DBC)によってパターン不良をモニター。

0

200

400

600

800

1000

1200

1400

1600

Polyembed

Particle Type S NV Type B Residue Previouslayer

wafer 1: 666 defects

wafer 2: 533 defects

wafer 3: 1535 defects

wafer 4: 2782 defects

図 3:従来のレビューサンプリングおよび正規化手法から得られた欠陥パレートチャート。

380360340320300280260240220200180160140120100806040200

0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20

Def

ect

Co

un

t

GDS Pattern Group ID

397 395 390

119

65 63 52 50 49 43 40 39 39 38 38 34 23 23 22 21

78

Dum

my

Regi

on

図 4:デザインベースビンニング(DBG)をウェーハ 4に対して行った 結果。最上位のビンはダミーパターン上の欠陥を表す。

Page 9: Yms08 issue1 japanese

2008 | 第 1号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

ランダム異常モニターに対する DCI結果 欠陥毎に DCI を自動計算した。指数が低いほど、欠陥の重

要度は低いと考えられる。DCI 結果は、SEM レビューサン

プルに含める欠陥の優先順位の決定に役立つ。DCI 値とそれ

に対応する欠陥画像の例を図 6 に示す。この図では顧客の

IP を保護するためにすべての GDS クリップを手描きとした

ため、オリジナルのデザインは反映されていない。

SEM レビュー結果と DCI 値に基づき、重大でないランダム

欠陥 (疎なパターン上の微細欠陥 )について 0.1 のしきい値

を設定した。重大でない欠陥の比率プロット ( 図 7) から、

4 枚のウェーハのうち、重大なランダム欠陥の比率が最も高

いのはウェーハ 3 であることが判明した。この結果に促さ

れ、我々は、DCI 値が高いグループの欠陥サンプルを SEM

でレビューした。その結果、従来のサンプリング手法で見

逃されていたポリマー異常による欠陥が特定された。

欠陥管理

にランダム異常の可能性があること、および、ウェーハ 4にシステマティックな問題 ( タイプ B) が存在する可能性があることがわかった。ただし、ウェーハの合計欠陥数が多く、SEM レビューサンプル数が限られている ( 平均 42 個 )こともあり、異常信号が問題の程度を明確に示しているとは言いがたい。

システマティック異常モニターに対する DBCビンニング結果 次に、DBB を使用してこれら 4 枚のウェーハから得られた

結果をビンニングした。ウェーハ 4 から得られた DBB の結

果サンプルを図 4 に示す。チャートの最上位のビンは、「対

象外」領域と見なすダミーパターン領域上の欠陥を表す。

これらの欠陥は歩留まりに影響を与えないものとして除外

することができる。4 枚のウェーハに DBC 解析を実行した

結果、ホットスポットライブラリの中のある 1 つのタイプ

のパターンの欠陥数がウェーハ 4 上に多いことが判明した

( 図 5)。このウェーハをさらに SEM でレビューした結果、

システマティックな異常であることが確認された。

DCI = 0.01

Type B defect count

0

100

200

300

400

500

600

700

800

900

Wafer 1 Wafer 2 Wafer 3 Wafer 4

図 5:DBC結果から、ウェーハ 4にシステマティックな異常があることが判明した。この断線タイプの欠陥を SEM画像で示す。

DCI =0.06

DCI = 0.65

DCI =0

DCI =0.33 DCI = 0.01

Dummy: No DCI value

図 6:DCIの例。欠陥サイズ (青い四角形 )とパターン背景情報を示す。

Page 10: Yms08 issue1 japanese

2008 | 第 1号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

欠陥管理

まとめ

新しい DBB 手法と、現在 UMC で実践されている手法の比較

を表 1にまとめる。

UMC におけるの多くの適用例から、45nm デバイスウェーハ

のパターン関連欠陥を検出するうえで DBB には多くの利点が

あることがわかった。DBB は、システマティック欠陥を検出

する革新的な手法であり、本論文で示したように、システマ

ティックおよびランダムな異常をモニターするインラインモ

ニタとして利用できる可能性を持つ。

項目 従来の手法 新しい DBB手法

ダミーパターン上の欠陥 ダミーパターン上で多数の欠陥を検出

0%

ヌイサンス欠陥 (キャパシタ上ポリ Si粒界、フィールド上微小異物 )

ばらつき/欠陥数が多い DCI値 0.1以下ののランダムな対象外欠陥を除外

システマティック欠陥(パターン不良 )の識別

リピーター解析、同一タイプ 2つ以上をレビュー

「既知の対象パターン(POI)」に関するコントロールチャート

異常検知 欠陥総数、不良ダイ率(%)を使用

欠陥数と DCI (%) (例:DCI 0.1以下 )を使用

SEMレビューサンプリングのための 50個の欠陥選択

ランダム選択 DBCによるシステマティック欠陥と、高いDCI値を持つ欠陥のランダム選択

©2007IEEE.半導体生産技術国際シンポジウム(ISSM)2007会議(2007年 10 月 ) の許可を得て次を抜刷:ISSM 論文:DM-P-240

表 1:UMCの従来手法と DBB手法の比較

Percentage defects with DCI < 0.1

50%

60%

70%

80%

90%

Wafer 1 Wafer 2 Wafer 3 Wafer 4

Perc

enta

ge

Potential random excursion

Potential systematic /nuisance excursion

DCI = 0.37

図 7:歩留まりに影響を与えるランダム異常の可能性が最も高いウェーハ 3を示す DCIチャート。

謝辞本論文の出版に際し、UMC CRD の Tzou 博士に対して、多大な協力に

心より感謝する。また、KLA-Tencor の AllenPark 氏に対して、この論

文への意見およびアドバイスに感謝する。

参考文献K.MonahanandB.Trafas,“DesignandProcessLimitedYieldatthe65nmNodeandBeyond”Proceedings,SPIE,2005.

J.Yeh,A.Park,“Noveltechniquetoseparatesystematicandrandomdefectduring65-nmand45-nmprocessR&Dstage,”Proceedings,SPIE6521-40,2007.

MaryJaneBrodskyetal.,“Process-windowsensitivefull-chipinspectionfordesign-to-siliconoptimizationinthesub-wavelengthera,”DesignandProcessIntegrationforMicroelectronicManufacturingIII,SPIE5756,2005.

Page 11: Yms08 issue1 japanese

2008 | 第 1号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

欠陥管理

欠陥重要度指数 (DCI):45nm量産環境における DOIサンプリング改善のための新手法Yoshiyuki Sato、Yasuyuki Yamada、Yasuhiro Kaga、Yuuichiro Yamazaki株式会社東芝

Masami Aoki、David Tsui、Chris Young、Ellis Chang ケーエルエー・テンコール株式会社

見出し: Non-DOI欠陥または擬似欠陥が欠陥パレートに占める割合を小さくするために、GDSクリップ (デザインレイアウト )

情報を、検査装置で検出されたすべての欠陥に関連付ける新しい手法を提案する。この情報と検査装置によって得られた従来

の属性 (サイズや輝度等 )を使用し、欠陥重要度指数 (DCI)を各欠陥に割り当てる。DCI値が高い欠陥のみを SEMレビューサ

ンプルに用いることで、信頼性の高い欠陥パレートチャートが生成される。45nmロジックデバイスのゲートプロセスに使用

した場合、DOI欠陥の抽出率は 12%から 68%に向上した。

はじめに

デザインルールの微細化が進むにつれ、微小な欠陥でも歩留

まりに重大な影響を与えるため、DOI欠陥(Defect of Interest)

を捕捉するために、ウェーハ検査の感度を高めなければなら

ない。その結果、一般にインラインモニタリング時の欠陥検

出数は増えるが、Non-DOI や擬似欠陥タイプの検出数も増加

してしまう。SEM レビュー装置を使用してこれらの欠陥を観

察および分類し、根本原因を特定しようとすると、以下の問

題が発生する。半導体工場では時間的な制約などにより、一

定数のサンプリングが行われる場合が多い。通常、ウェーハ

1 枚あたりのサンプリングされる欠陥数は 50 ~ 100 個であ

る。ウェーハ当たり数千個の欠陥に対して、100 個の欠陥を

レビューする場合、レビューサンプリング率は高々数%であ

る。このような条件下では、量産時に監視すべき DOI タイ

プを特定することは難しい。このため、サンプリングレート

が低い状況においても DOI を効率よく捕捉するための新し

いサンプリング手法が必要である (図 1)。

本論文で我々は、DOI と Non-DOI を選別するためにデザイ

ンデータを使用することの有効性を検証する。また、デザ

インデータに基づいて欠陥の「重要度」指数を割り当てる

新しいレビューサンプリング手法も提案する。この指数を

従来の欠陥情報と併用することで、DOI サンプリングの効

率が向上する。

Technology Node

Def

ect

Co

un

t

Increase

d defect

counts

as des

ign featu

res sh

rink

Constant review sample

Increased risk of missing critical defects

図 1:低レビューサンプリングレートからの、重大な欠陥の捕捉ミスによる、増大するリスクとテクノロジノードの関係。

Page 12: Yms08 issue1 japanese

2008 | 第 1号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

欠陥管理

システムの概要

デザインベースビンニングデザインデータを用いた欠陥分類システムの概要を図 2に示す。インライン欠陥検査の後、次のような処理が行われる。

欠陥データとデザインデータの重ね合わせを行い、それ1. ぞれの欠陥の背景となるデザインデータを取得する (GDS clip)。デザインデータとしては、任意のレイヤを選択することが可能である。

切り取られたデザインデータ同士を比較する。2.

類似のパターン毎にグループ化を自動で行う。こうして3. 得られたパレート図より、システマチック欠陥の解析を行うことが可能になる。

ビンニング結果は、DOI/Non-DOI 分類およびシステマチック/ 非システマチック分類分析に使用される。

システム検証このシステムの機能を確認するために、以下のようなテストパターン (TEG) を用いた検証を行った。検査対象は図 3 に示すような L/S パターンで、設計寸法と配置が TEG ブロック毎にそれぞれ異なっている。プロセスレイヤは Metal-1 のトレンチ形成後である。検査には、明視野の光学式欠陥検査装置 (KLA-Tencor 28xx) を用いた。

ウ ェ ー ハ 欠 陥 検 査 の 後 に、Metal-1 レイヤの GDS clip を取得し、背景パターンによる自動分類を行った。自動分類によって 4 つのグループが作成された。パターングループごとに生成されたパレートチャートを図 4に示す。

欠陥数にはグループごとに大きなばらつきがある。線間隔が狭い水平パターンを有するグループ 1 で欠陥数が最大であった。このパレート図を元に、それぞれのグループからのサンプリングを行うことで、パターン毎に特有な欠陥を万遍なくサンプルすることも可能である。

図 5 に、パターングループ別に色分けした欠陥ウェーハマップの一部を示す。それぞれの TEG ブロックは、明確に色分けされており、これは背景パターンによる分類精度が、accuracy/purity ともに良好なことを示している。

図 6 は、45nm の SRAM ゲートプロセスの欠陥データについてデザインベースビンニングを実施した結果を示す。ウェーハ検査後、ゲートレイヤの GDS クリップを抽出してパターンを自動分類した。欠陥数が最も多かったパターンタイプは「空白クリップ」(ゲートレイヤパターンが配置されていない )であることが判明した。空白領域における欠陥が検査データの多くを占めた。これらの欠陥は Non-DOI である。

欠陥重要度指数 (DCI)

検査装置によって検出されたそれぞれの欠陥は、ウェーハ上

の位置を示す座標データの他に欠陥のサイズや輝度などの属

性を持つ。従来、自動欠陥分類にはこれらの欠陥属性を使用

してきた。

図7に、欠陥重要度指数(DCI)の新しい概念を示す。一般に、

欠陥の重要度は、背景のパターンと欠陥自体の特性によって

決定される場合が多い。たとえば、疎な背景 ( パターンの複

雑さが低い ) 上の微細な欠陥は歩留まりに影響する可能性は

低いと見なされる。一方、複雑な背景パターン上の大きな欠

陥は、比較的大きな影響を歩留まりに与える傾向があると考

えられる。

デザインベースビンニングの場合と同様に、各欠陥のデザ

イン属性が GDS クリップから抽出される。次に、デザイン

属性と従来の欠陥属性を組み合わせて DCI 値が計算される。

Group 1 Group 2 Group 3 Group 4

1. Extract design clips

3. Create Pattern based Pareto

2. Compare clips against themselves

図 2:デザインベースビンニングの概要。

Pattern-1: Pitch-A, Horizontal Pattern-2: Pitch-A, Vertical

Pattern-3: Pitch-B, Horizontal Pattern-4: Pitch-B, Vertical

図 3:システム検証に使用される TEG パターン。

Def

ect

cou

nt

Group-1 Group-2 Group-3 Group-4

20000

18000

18621

16000

14000

115 14

12000

1247

10000

8000

6000

4000

2000

0

図 4:パターングループごとのパレートチャート。

Page 13: Yms08 issue1 japanese

2008 | 第 1号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

欠陥管理

DCI 値は 0 ~ 1 の範囲で、SEM レビューサンプルに含める

優先順位を評価するために使用される。

サンプリング実験DCI サンプリング手法を検証するために、以下の実験を実施

した。まず、デザインルール 45nm の TEG パターンが形成

されたウェーハを、サリサイド形成後に検査した。SEM を

使用してすべての欠陥 ( 合計数 1315) をレビューし、SEM イ

メージを元に欠陥分類(マニュアル分類)を行った。5 つ

のパターン欠陥および異物のタイプが DOI と特定された。

Non-DOI タイプにはダミーパターン上欠陥や Cone 欠陥(シ

リコンスパイク)などが認められた。全欠陥に占める DOI

の比率は約 11% であった (図 8)。

次に、SEM レビューサンプルとして 100 個の欠陥を選択す

るための 3 つの方法をテストした。最初の方法はランダム

選択である。これは現在、工場が最も一般的に採用してい

る方法である。ランダム選択されたサンプルで生成された

パレートチャートを基準と見なした。2 番目は、検査装置が

割り当てた欠陥サイズ情報を使用して、SEM レビューの対

象とする欠陥を欠陥サイズの大きいほうから 100 個選択す

る方法である。3 番目は、前述の新しい DCI 手法を採用した

もので、SEM レビューサンプルとして DCI 値が高い欠陥を

順に 100 個選択する方法である。DCI 値は、欠陥のサイズと

デザイン属性の両方を使用して計算した。

結論と考察

サンプリング実験の結果を図9に示す。ランダム選択によっ

てサンプリングされた欠陥グループ ( 基準グループ ) では、

欠陥の 70% がダミーパターン上で検出されたものであった。

DOI の全体的な比率は基準パレートチャートで 12%( 全数レ

ビューで検出された実際の全 DOI 数とほぼ同じ ) であった

が、このパレートチャートでは、5 つの DOI タイプのうち、

2つしか抽出されていない。

欠陥サイズ順に選択したサンプリンググループの場合、DOI

の全体的な比率は 33% に向上し、5 つすべての DOI タイプ

が抽出された。最も良い結果が得られたのは DCI 値を使用

したサンプリンググループであり、DOI の比率は 68% であっ

た。また DCI 値を使用したサンプリンググループのパレー

トチャートでは、最も重大なタイプの欠陥と見なされるパ

ターン欠陥の数も最大となった。

P2

P2

P2

P3

P3

P3

P1

P1

P1

P4

P4

図 5:パターングループ別の欠陥マップ。

Def

ect

cou

nt

DBG Group

1000

800

600

400

200

0 1

57

996

47 22 14 122 3

34

4 45

5 5 5 5 56 7

78 9

910 11 12 13 14 15

0

Non-DOI

図 6:45nm SRAM ゲートレイヤのデザインベースビンニングの結果に基づくパレートチャート。最も多い欠陥タイプは Non-DOI「空白クリップ」。

Defect Criticality Index (0 to 1)

Defect size

Patt

ern

co

mp

lexi

ty

図 7:欠陥重要度指数の概念。

Manually classified all defects (1315) by SEM Review

1056

8528

4

107

53

17

Defect on Dummy

SEM-NV

Cone

L-particle

S-particle

Micro-scratch

Pattern defect (GC)

Pattern defect (AA)

DO

I (11%)

図 8:100% SEM レビューを基にした、サンプリング実験の完全な欠陥データセット。結果は、検出された欠陥全体のうち 11% が DOI であり、5 つの異なる DOI を示した (45nm SRAM デバイス、ゲートレイヤ、KLA-Tencor 2800 で検査 )。

Defect on Dummy

SEM-NV

Cone

L-particle

S-particle

Micro-scratch

Pattern defect (GC)

Pattern defect (AA)

DOI

100%

90%

80%

70%

60%

50%

40%

30%

20%

10%

0%Random-100 DCI top-100Defect size

top-100

Sampling scheme

Def

ect

Cla

ss %

図 9:3 つの異なるサンプリングシナリオにおける DOI 抽出比率と DOI タイプの比較。

Page 14: Yms08 issue1 japanese

2008 | 第 1号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

DCI 手法によるサンプリンググループの DOI 率が非常に高

かった理由の 1 つは、ダミーパターン上で多くを占める欠

陥のタイプが、サンプリンググループから完全に排除され

ていたことである。通常、ダミーパターンには特定の GDS

レイヤコードが割り当てられるので、ダミーパターンのみ

を含む GDS クリップを完全に分離することができる。

また、ランダム選択したサンプルの異物欠陥の SEM 画像を、

DCI 手法によって選択したサンプルの SEM 画像と比較した。

ランダム選択によるサンプリンググループでは、フィール

ド酸化膜領域上の異物が大半であったのに対し、DCI 値が

大きいものから欠陥を選択したサンプリンググループでは、

トランジスタ構造(アクティブ領域とゲートポリシリコン構

造 )上の異物がより多く抽出された。以上より、DCI 値によ

るサンプリング手法によって、DOI の比率が上がるだけで

なく、レビューサンプルの質も向上することが判明した。

ゲートプロセスに関連する欠陥は、その後のコンタクト形成

プロセスにも影響を与える。図 10 に示すように、ゲート構

造上の異物はコンタクトの形成不良の原因となる可能性があ

る。コンタクトレイヤ ( ゲート後に形成するレイヤ ) の GDS

データを重ね合わせることで、さらにもう 1 つの DCI 値を計

算できる。新しく計算した一連の DCI 値は、その後に形成す

るコンタクトレイヤの歩留まりに関する欠陥を予測するのに

役立つ可能性がある。

これを検証するために、もう 1 つのサンプリング実験を行っ

た。ゲートレイヤの欠陥データを、ゲートおよびコンタク

トレイヤの GDS データと共に使用した。DCI 手法を使用し

て結果を比較した結果を図 11 に示す。コンタクトレイヤの

GDS に基づいた DOI 率は 62% であり、これは、ゲートレイ

ヤの GDS に基づいた DOI 率 68% という数値に近い。ただし、

コンタクトレイヤの GDS に基づいたケースのほうが、パレー

トチャートに含まれていた異物タイプの欠陥 ( 大きい異物と

小さい異物)の数が多かった。また、これらの欠陥の一部は、

ゲート工程においては重要度が低かった (DCI 値が低い ) が、

次のコンタクト工程において、コンタクト不良の原因となり

得るものが含まれていた。以上の結果から、現工程の欠陥

データと後で形成するレイヤの GDS データを組み合わせた

DCI 値を使用することで、後工程の歩留まりを予測できる可

能性が示唆される。

結論

新しいサンプリング手法では、検査装置によって検出された

すべての欠陥に欠陥重要度指数 (DCI) を割り当て、この情報

を使用して、DOI 率が高い SEM レビューサンプルを選択する。

検査装置によって割り当てられた従来の欠陥属性と欠陥近傍

の背景デザイン情報を組み合わせることによって、それぞれ

の欠陥の DCI 値を計算する。

45nm ロジックデバイスについてこの手法の有効性を検証し

た。ゲートプロセスへの適用例では、DOI の抽出率は 12%

から 68% へ向上した。また、この DCI 手法では、ゲートレ

イヤの欠陥データを、コンタクトレイヤ ( 後で形成するレイ

ヤ ) のデザインデータと重ね合わせることによって、コンタ

クトプロセスの欠陥重要度を予測できた。

DCI 手法を使用し、重要欠陥を効率よくレビューサンプリン

グすることで、より歩留まりに影響が大きいと思われる欠陥

パレートチャートを作成することができた。歩留まりデータ

とのの相関解析や、ラインモニタリングに向けた DCI アプリ

ケーションの最適化が今後の課題である。

本論文は当初、次のタイトルで発表されたものである。“Defect

CriticalityIndex(DCI):AnewmethodologytosignificantlyimproveDOI

samplingrateina45nmproductionenvironment,”YoshiyukiSatoetal.,in

Metrology,Inspection,andProcessControlforMicrolithographyXXII,Proc.

ofSPIE,Vol.6922,6922-37(2008).

参考文献K.MonahanandB.Trafas,“DesignandProcessLimitedYieldatthe65nm

NodeandBeyond”SPIE2005Proceedings5756_23

J.H.YehandA.Park,“NovelTechniquetoSeparateSystematicandRandom

DefectsDuring65nmand45nmProcessDevelopment”SPIE2007Proceedings

6521_40

Metal-2

Metal-1

Via

Contact

Incomplete contact

STI

Gate

Defect

図 10:ゲートレイヤの異物は不完全なコンタクトの原因となる。

100%

90%

80%

70%

60%

50%

40%

30%

20%

10%

0%DCI top-100 (Gate) DCI top-100 (Contact)

Sampling scheme

Def

ect

Cla

ss %

Defect on Dummy

SEM-NV

Cone

L-particle

S-particle

Micro-scratch

Pattern defect (GC)

Pattern defect (AA)

DOI

図 11:ゲート欠陥データ、ゲートおよびコンタクト GDS 情報に基づく、ゲートおよびコンタクトレイヤの DCI によって生成された欠陥パレートチャートの比較。

欠陥管理

Page 15: Yms08 issue1 japanese

2008 | 第 1号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

欠陥管理

45nm世代でのレーザアニールプロセス評価方法W-Y Teng, J-H Yeh、United Microelectronics Corporation (Hsinchu, Taiwan, R.O.C.)P. Chen、S. Radovanovic、D.K. Chen、H. Cheng、U. MahajanKLA-Tencor Corporation (Milpitas, CA,Hsinchu, Taiwan, R.O.C.)

見出し:レーザスパイクアニール(LSA)技術は 45nm以降のデバイスで重要な技術であるが、本プロセスはスリップライン

などの表面ダメージを生みだすことがわかってきた。最新の UVレーザ散乱技術を応用すると、ウェーハ全面情報をサブナノ

メートルの分解能で測定し、高分解 SURFimageとして出力することが可能になり、これら LSAによるダメージとアニール条

件との関係性を評価することができる。

はじめに

最先端デバイスのテクノロジノードが微細化するにつれ、

短チャネル現象を抑制するために、極浅接合や超低抵抗接

合が必要となってきている [1]。45nm 以降で重要なこれらの

極浅接合 (USJ) を実現するために、ウェーハ全体の面内ア

ニール温度を制御し、パターン密度への影響を抑制するこ

とが不可欠となる。USJ を実現する方法の 1 つに、ピコ秒

レーザスパイクアニール (LSA) がある [1、2、3、4]。このプロセ

スによって、インプラ後レイヤの表面近傍領域に非常に高

い温度勾配を効率良く生み出すことができるようになった。

しかし、本プロセスを利用するとスリップライン欠陥など

の表面ダメージが確率的に生じることもわかっている [5]。

その結果、信頼性高い LSA プロセスの条件出しのためには、

プロセス後のウェーハの表面を正当に評価することが重要

になる。ただしこれまでの明視野あるいは暗視野のパター

ン付きウェーハ検査装置のいづれの欠陥検出方法でもス

リップライン欠陥を効率よく検出することができなかった、

また光学顕微鏡を利用したとしても図1に示すようにウェー

ハの限定された面積部分の情報を得ることしかできなかっ

た。原子間力顕微鏡 (AFM) でも同様であり、高精度かつ定

量的な表面情報が得られる一方で、その測定速度はウェー

ハ全体を見るにはあまりにも遅すぎる。

一方レーザ散乱テクノロジは、長い間ベアウェーハ表面の

スリップラインなどの欠陥検出に使用されてきた。さらに、

ヘイズとして知られている散乱成分は表面の微妙な形状変

化や粗さの変化に対する感度がきわめて高い。ヘイズを測

定することによって、欠陥チャネルで検出されないスレッ

ショルド以下の重要な情報を取り込むことができ、ウェー

ハ全表面の品質測定を非常に早いスループットで行うこと

ができる [6]。

本研究では、最新 UV レーザ散乱テクノロジを応用した

レーザアニール後の表面検査を行った。そして、高解像度

SURFimage を使用することによってスリップライン状の欠

陥だけでなく、全表面の表面情報をサブナノメートル分解

能で検査することができた。この検査によってプロセス条

件と表面状態の間に相関関係があることが明らかになった。

またヘイズ値と表面状態の相関性は SEM レビューによって

確認することができた。結論としてヘイズを利用した測定

方法が、LSA のプロセス開発・特性評価、さらには量産時の

ツールモニタリングに有効であることを示した。

図 1:光学顕微鏡によって検出およびレビューされた、レーザーアニール後のスリップ欠陥。

Page 16: Yms08 issue1 japanese

2008 | 第 1号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

欠陥管理

実験方法

アニール前の基板にはベアシリコンを用いた。はじめの実

験では、ウェーハ間のばらつきによって結果があいまいに

なることを避けるため単一ウェーハ面上を 6 つの区域に分

けて異なる条件 (T-75℃、T-50℃、T-25℃、T℃、T+25℃、

および T+50℃ ) でアニール処理した。図 2 に結果を示す。

これと同様な実験を何度か行い、再現性や面内ばらつきが

ないことを確認した。

測定にはKLA-Tencorの Surfscan SP2パターンなしウェーハ

検査装置を使用した。測定モードはスリップラインなどの

浅い欠陥の検出に最適な垂直入射モードを用いた。スルー

プットモードはハイスループットモードを用いた。表面

散乱の測定結果は SURFimage と呼ばれる画像上に現れる。

SURFimage からはピクセルレベルの横方向分解能とサブナ

ノメートルの縦方向分解能での表面情報が得られる。ヘイ

ズ値の変化を明確化するために、SURFimage ではヘイズデー

タを 192 ビットグレイスケールで表示している。SURFimage

は、弊社にて新しく開発した定量分析システム [7] を使用す

ることによりさらに詳しく解析することができ、今回は各

アニールゾーンのヘイズデータを抽出した。この新システ

ムはユーザが定義したパラメータを使用して表面の部分的

な散乱信号の局所データの抽出、解析、および欠陥ビンニ

ングができる。この定量解析の結果と LSA プロセス条件と

の関連性を調べ、さらには SURFimage の結果が実際にはど

のようなものであったかを確認するために SEM レビューも

行った。

実験結果・考察

図3に1枚目の実験ウェーハのLight Point Defect (LPD)マッ

プを示す。LPD マップよりエッジ部分にある典型的なスリッ

プライン欠陥を確認できたが、面内にあるアニール条件の違

いを検出するところまでにはいたらなかった。このことはア

ニール条件によるウェーハ表面の変化が、LPD 欠陥チャネル

の検出スレッショルドを下回っており、従来の表面検査方法

で感度が足りないことを意味する。

それに対し、SURFimage ウェーハマップ (図 4) では、ウェー

ハ上のそれぞれのプロセスゾーンの間にはっきりとした違い

が見られる。LSA 温度に対して、各プロセスゾーンの平均的

なヘイズ ( 生のスキャンデータのオフライン解析から抽出 )

をプロットしたところ図 5 のようになった。このグラフはワ

イドチャネルヘイズとプロセス温度の関係性を示している。

アニール温度の上昇と共に表面の散乱信号が増加しており、

高い関連性が見られる。

T -75ºC

T -50ºC

T -25ºC

TºC

T +25ºC

T +50ºC

図 2:異なるレーザーアニールプロセスゾーンのあるウェーハマップの概略図。

図 3:LSA 処理後の Light Point Defect (LPD) マップでは、エッジの特徴を示している。異なる LSA ゾーンへの相互関係は確認できない。

T -75ºC − 7ppm

T -50ºC − 15ppm

T -25ºC − 26ppm

TºC − 34ppm

T +25ºC − 37ppm

T +50ºC − 40ppm

HTN-W

図 4:異なる温度でアニールされたゾーンのあるウェーハの SURFimage マップ。異なるプロセスゾーンで表面散乱信号が異なることを示している。低ヘイズ領域は暗く、高ヘイズ領域は明るく表示されている。暗い帯の部分は、異なるアニールゾーン間のプロセスされなかった領域を示している。

0

5

10

15

20

25

30

35

40

45

50

Zone 1

Zone 2

Zone 3

Zone 4

Zone 5

Zone 6

Anneal Temp. (ºC)

Zon

al H

aze

(pp

m)

T-100 T-75 T-50 T-25 T T+25 T+50 T+75

図 5:SURFimage ヘイズと LSA プロセス温度の測定により、高い関連性が観察された。アニール温度が高いと表面が荒くなり、ヘイズの散乱信号が増加することを示している。

SURFimageウェーハマップは、 アニール温度と相互に関連のある、表面品質ゾーンを示している。

Page 17: Yms08 issue1 japanese

2008 | 第 1号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

欠陥管理

以前の実験結果 [8] より、レーザ散乱信号のパワースペクト

ル密度(PSD)と表面の粗さには高い関連性があることが明ら

かになっている。この結果とその他の実験結果 [9] から、ヘ

イズが大きくなる理由は、LSA プロセスによってウェーハの

表面が粗くなっていることによるものであるとわかってい

る。この表面の粗さは、LSA温度(あるいはレーザエネルギー

密度 )と共に大きくなることもわかっている。

SEM レビューを用いてアニール後の各領域を観察した。

その結果(図6)、高温でアニール処理されたゾーンの表面ト

ポグラフィと粗さが大きくなっていることがはっきりと示

されており、ヘイズ値の測定結果と一致することが確認さ

れた。

別の方法でアニール処理したウェーハ ( 図 7) でも同様に、

LSA 温度と 2 ヘイズの関連性が明らかになり測定結果の再

現性を確認することができた。また、どの実験においても

レーザの走査方向に起因した走査線型のパターンがはっき

りと見られた。

以上により、本方法がウェーハ面内ばらつきを検出すると

いう点でも有効であることが示されている。さらなる検討

のために、T-75℃でアニール処理したウェーハを Surfscan

SP2 の高感度モード(表面の情報を最大限に取り込むため)

でスキャンした。ここで得られたSURFimage(図 8)から、面

内の部分的なヘイズの変化が明確になっている。右側の画

像はヘイズ値を大中小の 3 つに色分けして表示したもので

ある。この結果から、SURFimage を活用することによって面

内ばらつきの情報も得られることがわかった。

今後の展開としては、表面粗さとヘイズの直接的な数量的

関連性を調べるために、さまざまな LSA ゾーンの AFM 測定

を行う必要がある。さらに、パターンなしウェーハの欠陥

検査結果とパターンつきウェーハの欠陥検査結果との関連

性を調べることも重要である。45nm ノードで表面形態の制

御要件が厳しくなっているのが、上記の結果を組み合わせ

ることによって、LSA プロセスのツールモニタリングのため

の SPC しきい値を正しく設定できるようになる。

結論

半導体テクノロジの進展によってデバイスサイズが微細化するにつれ、プロセス条件のわずかな変化が、ウェーハ表面に与える影響を調査しダメージリスクを特定することが重要になってきている。UV レーザ散乱技術により高スループットかつサブナノメートルの縦方向分解能でウェーハ表面全体を測定することが可能になったことは十分知られていたが、本論文ではこの技術が LSA 温度の微小変化に対しても十分な感度をもつことを明らかにした。ここで紹介したような方法が量産環境でツールモニタリングの強力な手法となろう。

参考文献

1.A.Shima,Y.Wang,S.Talwar,andA.Hiraiwa.,Symp.VLSITech.Dig.,(2004),174

2.A.Matsuno,K.Kagawa,andY.Niwatsukino,Proc.ofthe2ndInt.SemiconductorTechnologyConf.ISTC2002,Tokyo,V.2002-17,(2002)148

3.J.Venturini,AdvancedThermalProcessingofSemiconductors,RTP2005,IEEE,(2005),7

4.K.Adachi,K.Ohuchi,N.Aoki,H.Tsujii,T.Ito,H.Itokawa,K.Matsuo, K.Suguro,Y.Honguh,N.Tamaoki,K.Ishimaru,andH.Ishiuchi,Symp.VLSITech.Dig.,(2004),142

5.K.Goto,T.Yamamoto,T.Kubo,M.Kase,Y.Wang,T.Lin,S.Talwar,andT.Sugii,IEDM-99,IEEE,(1999),931

6.F.Holsteyns,L.Cheung,D.VanDenHeuvel,G.Marcuccilli,G.Simpson,R.Brun,A.Steinbach,W.Fyen,D.Vangoidsenhoven,P.Mertens,and M.Maenhoudt,Proc.SPIEV.6152,(2006)

7.KLA-Tencor,InternalCommunication,(2006)

8.A.Belyaev,A.Steinbach,H.Yeh,andB.Pinto,NikkeiMicrodevices, (July,2006)

9.KLA-Tencor,InternalCommunication,(2006)

Zone 1 (T -75°C) = 7ppm Zone 2 (T -50°C) = 15ppm Zone 3 (T -25°C) = 26ppm

Zone 4 (T°C) = 34ppm Zone 5 (T +25°C) = 37ppm Zone 6 (T+50°C) = 40ppm

図 6:レーザーアニールゾーンの SEM レビューは、LSA 温度が高くなると表面トポグラフィの粗さが大きくなることを示している。

TºC − 34.8ppmT -75ºC − 14.6ppm

T +25ºC − 33.8ppm

図 7:2 つの SURFimage マップは、異なる LSA プロセス条件の領域とレーザーの走査パターンの違いを示している。

HSN: 1200°C anneal

図 8:T-75℃ (T はプロセスの中心線 ) でのウェーハの高感度 SURFimage。暗い領域 (7-8ppm ヘイズ ) および明るい領域 (18-20ppm ヘイズ ) は、LSA プロセス条件による各部分のばらつきを示しており、表面の形状が異なることが分かる。右側のウェーハマップは、高 (緑 )、中 (オレンジ )、低 (ピンク ) ヘイズ部分に分類できることを示している。

Page 18: Yms08 issue1 japanese

2008 | 第 1号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

MEEFドリブン欠陥合否判定の自動最適化による異物検査の課題の解決 Tracy Huang、Aditya Dayal、Kaustuve Bhattacharyya、Joe HuangKLA-Tencor Corporation (San Jose, CA)

Yung-Feng Cheng、Shih-Ming Yen、James Cheng, Peter PengUnited Microelectronics Corporation (Taiwan)

見出し:マスクヘイズ欠陥はウェーハファブおよびマスクショップで急速に進行する可能性があり、微量の大気分子汚染でも

欠陥成長を引き起こす場合がある。新しい STARlight2 ‘Litho3’ アルゴリズムでは、局部のマスク誤差増大要因 (MEEF)の値を計

算し、欠陥の致命度を分類して重大な欠陥を判別する。Litho3では、最終的にウェーハに転写されて量産歩留まりに影響を与

える欠陥も含めて重大な欠陥をすべて捕捉した。Litho3を実装していない場合に比べて、クリーニングおよび合否判定の前に

レビューする欠陥の数が大幅に減った。

はじめにデバイスノードの微細化は、ウェーハファブで 193nm リ

ソグラフィを採用するうえでの重要な要素となっている。

193nm リソグラフィに関連して光子エネルギーが増大する

ため、結晶欠陥およびヘイズ欠陥の進行が加速する。そのこ

とは特に、ますます複雑になる OPC デザインと low k1 フォ

トマスクに影響を与えている。高分解能の検査装置には、あ

らゆるタイプの微細欠陥を検出する優れた機能が備わって

いる。しかしながら、リソグラフィで問題となる主要な欠陥

は、ウェーハに実際に転写される欠陥であり、多くの場合検

査装置で検出される全欠陥のほんの一部にすぎない。広範囲

の欠陥をレビューすることで、クリーニングと合否判定が大

幅に遅れ、生産に重大な影響を与える可能性がある。

KLA-Tencorの新しいSTARlight2Litho3アルゴリズムは、リ

ソグラフィのコンテキストで局部の MEEF 値を計算するよ

うに設計されており、局部のパターンと MEEF に基づいて

欠陥の致命度を分類できる。この新しいアルゴリズムを使

用して、高 MEEF パターン領域で検出した重大な欠陥のビ

ニングが可能となる。[1-5]

ウェーハファブにおける一般的なレチクル欠陥は、リソグ

ラフィプロセスで露光された場合でも露光されていない場

合でも急速に進行する可能性がある。異物の原因は幅広く、

多岐にわたる。通常の環境条件下でもアンモニア、硫黄、

二酸化炭素、水分などの微量の大気分子汚染が欠陥成長を

引き起こす可能性がある。これらの結晶欠陥は、さまざま

な表面で形成し始める可能性がある。その中には、特徴的に

EPSM 表面で発生した後、結晶成長と呼ばれる第 2 の成長段

階で急速に成長するものもある。図 1 に、90nm ノードの量

産用マスクで発生した欠陥の移行の例を示す。このマスクは

受け入れ検査に合格したが、1 週間後に、量産歩留まりにき

わめて悪影響を及ぼす欠陥が成長した。[2-6]

欠陥管理

1 week

1 week

図 1:ウェーハファブで発生した量産用マスクでの結晶欠陥の進行。

Page 19: Yms08 issue1 japanese

2008 | 第 1号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

欠陥管理

欠陥の特定における Litho3の有効性Litho3 と呼ばれる MEEF ドリブンリソグラフィディテクタ

は、マスク検査と同時実行されるように設計されており、

重大な欠陥を致命度に基づいて 1 つのビンにグループ化で

きる。KLA-Tencor 9xxx システムの XLINK 機能を使用して、

これらの重大な欠陥の座標をレチクルから KLARF 形式の

ウェーハ領域に変換できる。この KLARF ファイルは KLA-

Tencor 欠陥レビュー装置に送信されて、ウェーハレベルの

欠陥解析に使用される。

この研究では、Litho3 ディテクタを装備した STARlight2 ア

ルゴリズムを使用して、4枚のポリシリコンレイヤのトライ

トーンレチクルの検査を行った。90nm および 125nm のピク

セルサイズを使用して、これらのレチクルを検査した。最

も致命度の高い欠陥が Litho3 で検出され、その後、転写性

検証を受けた。検査対象のレチクルすべてにおいて Litho3

は転写性のある欠陥を一貫して検出することが確認された。

重大な欠陥の検出における Litho3 の有効性が実証された。

その詳細は、前回の報告書に記載されている [6]。図 2 に示

す例では、レチクル欠陥の総数が 568 個あり、そのうちの 5

個が SEM レビューの対象となるウェーハ欠陥に進行したこ

とを示している。これらの 5 個の欠陥すべてが Litho3 で検

出された。後述のセクションで、デザインパターンに関連

する主要な欠陥カテゴリについて説明してから、Litho3 の最

適化を高速で行う自動プログラムの概要について説明する。

コールアウト:DUV ステッパー露光によって異物の発生が

促進されるが、硫酸アンモニウムやシアン酸の成長メカニズ

ムは、大気温度と大気圧、水分レベル、および異物が付着し

た表面とそれに関連する物理化学的特性にも左右される。

異物の概要

異物欠陥は、ファブでのフォトマスクの使用時にフォトマス

ク上で頻繁に見られる。異物の原因は、ステッパーやファ

ブの環境、異物の発生が著しく促進されるステッパーでの

DUV 露光、マスクのクリーニングや製造時に使用されるさ

まざまな化学物質が考えられる。最近発表された論文では、

硫酸アンモニウムとシアン酸がレチクルの表面で確認された

主な異物であるという結論が出された。硫酸アンモニウムと

シアン酸の成長メカニズムは、大気温度と大気圧、水分レベ

ルなどのさまざまなパラメータによって異なり、かなり重要

なことに、異物が付着した表面とその特性にも左右される。

ヘイズ欠陥が DUV 露光によって活発になるさまざまな光化

学反応の結果であるということは広く認められている(図3)。

硫酸塩、炭酸塩、およびシュウ酸を生成する多くの反応のう

ち、ヘイズ形成に中心的役割を果たしているのは、硫酸ア

ンモニウムの発熱作用 (1) である。アンモニウム塩の昇華に

よって結晶が形成される。FTIR および Raman 分光測定では、

欠陥の構成において硫酸アンモニウムが最も高い割合を占め

ていることがわかった。[11,12]

後続のセクションで、レチクル表面の化学的特性、物理的特

性、これら表面上の残留物に依存して異なる欠陥形成メカニ

ズムと転写性欠陥への成長過程、およびこれら欠陥に対する

Litho3の動作原理を解説する。この研究のために、欠陥をデ

ザインパターン上のどの位置に存在するかに基づいて分類す

る。COG マスクよりも EPSM マスク上で成長する欠陥が多い

ので、ここでは EPSM レチクルに重点を置く。このレチクル

では「透光部」はクォーツを表し、「遮光部」は MoSi を表す。

A. 透光部で発生した異物

ケース A1:高 MEEF領域の異物最初のカテゴリは、クォーツ上で発生した欠陥に関するもの

である。密パターンまたは高 MEEF 領域で検出された透光部

の異物は、MoSi やクロム上の異物よりもウェーハ上に転写さ

れる可能性が高く、CD 変動によって仕様を満たせなくなる。

クォーツの表面の残留シラノールが加水分解の結果としてそ

の後の結晶成長に必要な核形成部位として生成される可能性

がある。結晶化動力学の領域では、核形成は通常、結晶成長

よりも速い一定速度で発生するが、結晶成長は律速段階であ

る。硫酸塩結晶の場合、表面のエネルギーが小さいため、結

晶成長の速度は結晶または半結晶ポリマーやオリゴマーより

も速い。この記録では、硫酸塩結晶の方がシアン酸結晶より

も量が多い。[8,10,24]

表面のエネルギーという観点からは、MoSi とクロムの表面の

方がクォーツよりもエネルギーが大きくなっている。クォー

ツと同様に、MoSi およびクロムの表面は、微細な MoSi およ

びクロムの結晶が大量に発生している。これらの結晶は、水

分を引き寄せて保つ傾向が高い。このような保湿作用は、硫

酸塩結晶およびシアン酸結晶の成長にとって重要である。[7,8]

NH4+ + SO4

2- → (NH4 )2SO4 (1)

+

CaCO3 → (NH4 )2CO3

+

NH3 → NH4OOCCOONH4

CO2 + H2O → HOOCCOOH NH4 + CO2 → + H2O

+

NH3 → NH4OOCCOONH4

OH

OH

NN

N

Ammonium sulfate Cyanuric acid

図 3:硫酸アンモニウムとシアン酸の一般的な欠陥。

Litho3

Total Defects = 568

Printable Defects = 5

(a) (b) (c)

Litho3 Defects = 115

図 2:転写性のある欠陥を正確に検出した Litho3ディテクタのグラフィック表現。a) 検出された全欠陥が表示された欠陥マップ b) Litho3で捕捉された重大な欠陥の例。c)に図式化された 5個の転写欠陥を含む。

Page 20: Yms08 issue1 japanese

2008 | 第 1号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

欠陥管理

高 MEEF 領域の透光部で核形成された欠陥の場合、ブリッ

ジが発生する可能性があるので、その検出は不可欠である。

このような欠陥を Litho3 でどのように検出できるかについ

て、図 4 に例を示す。検査対象のレチクルの主にアシスト

パターンおよび遮光パターンによって決定される Litho3 パ

ラメータにより、図 4 に示された高 MEEF 領域は高欠陥検

出感度を適用される。

密パターンがある low k1 レチクルでは、汚染がさらに深刻

であることが報告されている。この報告は、欠陥が高 MEEF

領域で成長する傾向があるということと合致している。考

えられる欠陥形成メカニズムの 1 つを図 5 に示す。最初に

高熱加水分解によって形成されたクォーツの表面は低速度

の加水分解反応の状態であり、不安定なシラノールが生成

される。加水分解がさらに進むと、Si-O-Si とシラノールの

形成がさらに進む。シラノールは環境条件下でアンモニウ

ムに反応する。クォーツの表面上の酸素イオンは、アンモ

ニウムイオンを引き寄せ、硫酸アンモニウムを形成する。

それと同様に、シアヌル酸は表面のシラノールと反応し、

さらに結晶化が進む。[7,10]

ケース A2:低 MEEF領域の透光部で発生した異物低 MEEF 領域の透光部で成長した異物は比較的、高 MEEF 領

域で蓄積された異物ほど大きな影響を与えない。それでも

やはり、多くの要因によっては、図6に示すようにこのよう

な異物が著しく成長する可能性がある。ただし、透過損失

は高 MEEF 領域に比べて大幅に小さい。左側の欠陥画像は、

肉眼ではほとんど見えない。というのは、クォーツの表面

では欠陥が形成されやすいが、クォーツの表面に対して垂

直方向に積み重なるのではなく、すぐにあらゆる方向に水

平に広がるからだと考えられる。この低アスペクト比の単

層結晶欠陥は変調率が非常に低いので、標準的な感度での

み検出できる。この領域での MEEF 値は低いので、この欠陥

は、Litho3 ではなく HiRes ディテクタでのみ検出される。

このような選択的な結晶成長は、フォトマスクの透光部の

空白領域で広く確認されている。温度および湿度が低レベ

ルの場合においては性急なリスクの対象とはならないが、

結晶成長が発生期の状態を経て、最初のレイヤの結晶の上

に新しい核ができているような場合、環境要因および動力

学的要因の変化により、引き続き結晶成長が促進されて、

複数のレイヤや層状組織に広がる可能性がある。[22-24]

B. MoSiで発生した異物ケース B1:高 MEEF領域遮光部の異物遮光パターンは表面のエネルギーが大きいので、クォーツ

だけでなく MoSi やクロムでも異物が発生する可能性があ

る。さらに、MoSi の表面には不安定なシラノールが生成さ

れ、結晶成長を引き起こす核として作用する可能性が高い。

MoSi の表面に形成される核のサイズは、クォーツ上に形成

される核のサイズと同程度であるが、その部位における発

生が重要度を増す。クォーツと比較して、MoSi とクロムは

長期間にわたって水分を保ち、蒸発後でも核形成部位を維

持する。

核形成後、高 MEEF 領域の遮光部で発生した異物は、界面エ

ネルギーが小さいために、結晶成長が急速に進む。さらに、

最初のレイヤで硫酸塩結晶が形成されたら、周囲の硫酸塩イ

オン、アンモニア、および二酸化炭素を取り込んで、最初の

レイヤよりも速くさらに硫酸塩結晶が生成される。したがっ

て、表面エネルギーが大きく、核形成を誘発するシラノール

が安定している表面は、結晶状の異物が発生する危険にさら

される。結晶化の第 2段階である硫酸塩結晶の成長は、硫酸

塩結晶化の核形成にとって 2番目に重要な役割を果たす。図

7に、結晶が MoSi の表面に凝集している例を示す。[7,10,24]

図 4:Litho3によって検出された、高 MEEF領域の透光部で成長した欠陥。

(SiO2 )x + 2H2O ↔ (SiO2 )x-1 + Si(OH)4

=–Si-O-Si =– + H2O + 2=–Si-OH

=–Si-O- + =– Si-OH ↔ =–Si-O-Si =– + OH-

Si Si Si Si Si Si Si

O O O O O O

OH O- OH OH OH O- OH

H+ H H+H H+ H H

N

H

N

H

NH2

H

O

S

N

H

N

H

N

H

N

H

NH2

H

O

S

Quartz surface

図 5:クォーツの表面での硫酸アンモニウム形成。

図 6:Litho3によって検出されない、低 MEEF領域の透光部で成長した欠陥。局部の検出感度は、標準のディテクタのフル感度と同じである (感度強化なし )。

図 7:Litho3で検出した高 MEEF領域の遮光部で成長した欠陥。局部の検出感度は、低 MEEF領域の感度よりも 150%高い。

Page 21: Yms08 issue1 japanese

2008 | 第 1号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

欠陥管理

ケース B2:低 MEEF領域の MoSiで発生した異物Litho3/XLINK の接続によって確認されたように、低 MEEF

領域の遮光部で発生した異物は、転写性にほとんど影響を

及ぼさない。MEEF の計算は、デザインパターンと異物の

有無によって決定される。欠陥に標準よりも若干高い感度

を使用し、Litho3 で検出されるようにする。ケース A1( 高

MEEF 領域の透光部の異物 ) とケース A2 ( 低 MEEF 領域の透

光部の異物)から決定されたLitho3のパラメータを、B2(低

MEEF 領域の遮光部の異物 ) に直接適用できる ( 図 8)。それ

でもやはり、ケース B2 ( 低 MEEF 領域の透光部で発生した

異物)と同様に、大きいサイズの異物が深刻な汚染の結果と

して検出される場合がある。結晶がさらにクォーツ領域側

に成長すると、汚染はケース C2 ( 低 MEEF 領域の透光部と

遮光部の境界で発生した異物 )に移行する。

C. クォーツと MoSiの境界で発生した異物 ケース C1:高 MEEFの異物 ケースB(遮光部で発生した異物)に対し、透光部と遮光部

の境界ではより多くの異物が確認されている。考えられる

理由の1つに、蒸着されたMoSi付近の核形成部位があるが、

クォーツと MoSi の境界、すなわちエッチングされた MoSi

の表面の反応性が高く、保護されていない不安定なMo-Siボ

ンドで強化されているからである。このような Mo-Si は水

分の影響を受けやすい。[17-19]この影響を明確にするために、

図 9 に EPSM の回路図を示す。それと同様の原理をクォー

ツとクロムの境界にも当てはめることができる。この場合、

エッチングされたクロムの表面は、ベタのクロムよりも水

分を引き寄せる傾向がある。この吸湿性は、密パターンま

たは高密度デバイスパターンで、特にアシストパターンが

存在する場合により顕著である。

クォーツと MoSi の境界で核形成が発生したら、硫酸塩異物

は境界に対して並行方向に成長する。この場合、界面エネ

ルギーは最低レベルである。必要な界面エネルギーに差が

あるので、結晶が MoSi 側よりもクォーツ側に特異的に成長

するのは稀ではない。それと同様に、COG の場合、硫酸塩

結晶がクロム側よりもクォーツ側にさらに成長する。この欠

陥タイプの結晶成長は、バルククォーツ、MoSi、クロムのい

ずれよりも急速に進む。重大なブリッジが発生する可能性が

あるので、このことは欠陥の転写性に悪影響を与える。[24]

注目すべきことに、アシストパターンは遮光部、透光部共

に、このような汚染の結果、大量の転写欠陥を引き起こす可

能性がある。欠陥の合否判定とクリーニング方法によって

は、透光部/遮光部メインフィーチャの境界で発生した異物

が最終的に、並行方向に選択的に成長し、隣接するアシスト

パターンがメインフィーチャにつながる可能性がある。隣接

するアシストパターンに到達した異物は、アシストパターン

を越えて並行方向に成長し続けるのではなく、レチクルの表

面に対して垂直方向に成長する可能性が高い。これらの 3D

欠陥は実際に、基本パターンとアシストパターンの間の閉鎖

空間で成長をやめるので、基本パターンの隣に蓄積される。

したがって、形成された結晶は、高さと幅が相当な寸法にな

り、アシストパターンの欠陥転写性が変わる可能性がある。

Litho3の最適化では、アシストパターンの欠陥を含めるよう

にLitho3パラメータの上限と下限の両方を注意深く選択する

必要がある。その結果、NILS( 正規化されたイメージのログ

スロープ ) には十分に大きなサンプルサイズが含められる。

適正な Litho3 設定により欠陥の MEEF が反映され、検出感度

が高くなる。このカテゴリの欠陥は変調率も高く、重要でな

い欠陥との区別ができる。

SRAFは直感的に低MEEF(低感度 )領域として分類される。た

だし、前述のように欠陥形成メカニズムを十分に把握した結

果、SRAFは硫酸塩異物を形成する傾向が強く、高感度領域と

して扱う必要があることが判明した。MEEFマップでは、基本

パターンで確認されるのと同じレベルの高感度が欠陥に適用さ

れるように NILSを設定する。したがって、これらのアシスト

パターンは、Litho3最適化において基本パターンと同様に扱う。

ケース C2:低 MEEFの異物低 MEEF 領域の透光部と遮光部の境界で発生した異物 ( 図

10) は、高 MEEF 領域の透光部と遮光部の境界で発生した欠

陥 ( 図 11) ほどは転写性に大きな影響を与えない。欠陥のサ

イズが大きくなると、結晶成長のパターンは折り畳まれて

ケース A2 ( 低 MEEF 領域の透光部で発生した異物 )の状態に

なる。前述のように、透光部と遮光部の境界の表面では欠陥

が形成されやすく、すぐにあらゆる方向に水平に成長する一

方で、クォーツの表面に対して垂直方向に積み重なる可能性

がある。ただし、欠陥は透光部と遮光部の境界に隣接してい

るため、その対応する MEEF 値はケース B( 低 MEEF 領域の

透光部で発生した異物 ) の MEEF 値よりも高い。したがって、

透光部と遮光部の境界では変調率が比較的低いにもかかわら

ず、これらの単層結晶欠陥には標準よりも若干高い感度を使

用し、Litho3 で捕捉されるようにする。

Litho3の統合された自動欠陥最適化

前述の表面の異物、特にブリッジ欠陥は、転写性に関して重

要である。ブリッジの場所は、基本ラインと基本ライン、基

本ラインと補助ライン、基本ラインの先端部(LE/LE)など、デ

図 8:Litho3で検出した低 MEEF領域の遮光部で成長した異物。局部の検出感度は低 MEEF領域のフル感度と同じである。

Quartz

EPSM

図 9:クォーツ上の EPSMの図。この場合、エッチングされた側壁には結晶成長の核がほかにも形成されている可能性がある。

Page 22: Yms08 issue1 japanese

2008 | 第 1号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

欠陥管理

バイスデザインによってさまざまである。これらのデザイン

の中で、MEEF が最も高い領域は致命度が最も高い領域に相

当する。したがって、Litho3 パラメータの計算時に基準とな

る欠陥の転写性が最も高い領域としてランク付けされる。

MEEF と Litho3 パラメータの関連性を理解するために、対応

する Litho3 パラメータが最適化された、いくつかの代表的

なデバイスパターンで MEEF を計算した。図 12 に、L3 パラ

メータの計算方法の例を示す。上の図は、処理されたグレ

イスケール画像または再マッピングされたグレイスケール

画像を示している。再マッピングの前に上限と下限を適用

した。ゾーン I ~ V は、それぞれ MEEF 値が異なる領域を

示しており、密パターン領域である IV の MEEF 値が最も高

い。下の図は、感度と MEEF の対比を示しており、処理さ

れたグレイスケール画像に Litho3 がどのように適用された

かがわかる。Litho3 のフル感度は HiRes ディテクタの標準

感度(青い実線)よりも高く設定されており、この時点では

MEEF は考慮されていない。感度と MEEF を対比したグラフ

内にゾーン I ~ V が示されている。ゾーン IV は MEEF 値が

最も高い領域であり、ゾーン I は最も低い領域である。L3C

は、どの MEEF 値の欠陥にフル Litho3 感度が適用されるか

を決定するための調整パラメータである。

コールアウト:ほとんどの転写欠陥は MEEF が最も高い領

域で見つかった。MEEF ヒストグラムに 20%ラインを引くと、

転写欠陥がこの上位 20% に入り、欠陥総数から高 MEEF 欠

陥を分離する役割を十分にはたす。この 20% の MEEF ガイ

ドラインを使用すると、対象欠陥が大幅に減るので、オペ

レータはマスクをクリーニングまたは隔離する必要がある

かどうかを速やかに判断できる。

Litho3最適化後、合計568個の欠陥が検出され、前の図2に

示したように L3 に 115 個の欠陥がビンニングされた。これ

らの L3 欠陥をそれぞれの MEEF 値に基づいて分類した。そ

の結果生成されたヒストグラムを図 13 に示す。前述のよ

うに、このレチクルはリソグラフィ処理の結果、転写欠陥

が 5 つ検出された ( 図 11)。これらの欠陥の有効な MEEF 値

は、L3 欠陥の中で最も高かった。他のレチクルの結果から

も、MEEF 値が最も高いパターンエリアで転写欠陥が検出さ

れたこともわかった。MEEF ヒストグラムに 20% ラインを

引いてすべての L3 欠陥から高 MEEF 欠陥を分離すれば、レ

ビューする欠陥の数が元の L3 ビンの欠陥数よりも大幅に減

ることになる。実際に、転写欠陥はちょうどこの上位 20%

の MEEF グループに含まれている。

図 10:Litho3で検出した低 MEEF領域の透光部と遮光部の境界で成長した欠陥。局部の検出感度は MEEF領域のフル感度と同じである。

図 11:Litho3で検出した高 MEEF領域の透光部と遮光部の境界で成長した欠陥。局部の検出感度は、低 MEEF領域のフル感度よりも高い。

I

I

Sensitivity

Lo MEEF

HiR FullSense

L3 FullSense

Hi MEEF

II

II

III

III

IV

IV

V

V

I=255

I =128

L3C = 50

I = 0

図 12:検査対象のレチクルの、デバイスパターンに対するLitho3最適化の例。上:プロセスグレイスケールのトレース。 下:L3Cの定義。

Effective MEEF MapDefect GS Image

Effective MEEF

00

2 4 6 8 10

10

20

30

10

50

60

12 14 16 18 20

Def

ect

Co

un

t

図 13: MEEF値ごとの Litho3欠陥のヒストグラム。転写性のある欠陥は、MEEF値が最も高いグループの上位 20%内に収まり、転写欠陥も含まれている。

Page 23: Yms08 issue1 japanese

2008 | 第 1号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

欠陥管理

この 20% の MEEF ガイドラインを適用することによっ

て、レチクルをクリーニングまたは隔離する必要があるか

どうかに関して迅速かつ適正な判断を下せるようになり、

ウェーハファブの生産性を向上できる可能性がある。

当然ながら、低 MEEF 領域で検出された欠陥でもウェーハ

上に転写される可能性があるという意見もある。この主張

は正しいかもしれないが、2 つの方法で解決できる。まず、

欠陥のサイズが同じくらいであれば、低 MEEF 領域よりも

高 MEEF 領域にある方が一般に転写性が高い。レチクルの

汚染が非常に深刻であり、低 MEEF 領域の欠陥がレチクル

の品質に影響を与えるような場合、高 MEEF 領域の欠陥に

ついても同様の状況が予想される。20% の MEEF ラインに

従って、高 MEEF 領域にあるこのような非常に疑わしい欠

陥をすぐにレビューすることにより、レチクルが著しく汚

染されているという判断を下すことができる。次に、欠陥

は密パターンまたは高 MEEF 領域に凝集され蓄積される傾

向がある一方で、疎パターンまたは低 MEEF 領域では結晶

がクォーツ全体に広がる傾向がある。その結果、転写性に

はさほど影響を及ぼさない。

もうひとつの例として、図 14 に同じデバイスパターンの

別々の場所で発生した欠陥を示す。左の図の欠陥(図14a)は、

基本パターンの端の方で発生し、隣接する補助ラインを飛

び越えて、隣の基本パターン側

へ接線方向に成長した。右の図

の欠陥 (図 14b) は、基本パター

ンの中央部で発生し、補助ライ

ン側へ接線方向に成長し、成長

が止まった。このような結晶成

長のパターンは、結晶が EPSM

上よりもクォーツ上で広がる可

能性が高いという前述の説明と

一致している。さらに図 14b の

欠陥は、図 12a よりも透過損失

が大きい。これは主に、結晶が

表面に対して垂直方向に蓄積さ

れているためであり、リソグラ

フィプロセスで UV によりさら

に劣化する可能性が高い。図14b

の欠陥部分は、欠陥がない場合

より MEEF 値が高くなっている。

同様に、図 14a の欠陥部分は欠

陥がない場合より MEEF 値が高

くなっているが、その実 MEEF

値(=9)は、図14a(実MEEF値=

11)よりも低い。両方の欠陥とも

上位 20% の MEEF カテゴリに含

まれているため、ファブユーザ

は 20% の MEEF チェックルール

を使用して、結晶成長を迅速に

検出し、タイムリーな判断を下

すことができる。

最新のKLA-TencorTeraScanシス

テムで MEEF 値のマップを入手

できるので、自動 Litho3 検出に

加えた 20%MEEF 値ルールを適用

することができ、ユーザは欠陥検査の完了後に全欠陥のほん

の一部をレビューするだけで、レチクルにクリーニングが必

要かどうかを判断できるようになる。Litho3欠陥の数は欠陥総

数よりも少ないので、上位 20% の MEEF ルールを適用すると、

ユーザはレビュー対象の欠陥を全欠陥の 20% 以下に減らし、

適正な判断を下すことができる。したがって、生産性を大幅

に向上させることができる。MEEF に基づいたこの Litho3 検出

方法を採用することは、異物検出を目的とするレチクル再検

査にも役立つ。同レチクル検査において、MEEF 値の自動計算

および対応したフラグがすぐに欠陥に設定されるため、レチ

クル検査の完了を待たずに判断を下すことができる。

欠陥の転写性を検証するために Prolith™ による評価を装実

施した ( 図 15)。シミュレーションとウェーハ転写の比較の

ために欠陥を 2 つ選択した。ここで、欠陥 A は MEEF が 20%

ラインより高く、欠陥 F は 20% ラインより低いものを選択

した。シミュレーションとウェーハ転写の結果は両方とも一

貫性があり、高 MEEF( 上の図 ) の透光部で発生した欠陥に

よって 10% を超える CD 変動が生じ、ウェーハに転写された

ことが明らかになった。比較的低い MEEF 領域 ( 下の図 ) の

透光部と遮光部の境界で発生した欠陥は、補助ラインに隣接

して成長したにもかかわらず、ウェーハ面では検出されない

ままになった。

(a) (b)

図 14:上位 20%の MEEFを持つ欠陥に含まれている、同様のデバイスパターンで成長した欠陥。

図15:NA 0.9としてさまざまな露光条件下の193nmリソグラフィで実施した転写性のある欠陥のシミュレーションおよびウェーハ検証結果。左:欠陥検査画像。中央:ウェーハ転写結果。右:シミュレーション結果。

Page 24: Yms08 issue1 japanese

2008 | 第 1号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

欠陥管理

結論XLINK を使用したリソグラフィ露光検証で確認されたよう

に、最終的にウェーハ上に転写され、量産歩留まりに大き

な影響を与える可能性がある欠陥を含め、重大な欠陥がす

べて Litho3 によって検証された。Litho3 を活用しない場合

に比べて、クリーニングおよび合否判定の前にレビューす

る欠陥の数が大幅に減少した。

lowk1 レチクルの特に高 MEEF 領域における汚染が最も深刻

であることが判明した。このような欠陥の発生は、クォー

ツの表面でアンモニアイオンの残留率が高いことに関連が

あり、結晶成長が密パターン領域で閉じ込められ、光透過

損失が大幅に高くなった。

Litho3 欠陥に対して詳細な MEEF および欠陥形成解析を実施

した。その結果、高 MEEF 値の欠陥は転写性が高い傾向が

あることがわかった。したがって、Litho3 欠陥の致命度をそ

れぞれの MEEF 値に基づいてランク付けすることができた。

ユーザは結晶成長を迅速に特定するために、MEEF 値が最

も高い欠陥をレビューするだけで済んだ。この転写性の研

究では、MEEF 値が最も高い欠陥のグループは上位 20% の

MEEF から構成されていた。MEEF に重点を置いた、このよ

うな改良された自動欠陥検査により、生産性を 80% 以上向

上させることができる。さらに、このような機能によって

検査の実施前に高 MEEF 欠陥にすぐにフラグを設定できる

ようになり、レチクル再検査の生産性をさらに高めること

ができる。

この論文は、2007 年 6 月 11 日~ 12 日にイタリア、ストレーザで開催された、『18thAnnualIEEE/SEMIAdvancedSemiconductorsManufacturingConference(ASMC2007)』の会議記録で発表された。

謝辞

KLA-TencorCorpのYalinXiong、Lih-HuahYiin、ZhianGuoの有益な

議論と貢献に感謝する。

参考文献

1.F.Eschbach,D.Selassie,P.Sanchez,D.Tanzil,V.Tolani,M.Toofan, H.Liu,B.Greenebaum,M.Murray,R.Villacorta.ArFlithographyreticlecrystalgrowthcontributingfactors,24thAnnualBACUSSymposiumonPhotomaskTechnology.ProceedingsofSPIE,Vol.5567,pp.497-505,1999.

2.H.Ishii,A.Tobita,Y.Shoji,H.Tanaka,A.Naito,H.Miyashita.RootcauseanalysisforcrystalgrowthatArFexcimerlaserlithography,PhotomaskandNext-GenerationLithographyMaskTechnologyXI.ProceedingsofSPIE,Vol.5446,pp.218-224,2004.

3.P.Marmillion,W.Trybula,B.Grenon.Advancedphotomaskcleaning,24thAnnualBACUSSymposiumonPhotomaskTechnology,Weed.ProceedingsofSPIE,Vol.5567,pp.506-510,2004.

4.E.V.Johnstone,L.Dieu,C.Chovino,J.Reye,D.Hong,P.Krishnan, D.Coburn,C.Capella.193nmhazecontamination:Acloserelationshipbetweenmaskanditsenvironment,23rdAnnualBACUSSymposiumon PhotomaskTechnology.ProceedingsofSPIE,Vol.5256,pp.440-448,2003.

5.J.Choi,H.S.Lee,J.S.Jung,B.C.Cha,S.G.Woo,H.C.Cho.Substrate effectsonthecharacteristicsofhazedefectformationonthephotomasksurfaceunderexposurecondition.ProceedingsofSPIE,Vol.6607,p.4590.

6.W.Chou,Y.F.Cheng,S.M.Yen,J.Cheng,P.Peng,J.Huang,T.Huang, D.Wang,E.Chen,C.Y.Hsiang,K.Bhattacharyya,A.Dayal.Anovelrun-timeMEEF-drivendefectdispositionextendinghigh-resolutioncontaminationinspectiontonext-generationphotomask.ProceedingsofSPIE,Vol.6607, p.2390.

7.Sb.Nauch,Tr.,Investigationofammoniumsulfatecrystallizationinapseudo-liquefiedlayer.KuzbasPolitekhInt.,No.26,1970.

8.S.Banerjee,C.C.Lin,S.Su,C.Bowers,H.F.Chung,W.Brandt,K.Tang.Characterizationofphotomasksurfacecleaningwithcryogenicaerosoltechnique

9.J.Huang,L.H.Peng,C.W.Chu,K.Bhattacharyya,B.Eynon,F.Mirzaagha,T.Dibiase,K.Son,J.Cheng,E.Chen,D.Wang.Processwindowimpactofprogressivemaskdefects,itsinspectionanddispositiontechniques(go/no-gocriteria)viaalithographicdetector,SPIE2005

10.A.Pant,M.T.Parsons,A.K.Bertram.Crystallizationofaqueousammoniumsulfateparticlesinternallymixedwithsootandkaolinite:crystallizationrelativehumiditiesandnucleationrates,J.Phys.Chem.A,110(28),pp.8701-8709.

11.B.Grenon,W.Volk,K.Bhattacharyya,A.Poock.TheCrystalGrowthandReticleDegradationExpose

12.B.Grenon,C.Peters,K.Bhattacharyya,W.Volk.Formationanddetectionofsub-pellicledefectsbyexposuretoDUVsystemillumination,19thBACUSSymposiumonPhotomaskTechnology.ProceedingsofSPIE,Vol.3873, p.162,1999.

13.K.Bhattacharyya,W.Volk,D.Brown,J.Ayala,B.Grenon.InvestigationofreticledefectformationatDUVlithography,22ndBACUSSymposiumonPhotomaskTechnology.ProceedingsofSPIE,Vol.4889,p.478,2002.

14.K.Bhattacharyya,K.Son,B.Eynon,D.Gudmundsson,C.Jaehnert, D.Uhlig.Areticlequalitymanagementstrategyinwaferfabsaddressingprogressivedefectgrowthproblematlowk1lithography.Proceedingsof SPIE,Vol.5853,p.525,2005.

15.B.Grenon,K.Bhattacharyya,W.Volk,K.Phan,A.Poock.Reticlesurfacecontaminantsandtheirrelationshiptosub-pellicledefectformation.ProceedingsofSPIE,Vol.5375,p.355,2004.

16.K.Bhattacharyya,M.Eickhoff,B.Grenon,M.Ma,S.Pas.Aninvestigationofanewgenerationofprogressivemaskdefectsonthepatternsideofadvancedphotomasks.ProceedingsofSPIE,Vol.5752,p.1257,2005.

17.D.W.Lee,H.Y.Jung,M.S.Kim,J.S.Lee,Y.K.Choi.EffectofUV/O3treatmentonmasksurfacetoreducesulfuricresidueions,24thAnnualBACUSSymposiumonPhotomaskTechnology.ProceedingsofSPIE,Vol.5567,2004.

18.R.Schmid,A.Zibold,K.Bhattacharyya,X.Chen,B.Grenon.Evaluationofprintabilityofcrystalgrowthdefectina193nmlithographyenvironmentusingAIMS.ProceedingsofSPIE,Vol.5567,p.1035,2004.

19.S.Osborne,M.Nanninga,H.Takahashi,E.Woster.Maskcleaningstrategies:hazeelimination.ProceedingsofSPIE,Vol.5992,p.1013,2005.

20.C.Chovino,S.Helbig,P.Haschke,W.Saule.Investigationofsulfate-freecleanprocessesfornext-generationlithography.ProceedingsofSPIE, Vol.5992,p.986,2005.

21.C.Shiao,C.Tsai,T.Hsu,S.Tuan,D.Chang,R.Chen,F.Hsieh.Evaluation,reductionandmonitoringofprogressivedefectson193nmreticlesforlowk1process.ProceedingsofSPIE,Vol.5446,p.225,2004.

22.H.Ishii,A.Tobita,Y.Shoji,H.Tanaka,A.Naito,H.Miyashita.RootcauseanalysisforcrystalgrowthatArFexcimerlaserlithography.ProceedingsofSPIE,Vol.5446,p.218,2004.

23.F.Eschbach,D.Selassie,P.Sanchez,D.Tanzil,V.Tolani,M.Toofan, H.Liu,B.Greenebaum,M.Murray,R.Villacorta.ArFlithographyreticlecrystalgrowthcontributingfactors.ProceedingsofSPIE,Vol.5567,p.497,2004.

24.B.Wunderlich,Nucleation,Crystallization,Annealing(1979)

Page 25: Yms08 issue1 japanese

2008 | 第 1号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

Thro

ug

hp

ut

Pixel A Pixel B Pixel C Pixel D Pixel E Pixel F Pixel G Pixel H

Sensitivity

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

検査ツール評価方法

ファブの特定の歩留まりモニター方法に関して光学式パ

ターン付きウェーハ検査ツールを評価する際に、多数のパ

ラメータがある。検討されるパラメータには、資本コスト、

所有コスト (CoO)、プラットフォームの拡張性などの経済的

要因や、レシピセットアップの容易さや自動欠陥ビンニン

グ機能などの実装要因がある。ただし、検討すべき最も重

要な要因は、できるだけ高速でさまざまな検査ポイントに

関して対象欠陥を検出するという点での検査装置の有効性

である。

ほどんどの検査ツールメーカは、感度(ピクセルサイズで表

示)とスループットを対比させたグラフを公表している(図

1)。一般に、高感度モードになるほど検査のスループットが

低くなる。ただし、検査装置が対象レイヤ上で対象欠陥を

捕捉する能力は、ピクセルサイズだけで決まるわけではな

い。むしろ、検査装置の感度は、ピーク波長、波長スペク

トル、開口数、光学アパーチャ、検出アルゴリズムなどの

ツールパラメータによって影響を受ける複雑なものである。

また、検査装置の感度はレイヤ、デバイス、およびデザイ

ンルールによって変わる。

さまざまなサプライヤのさまざまな種類の検査装置を比較

する際に、公表されている感度とスループットの仕様は、

実際の性能を評価する判断材料として信頼性が低いことが

実験でわかった。多くの場合、感度の仕様はピクセルサイ

ズに基づいているが、実効的な感度はピクセルサイズだけ

で決まるわけではない。さらに、公表されているスルー

プットの仕様は、検査装置のサプライヤ毎の独自の測定方

法に基づいたものである場合が多い。また、これらのス

ループットは、検査領域などの要因にも左右され、ファブ

の製品ウェーハで確認される実際のスループットとは異な

る場合もある。したがって、公表されている仕様をツール

の比較に使用しても、ツールの実際の性能を正確に把握で

きない場合が多い。

ツールの比較では、サプライヤのデモまたは現場での評価

から得た実際の製品ウェーハに関するデータを使用する方

法が望ましい。それによって、特定の歩留まりモニター要

ファブの経済性

パターン付きウェーハ検査装置比較の パラダイムシフトJustin Arrington、Paul JohnsonMicron Technology, Inc. (Boise, ID)

Ali Salehpour、Andy Phillips、Gangadharan Sivaraman、Anthony Moore、Ray Campbell、Wade JensenKLA-Tencor Corporation (Milpitas, CA)

現在の光学式パターン付きウェーハ検査装置は、明視野ツール、暗視野ツール、および明視野と暗視野を組み合わせたツール

など、構成がさまざまである。ツールの選択肢が多すぎるので、ファブの歩留まりモニター要件を満たすツールを選択する作

業は厄介である。コスト、欠陥の捕捉、歩留まり向上に関してどのツールが全体的に最も高い投資効果をもたらすかをファブ

マネージャーが効率よく決定するには、どうすればよいのか。この評価の新しい指標、量産時加重平均スループット (WATIP)

が開発された。

図 1:光学式パターン付きウェーハ検査装置の感度 (ピクセルサイズで表示 )とスループットの反比例する関係を示したデータ。ピクセルの感度が高くなるほど、スループットが低くなっている。

Page 26: Yms08 issue1 japanese

2008 | 第 1号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

件を満たすのに必要なピクセルサイズを正確に決定できる。

また、半導体メーカは製品ウェーハで検査装置の実際のス

ループットを測定できる。さらに、半導体メーカはこの方

法で、そのプロセスレイヤに必要な感度でのスループット

を正確に決定できる。量産環境のモニター要件によっては、

これらのプロセスレイヤの一部を他のレイヤよりも頻繁に

検査する場合があり、検査装置の全体的な処理能力に影響

を与えることになる。したがって、この比較方法の短所は、

で、これらのプロセスレイヤが、量産時に、この検査装置

の全体利用率にどの程度の割合を占めるかを考慮しないと

いう点である。

新しい詳細な評価方法では、量産時加重平均スループット

(WATIP) というパラメータを使用する。WATIP は、検査

ツールの量産時予想利用率をレイヤまたは検査分野ごとに

細分化したものである。各レイヤでは、必要な感度によっ

て光学モード、ピクセルサイズ、および使用するアルゴリ

ズムが決まる。ピクセルサイズによって特定のレイヤでの

検査装置のスループットが決まる。さらに、各レイヤの予

想平均処理能力が各レイヤのスループットの加重平均係数

として使用される。検査装置の全体的な WATIP は、個々

の各レイヤの加重平均スループットを合計したものである。

WATIP では、検査装置での検査で予想される各レイヤの感

度、スループット、および処理能力の要件が考慮される。

さらに、さまざまなツールがさまざまなスループットで特

定の感度の要件を満たしており、これらの差異を評価する

ことがツールの比較において重要な要因となることが前提

となっている。次のセクションで、WATIP の計算方法の概

要について説明する。

まとめ:WATIP では、感度、スループット、およびレイヤ

処理能力を評価することによって、さまざまな検査ツール

の全体的な量産時スループットを比較する。

量産時加重平均スループットの計算 (WATIP)

WATIP は、さまざまな検査ツールの性能を比較するための

新しい決定方法である。WATIP では、感度、スループット、

およびレイヤ処理能力を考慮することによって、検査装置

の全体的な量産時スループットを正確に評価する。特定の

感度の要件が満たされたうえで、各ツールの量産時スルー

プットが決定される。WATIP の計算には次の式を使用する。

この式で、TPT は測定スループットである。平均処理能力は、

各レイヤまたは各検査分野に使用される検査能力のパーセ

ンテージから構成された加重係数である。表 1 に、2 種類の

検査装置について WATIP を計算して比較した結果を示す。

表1では、検査装置が使用される処理ポイントが、グレイの

陰影付きのボックスで囲まれている。これらのポイントは、

特定のプロセスレイヤまたはより一般的なレイヤのカテゴ

リを表している。表 1 の検査ポイントは、ハイエンドの明

視野検査装置の量産時利用率を表す一般的なレイヤのカテ

ゴリである。表のセクション A には、平均処理能力がパー

センテージとして入力されている。この値は、ツールのベ

ンチマークデータまたは実際の量産時利用率に基づいて計

算できる。セクション B には、各レイヤの感度の要件を満

たすのに必要なピクセルサイズが示されている。これらの

ピクセルサイズは、さまざまな検査ツールのベンチマーク

データまたは評価データに基づいている。表のセクション

C には、各ピクセルサイズのスループットが入力されてい

る。これらのスループットは、ツールに関するサプライヤ

の標準的な仕様書から入手できる。あるいは、精度と測定

の一貫性を高めるために、半導体メーカのウェーハを使用

してさまざまな検査装置で収集されたタイミングデータか

ら決定できる。さらにセクション D には、セクション A の

平均処理能力にセクション C のスループットを乗算して計

算した各レイヤまたはレイヤカテゴリの WATIP が示されて

いる。個々のレイヤの WATIP 計算値を合計すると、ツール

の全体的な WATIP が得られる。この例では、検査装置 B の

WATIP は検査装置 A の 1.6 倍である。必要な感度を達成す

るスループットを各検査分野に利用し、各分野の処理能力

利用率を考慮しているので、この比較は有効である。検査

装置 B の高い WATIP はロットサンプリングの改善につなが

り、検査装置の CoO の低減を促進する。

ファブの経済性

A エッチング CMP リトグラフ エッチング:臨界 エッチング:非臨界 CMP:ラインモニタ リトグラフ:ADI

平均処理能力 (重み ) 24% 24% 10% 42% 100%

B エッチング CMP リトグラフベンチマークデータに基づくピクセルサイズ エッチング:臨界 エッチング:非臨界 CMP:ラインモニタ リトグラフ:ADI

検査装置 A ピクセル 0.16μm 0.16μm 0.26μm 0.12μm

検査装置 B ピクセル 0.16μm 0.28μm 0.20μm 0.16μm

C エッチング CMP リトグラフピクセルサイズに基づくスループット エッチング:臨界 エッチング:非臨界 CMP:ラインモニタ リトグラフ:ADI

検査装置 A TPT (wph) 2 2 4 1

検査装置 B TPT (wph) 2 5 3 2

D エッチング CMP リトグラフ量産時加重平均スループット エッチング:臨界 エッチング:非臨界 CMP:ラインモニタ リトグラフ:ADI WATIP

検査装置 A WATIP (wph) 0.48 0.48 0.40 0.42 1.78検査装置 B WATIP (wph) 0.48 1.20 0.30 0.84 2.82

表 1:2台の検査装置に関する WATIPの仮定的計算。各検査エリアについて平均利用率(加重)(A)、感度の要件を満たすのに必要なピクセルサイズ (B)、およびスループット(C)が決定され、WATIP (D)が計算される。個々のレイヤの WATIPが合計されて、検査装置の全体的な WATIPが得られる。検査装置 Bの WATIPは検査装置 Aの WATIPの 1.6倍である。この高い WATIPは検査処理能力の向上につながり、CoOの低減を促進する。

Page 27: Yms08 issue1 japanese

2008 | 第 1号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

WATIP:DRAMでの使用事例

先ごろ、インライン欠陥モニターに使

用する 2 台のハイエンド明視野検査

ツールに重点を置いた DRAM 評価が

行われた。この評価に関連して、10の

プロセスレイヤに対して所定のスルー

プットで検査装置の感度を評価した。

これらの検査装置の 1つとして、KLA-

Tencor 2800広帯域明視野パターン付き

ウェーハ検査装置を評価した。各プロ

セスレイヤについて各ツールで 1つの

「量産」用(高スループット)検査レシ

ピと1つの「エンジニアリング」用(低

スループット、高感度 )検査レシピを

開発した。その検査結果を、重大な欠

陥に対する感度、SEMNV( 工学的には

可視だが SEM では不可視の欠陥 )の抑

制、およびスループットに基づいて比

較した。各プロセスレイヤについて、

最高のスループットで感度の要件に最

も適合する検査レシピをツールごとに

調べた。さらに、各検査ポイントの予

想処理能力利用率に基づいて各検査装

置の WATIP を計算した。1 つのプロセ

スレイヤから得たデータを次に示す。

CMP プロセスレイヤに関して検査装

置の性能を比較した。まず、このレイ

ヤに関して高感度 ( 低スループット )

レシピと高スループット ( 低感度 ) レ

シピの 2 つの検査レシピをツールごと

に作成した。高スループットレシピは

対象欠陥に対して十分な感度を達成

することがわかったので、このスルー

プットモードだけに限定してさらに解

析を行った。図 2 に、2 種類の検査装

置の高スループットレシピによる検査

結果を比較した欠陥パレートチャート

を示す。検査装置 B の SEMNV 欠陥の

割合(4.2%)は検査装置A (8.8%)より低

かったが、どちらのツールも SEMNV

欠陥の割合が規定の 10% の限界を下

回っていた。欠陥パレートチャートに

よると、どちらのツールもこのレイヤ

での欠陥検出に必要な最低条件を満た

していたが、検査装置 B の対象欠陥の

捕捉率は検査装置 A よりも高いことが

わかった。この検査装置の量産時予想

処理能力利用率に基づき、検査装置 A

の WATIP は 3 wph となり、検査装置

B の WATIP は 4 wph となった。全体

として、検査装置 B の高スループット

レシピの方が、SEMNV 欠陥の比率を

抑制し、高スループットを維持しなが

ら、対象欠陥に対して高い捕捉率を達

成した。したがって、この特定のプロ

ファブの経済性

SurfaceParticle

SmallEmbeddedParticle

Large Embedded Particle

BlockedEtch

Missing Film/ Void

Pattern(Non-CMP)

SEM Non-Visual

Inspector A, High Throughput

Inspector B (2800), High Throughput

Defects of Interest

Def

ect

Co

un

t

Nuisance

図 2:ポリ CMP DRAMウェーハでの欠陥捕捉率を比較したパレートチャート。検査装置 Bは、KLA-Tencor 2800広帯域明視野パターン付きウェーハ検査装置 (2800)である。2800の高スループットレシピは、WATIPが 4 wphとなり、所定のスループットで最高の感度を達成したので、このレイヤでの歩留まりモニターに使用する検査装置として適している。

Small EmbeddedParticle

Large EmbeddedParticle

Residue SEM Non-Visual

Def

ect

Cou

nt

Defects of Interest

Defect count 15-150x higherthan maximum chart value

Inspector C, SlowInspector C, MediumInspector D (9150), MediumInspector D (9150), Fast

図 3:窒化蒸着 NANDフラッシュウェーハでの欠陥捕捉率を比較したパレートチャート。検査装置 Dは、KLA-Tencor Puma 9150暗視野パターン付きウェーハ検査装置である。各ツールについて、スループットの異なる 2つの検査レシピを比較した。Puma 9150の高スループット (高速 )レシピは、WATIPが 21 wphとなり、所定のスループットで最高の感度を実現したので、このレイヤでの歩留まりモニターに使用する検査装置として適している。

Page 28: Yms08 issue1 japanese

2008 | 第 1号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

セスレイヤでは、検査装置 B によって所定のスループット

で最高の感度が達成された。

10 のプロセスレイヤのデータに対して同様の解析を行い、

検査装置 B の全体的な WATIP が検査装置 A の WATIP より

約 30% 高いことが明らかになった。したがって、検査装置

B はこの DRAM テクノロジノードの歩留まりモニター要件

に最も適合した。

WATIP:NANDフラッシュでの使用事例

DRAM での明視野検査装置の比較に加えて、NAND フラッ

シュデバイスを使用して、インライン欠陥モニター用の

2 台の暗視野検査ツールも評価した。これらの検査装置の

1つとして、KLA-Tencor Puma 9150 暗視野パターン付きウェー

ハ検査装置を評価した。各プロセスレイヤについて、ス

ループットの異なる数種類の検査レシピを各ツールで開発

した。その検査結果を、重大な欠陥と SEMNV 欠陥の抑制に

基づいて比較した。各プロセスレイヤについて、最高のス

ループットで最高の感度を達成する検査レシピをツールご

とに調べた。さらに、各検査ポイントの予想処理能力利用

率に基づいて各検査装置の WATIP を計算した。1 つのプロ

セスレイヤから得たデータを次に示す。

窒化膜蒸着プロセスレイヤに関して検査装置の性能を比較

した。できるだけ高い感度を達成するために、さまざまな

スループットを使用した 2 つの検査レシピをツールごとに

作成した。検査装置 C のレシピでは、低速スループット

モードと中速スループットモードを適用した。検査装置 D

のレシピでは、中速スループットモードと高速スループッ

トモードを適用した。2 種類の暗視野ツールによる検査結果

を比較した欠陥パレートチャートを図 3 に示す。これらの

結果から、検査装置 C の低速レシピの SEMNV 欠陥の割合

は 33% であり、10% の制限をはるかに上回っていることが

わかった。したがって、検査装置 C の低速レシピは検討対

象から外した。検査装置 C の中速レシピの SEMNV 欠陥の割

合 (6.6%) と検査装置 D の両方のレシピの SEMNV 欠陥の割

合 (0%) は 10% の制限を下回っていた。さらにこれらの結果

から、この検査装置にしか検出できなかった残渣欠陥の捕

捉を含め、検査装置 D の対象欠陥の捕捉率は検査装置 C に

比べてかなり高いことがわかった。所定のスループットで

最高の感度を各ツールで実現した検査レシピは、検査装置 C

の中速レシピと検査装置 D の高速レシピである。この検査

装置の量産時予想処理能力利用率に基づき、検査装置 C の

中速レシピの WATIP は 13 wph となり、検査装置 D の高速

レシピの WATIP は 21 wph となった。全体として、検査装

置 D の高速レシピの方が、高い WATIP で高い欠陥捕捉率

を達成したので、この特定のプロセスレイヤでの歩留まり

モニターツールとして適している。

13 のさまざまなプロセスレイヤから同様のデータを収集し

た。各レイヤについて、高感度 ( 低スループット ) と高ス

ループットの 2 つの検査モードを評価した。これらの解析

に基づいて、検査装置 D の全体的な WATIP が、高感度検

査の場合は検査装置 C の約 4 倍であり、高スループット検

査の場合は約 2 倍であることが明らかになった。したがっ

て、検査装置 D はこの NAND フラッシュテクノロジノード

の歩留まりモニター要件に最も適合した。

結論

現在の光学式パターン付きウェーハ検査装置は多様な仕様

構成を選択可能であり、量産時の性能を有効に評価する

ツール選択法を利用することが重要である。感度は多数の

ツールパラメータによって決まり、スループットは測定方

法や検査領域などの要因によって異なる可能性があるので、

サプライヤが公表したピクセルサイズに基づく仕様を利用

した現在の決定方式は、所定のスループットでの実際の感

度を評価する判断材料として信頼性が低い場合がある。さ

らに、各検査ポイントの検査装置の利用率は、検査装置の

全体的な性能の指標に大きな影響を与える可能性がある。

この論文では、量産時加重平均スループット (WATIP) と

いうパラメータを利用した新しい決定方法論を紹介した。

WATIP では各検査ポイントについて、必要な感度での検査

装置のスループットと、その検査装置の予想処理能力利用

率が考慮される。WATIP 方法を使用すると、どの検査装置

が低い資本コストでより高い性能を発揮するかを効率よく

効果的に調べることができる。WATIP の計算方法の詳細と、

WATIP を使用して 2 台の検査装置を比較する仮定的な例を

示した。さらに、メモリの欠陥モニターに使用するさまざ

まな検査装置の比較に関連する 2 つの使用事例について説

明した。これらの使用事例では、WATIP を使用した比較方

法によってファブの特定の歩留まりモニター要件に最も適

合するツールを効果的に決定できることが実証された。

謝辞Micron Technology の Shawn Lyonsmith 氏、Ryan Spear 氏、David

Daycock氏およびJonMorgan氏と、KLA-Tencorの AdrianWilson氏、

MatthewMcLaren氏、CathyPerry-Sullivan氏、BeckyPinto氏、および

MarkDishner 氏に対し、この論文への協力を感謝する。

まとめ:WATIP では、感度、スループット、およびレイヤ処理能力を評価することによって、さまざまな検査ツールの全体的な量産時スループットを比

較する。

ファブの経済性

Page 29: Yms08 issue1 japanese

2008 | 第 1号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

検査

ウェーハエッジの欠陥に対する液浸リソグラフィの影響 I. Pollentier, IMEC, Leuven, Belgium A. Somanchi, F. Burkeen, S. Vedula, KLA-Tencor, Milpitas, CA

見出し液浸露光時に、パーティクルがウェーハエッジ領域からパターン形式領域またはスキャナのウェーハステージに遷移す

る場合がある。この研究では、ウェーハエッジ全体のイメージングと欠陥分類を行うウェーハエッジ自動検査システムにおけ

るウェーハエッジの欠陥に対する液浸リソグラフィの影響を示した。レジストの選択や EBRレシピの最適化など、ウェーハ

エッジ関連の欠陥を制御するための主要な課題が明らかになった。

はじめに

半導体製造では、ウェーハエッジの欠陥の制御はウェーハ

上の良品ダイ数をできる限り多くするための重要な要因で

ある。ウェーハ裏面およびエッジからのフォトレジストの

除去は、スキャナステージまたはウェーハハンドリング

ハードウェアとレジストが接触しないようにするうえで特

に重要である。通常、溶媒のエッジビード除去 (EBR) は、

塗布レシピの最終工程である。EBR は、スタティックノズ

ルからウェーハ裏面へ向かう溶媒の流れとウェーハ表面へ

向かうダイナミックノズルの組み合わせにより、ウェーハ

の外縁から最大数ミリメータまでレジストを除去するもの

である。上面のEBR材のエッジの望ましい位置(EBR幅 )は、

塗布材(反射防止トップコート対フォトレジスト材など)や

デバイス内のレイヤによって決まる(例:コンタクトホール

リソグラフィプロセスではゲートプロセスとはやや異なる

EBR 幅を使用する場合がある )。ダイの歩留まりを改善する

には、EBR 幅を可能な限り狭くすることが望ましい。

液浸リソグラフィ[1–4]により、ウェーハエッジの欠陥の問題

には大きな変化が起きた。液浸露光シーケンスではウェー

ハエッジが液浸フード (IH) の水と接触し、レジストとス

キャナの直接の接触以上の別の問題が引き起こされる。第 1

に、IH が EBR 領域でスキャンを行うときにその動きによっ

てウェーハのエッジが損傷する場合がある ( 図 1a)。液浸リ

ソグラフィに関する IMEC のプログラムでは、IH が通過す

るときにフォトレジスト材が部分的に剥離する場合がある

ことなどが判明している (図 1b)。

第 2 の問題は、EBR エッジ外のウェーハエッジのクリー

ン度に関するものである。IH が通過すると、トップニア

エッジだけでなく、湾曲したウェーハエッジや下面の一部

にまで浸水する。この領域から欠陥が、ウェーハまたは

ウェーハステージのいずれかに遷移したりする。前者の

場合、ウェーハの欠陥に直接の影響を与える。後者の場

合、ウェーハステージにある欠陥が後続のウェーハ処理で

ウェーハに遷移する場合がある。液浸リソグラフィに関す

る IMEC のプログラムでは、不十分な EBR 処理による湾曲

したウェーハ部分のレジストの残留物が IH の通過によって

損傷を受け、システムに残留物の断片を発生させることが

判明している (図 1c)。

これらの新しい問題を監視する場合、従来の欠陥検査技術に

は深刻な限界がある。従来の暗視野または明視野検査ツー

ルでは通常、エッジ除外が 3mm までであるため、ウェーハ

エッジにアクセスできない。顕微鏡ツールはエッジ領域を検

査できるが、定性的な情報しか得られず、通常はウェーハ

エッジの限られたサンプリング情報しか提供されない。

wafer stagewafer

Damage/adhesion failure of material EBR edge (a)

(b) (c)

Transport of wafer edge contamination towards stage or wafer die region

coated materials

immersion hood

TCX007

Si

図 1: (a)液浸リソグラフィに関して考えられる欠陥の問題の概略図(b)光学顕微鏡を垂直に使用して検査した損傷を受けたフォトレジスト材の例 (c)チルト SEM (45°)で検査されたウェーハエッジの損傷を受けた残留物の例。

Page 30: Yms08 issue1 japanese

2008 | 第 1号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

検査

この研究では、VisEdge® CV300 (KLA-Tencor 製品 ) という

新しい自動エッジ検査システムを使用した。このシステム

では、レーザを使用する光学系およびマルチセンサ検出を

使用して、ウェーハエッジ全体のイメージング ( トップニ

アエッジ、トップベベル、アペックス、ボトムベベル、お

よびボトムニアエッジ ) が行われる。次に、自動欠陥分類

(ADC) ソフトウェアを使用し、対象欠陥 (DOI) を分類する。

ウェーハエッジの欠陥検査における VisEdgeテクノロジ

ウェーハエッジの欠陥検査におけるVisEdgeテクノロジ(図

2) では、ウェーハエッジ表面に向けたレーザ光源を使用す

る。4 つのディテクタは、散乱光、鏡面光または反射光、異

なる偏光における位相シフト、およびトポグラフィ情報を

同時に収集する。レーザがウェーハエッジ表面をスキャン

するとき、各信号を画像に変換できる。欠陥の種類ごとに

特定の信号の組み合わせが生成されるため、ADC が可能に

なる。

ウェーハエッジのイメージング

イメージングは、ボトムニアエッジ 5mm 以内、ボトムベ

ベル、アペックス、トップベベル、トップニアエッジ 5mm

以内の、エッジ領域全体をカバーする。スキャンにより

ウェーハエッジ全体の連続した高解像度画像が生成される。

この画像はメルカトル図法を使用したり、ウェーハエッジ

表面を平面に展開したりして表示される。

測定時にウェーハエッジ全体がスキャンされるため、環状

のエッジ全体を画像として表すことができる。離心率や

EBR 幅の異常はこの種の検査を使用し

て簡単に監視でき修正できる。

通常、ウェーハエッジのクリーン

度に関する液浸関連の研究では、

ウェーハエッジの高解像度ビューは

非常に有用である。この画像では

エッジ上の数ミリメータ分のみが表

示される。図3は、この表示法を使用

し、アペックス-ベベル領域に沿って

観察されたレジスト断片を鏡面チャ

ネルで示したものである。

液浸欠陥プロセスの特性評価と最適化

ウェーハエッジの液浸関連の欠陥は、IH が EBR 領域上を通

過するときに発生する EBR 領域の塗布材のエッジの損傷に

起因する場合がある。また、ベベルに存在するパーティク

ルが遷移することによって欠陥が発生する場合もある。こ

れらは、液浸フードの力によって発生し、フード内の水に

より移動し、ウェーハおよび/またはステージ上に遷移す

る可能性がある。この研究では後者、特にこれまでの研究[5] で観察された剥離欠陥について考察する。

エッジ領域の剥離欠陥

剥離欠陥は、塗布後にウェーハエッジに存在する残留材に

関連する。通常、これらの残留物はアペックス部分にのみ

存在するため、従来の垂直方向に行う検査方法では検出が

困難である。残留物は最適化されていない EBR プロセス

がひとつの原因である。つまり、ウェーハエッジの塗布材

は平坦な上面領域よりもかなり厚みがある場合があるた

め、溶媒が不十分な場合に上面の表面がクリーンであって

もエッジに残留物が残る可能性がある。この現象は、BARC

材およびトップコート材よりもフォトレジスト材でよく観

察される。

エッジ残留物の形態は、レジストによって異なる。一部の

レジストでは、アペックスに沿って均一に残留するが、残

留物の厚い広い領域が残留物の薄い領域と混在するレジス

トもある。

いったん検出されれば、EBR レシピを調整することで問題

はかなり簡単に解決できる。しかし、EBR レシピを長くす

ると液浸クラスタのスループットが抑制されるため、半導

体工場では可能な限りこのような調整を行わない。チルト

SEM などの従来の検査ツールではウェーハエッジの一部の

領域しか測定できないため、レジスト残留物のあるウェー

ハを液浸スキャナで処理するリスクがある。

レジスト残留物のあるウェーハを液浸スキャナで露光する

とき、ウェーハエッジ上を IH が通過したことによりレジス

ト残留物が損傷を受けるかどうか、およびレジスト ( の一

部 ) がウェーハ上面またはスキャナのウェーハステージへ

遷移するかどうかを予測するのは困難である。チルト SEM

Phase shift

Laser beam

Specular intensity TopographyScatter

図 2:VisEdge測定原理の概略図。

soak (s)200

160

180

140

120

100

80

60

50

1.8

1.6

1.4

1.2

1.0

0.8

0.6

0.4

0.2

100 150 200

40

20

00

No IH pass (no IH-damage)

Multiple IH passes (damage expected)

図 4:エッジ剥離特性評価のための IH露光シーケンス。

bottom bevel

apex

top bevel

図 3:ベベル/アペックスを示した、VisEdgeの鏡面画像の例。ウェーハエッジの品質を評価するには、このような画像が重要である。

Page 31: Yms08 issue1 japanese

2008 | 第 1号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

検査

検査では、そのような損傷が特定のレジストで発生する場

合があることが定性的に示された。

エッジ剥離の特性評価には、エッジ露光がある場合とな

い場合のスキャン状況だけでなく、化学的性質が異なり、

エッジ損傷に対する感度の異なる 3 種類のレジストで実験

を行った。

エッジ剥離の特性評価の実験条件

化学的性質の異なる 3 種類のレジストについて実験を行っ

た。エッジ損傷に対する感度は 3 種類のレジストで異なる

ことが予想された。それぞれに対して行った露光ジョブに

よって、剥離が予想される領域と予想されない領域に区分

した。1 つのセクションは 2 列× 11 フィールドから構成

されるが、ノッチと反対側のウェーハエッジ付近に露光を

行った。ノッチ側の領域の 2 列× 11 フィールドの同様の

領域にも露光を行った。ウェーハエッジ(領域II)付近の両

方の位置におけるこの 2 種類の 2 × 11 セクションの露光を

行うとき、IH ではウェーハエッジ領域上に連続して上下方

向のスキャンを行い、欠陥生成の確率を高めた ( 図 4)。露

光ジョブは、ウェーハの他の部分 ( 領域 I、右側 ) で液浸

フードがウェーハエッジ上を通過しないように設計された。

領域 Iでは、剥離片状の欠陥は検出されないはずである。

エッジ剥離欠陥に対する VisEdgeクォリフィケーション

レジスト残留物のある領域の鏡面画像では反射強度の違い

が明白に示された。レジスト残留物の中の暗い領域は厚い

レイヤを示し、明るい領域は薄いレイヤを示している。レ

ジスト Aから得られた結果について以下に詳述する。

IH が通過した領域と通過しなかった領域の SideScan 画像を

比較した。図5aは領域I(IHが通過していない)の一般的な

SideScan鏡面画像である。レジスト残留物の厚みの違いが見

られるが、レジスト残留物の剥離は見られない。これに対し

て、領域 II を示す図 5b では、アペックス下部の厚い残留物

から一部が剥離している。拡大画像は、すべてではないもの

の一部のこれらのエッジ剥離片がアペックス上部へ遷移して

いることを示している。

これらのエッジ剥離片がトップニアエッジ (エッジダイが損

傷する可能性のある領域 ) に達するかどうかを調べるため

に、図 5a および 5b の対応する領域の TopScan 画像を散乱信

号を使用して解析した(図 5cおよび 5dを参照 )。領域 IIで

は多くのパーティクルが検出されたが、領域 Iでは画像内に

パーティクルは観察されなかった。この観察結果は、今後

ADC の研究を促進するものである。

分類アルゴリズムを指定してエッジ領域の剥離を検出すると

き、SideScan 画像の鏡面チャネルに反射率のスレッショホル

ド値レベルを設定することにより、アペックス側のエッジ剥

離の遷移が検出された。トップニアエッジの遷移欠陥の場

合、鏡面チャネルと散乱チャネルの信号の組み合わせによっ

て、より正確な検出が行われた。両方の領域のすべての測定

パラメータが決まると、すべてを 1つの測定レシピにまとめ

ることができる。ウェーハの 1 つの測定シーケンスにより、

対象のすべてのウェーハエッジ領域の欠陥分類およびマッピ

ングが行われる (図 6)。

エッジ剥離欠陥に対する液浸プロセスの特性と最適化

エッジ剥離欠陥を分類しマッピングする検査を確認し、実験

計画法 (DOE) にその結果を使用してこの種の欠陥の原因と

影響を与える主要なパラメータを把握した。

レジストとプロセスの最適化

前述のとおり、レジスト A では IH がエッジ上を通過すると

きに剥離が生成される傾向がある。塗布プロセスが最適化さ

れていないと他のレジスト B および C でも残留物が残るが、

その形態は異なる。

同じ液浸露光ジョブが使用された場合、レジスト A よりも

レジスト B および C のトップニアエッジで検出されるエッ

ジ剥離は大幅に少ない(図7)。さらに、残留物による欠陥は

露光ゾーンに限定されることは少なく、これらの欠陥の一部

Sid

eSca

n-s

pec

ula

r

Region I Region II

Top

Scan

-sca

tter

(a) (b)

(c)

top bevelScatter Scatter

Specular Specular

top near edge

bottom bevel

top bevel Continuous resistresidue on apex

Resist residues aredamaged & redeposited

apex

(d)

図 5:テストウェーハの領域 I (aおよび c)と領域 II (bおよび d)の鏡面画像と散乱画像。本文の説明どおり、IHの損傷は領域 IIに多い。

Top nearedge

図 6:液浸の特性評価 (ウェーハエッジのエッジ剥離の定量化 ): アペックスおよびトップニアエッジ上の剥離片は、SideScan信号 と TopScan信号の複合解析で定量化できる。

Page 32: Yms08 issue1 japanese

2008 | 第 1号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

検査

は剥離に関係ない可能性もあるが、塗布およびウェーハハ

ンドリングが原因である場合もある。TopScan 画像では、明

白な損傷の兆候は見られない。このような欠陥を防止する

には、レジストの化学的性質を選択するのが重要であるこ

とは明らかである。

前述のとおり、レジスト残留物は塗布トラックの EBR レシ

ピを変更することによって最適化できる。レジスト A では

通常の ( 短い )EBR シーケンスが行われ、数百の欠陥剥離が

見られる。最適化後は、剥離のないレジスト B および C で

得られたバックグラウンド値と同様の欠陥値となった。

液浸リソグラフィにおけるウェーハエッジのさらなる課題

液浸リソグラフィではエッジ領域の剥離片だけでなくさま

ざまな種類の欠陥が重大である。このセクションでは、他

に考えられる欠陥の原因について説明する。

ウェーハハンドリングマークとレジストリワークプロセス

新しい Si ウェーハでも、特にベベルおよびアペックスにさ

まざまなアーチファクトが見られた。このようなウェーハ

の処理やハンドリングには細心の注意が払われた。ウェー

ハハンドリングを最小限にした新しい Si ウェーハでも、ア

ペックスでパーティクルの発生という損傷が見られた。こ

のことは搬送関連のアーチファクトに関する別の問題を示

しており、液浸プロセス前にウェーハエッジの品質および

ハンドリングを評価する必要があることを示している。

レジストリワークプロセス

IMEC では通常、レジストリワークはドライアッシングと

ウェット洗浄の組み合わせで行われる。スペックを大幅に

外れた場合などは、リワークを使用しリソグラフィ手順を

再度行う場合がある。また、モニタリングウェーハを用い

た ( 毎日の ) フォーカス/露光量/ CD またはオーバレイの

監視の結果により、リワークが頻繁に行われる場合もある。

通常、限定されたリワークはスクラッチを増加させ ( 通常

0

50

90°

90°

100

150

200

250

300

350

Edge flake count (VisEdge ADC output)

Resist A

5mm Top near edge

Resist B Resist C

After EBR optimization

図 7:レジストの化学的性質と EBRレシピの関数としてのエッジ剥離欠陥。

はボトムベベル )、反射率のばらつきが増える。ウェーハ

がリワークされるごとに(推定約10回以上)、ベベル/ア

ペックスへの影響が大きくなる。液浸フードがウェーハ

上を通過しているときに、これらの欠陥によるリスクが

生じる。

結論

この論文では、ウェーハエッジ欠陥への液浸リソグラ

フィの影響に関する調査を行った。これまでは、湾曲し

たウェーハエッジの検査には課題があり包括的な欠陥検

査ソリューションがなかったため、このような作業は

ウェーハエッジの平坦な上面部分の検査に限られてい

た。このため、ウェーハエッジの平坦ではない部分の欠

陥の検出と制御は非常に困難であった。この研究では、

レーザを使用する光学系とマルチセンサ検出を使用して

ウェーハエッジ全体のイメージング ( 上面、側面、底面 )

を行う新しい自動エッジ検査システムを使用し、対象欠

陥を ADC ソフトウェアで分類した。

この技術により、ウェーハエッジ欠陥に対する液浸フー

ドの影響が実証された。さらに、レジストの選択、EBR

レシピの最適化、ウェーハハンドリングなど、ウェーハ

エッジ関連の欠陥を制御するための主要な課題が明らか

になった。

謝辞

プロセッシングとウェーハ選択に関して、DizianaVangoidsenhoven

氏、ChristieDelvaux氏、BartBaudemprez氏、TomVandeweyer氏の

サポートに感謝する。液浸ソーク時間のシミュレーションの分野

に関して、ThomasHoffmann 氏のサポートに感謝する。

液浸ツールと関連する欠陥についての有意義な考察について、

PhilippeFoubert氏、DieterVanDenHeuvel氏、ShinichiHatakeyama

氏 (TEL)、KathleenNafus氏 (TEL)、SeanO’Brien氏 (TI)、Mireille

Maenhoudt 氏、RichardBruls 氏 (ASM-L) に感謝する。

この論文の内容は、SPIEの『2007Metrology,Inspection,andProcess

ControlforMicrolithographyXXIConference』(Vol.6518)および『Solid

StateTechnologymagazine』(2007年2月)に発表されたものである。

参考文献

1.B.Smith,H.Kang,A.Bourov,F.Cropanese,Y.Fan,“Waterimmersion

opticallithographyforthe45nmnode,”Proc.SPIE,Vol.5040,p.679-689,

2003.

2.M.Kocsisetal.,“Immersionspecificdefectmechanisms:Findingsand

recommendationsfortheircontrol,”Proc.SPIE,6154(2006),6154-180.

3.M.Maenhoudtetal.,JournalofPhotopolymerScienceandTechnology,

19(2006),585.

4.M.Erckenetal.,JournalofPhotopolymerScienceandTechnology,19

(2006),539.

5.I.Pollentieretal.,Proc.SPIE,5754(2005),129.

Page 33: Yms08 issue1 japanese

2008 | 第 1号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

測定学

スキャトロメトリによる複雑なスペーサーの電気特性予測Matthew Sendelbach、Javier AyalaIBM Microelectronics, Hopewell Junction, NY

Pedro HerreraKLA-Tencor Corporation, Hopewell Junction, NY

ウェーハ、構造、およびモデル

ウェーハサンプルこの研究では、3 ロットからなるエンジニアリングウェーハ

を使用した。これらのウェーハ上に構築されたデバイスは、

さまざまなエンジニアリングスプリットのために意図的に

非標準的に作製されたものである。したがって、これらの

ウェーハは構造的なばらつきと電気的性能の相関を調べる

のに十分なプロセスバリエーションを持っている。

構造この研究では、90nm ノードの NFET と PFET の両方の構造

を評価した。これらの 2 つの構造は非常に複雑で互いに大

幅に異なる。これらの構造の顕著な特徴としては、イオン

注入領域を持つSOI基板、イオン注入されたゲートポリ、酸

化膜スペーサー上の窒化膜スペーサーが挙げられる。PFET

においては、L 字型窒化膜スペーサーの上部に配置された第

2 酸化膜の形状も挙げられる。この形状および各 FET に対す

るイオン注入により、これらの構造は互いにまったく異な

るものとなっている。FET のサンプル XSEM 画像を図 1 に

示す。

2.3 スキャトロメトリモデルスキャトロメトリ測定はKLA-Tencor SpectraCD100で行った。

さまざまな膜を適切にモデル化することは、測定スペクト

ルと「実際の」プロファイルをうまく適合させるために重

要である。特に、複数のイオン注入や他の処理の影響を適

見出し:スキャトロメトリを使用し、複雑なスペーサー構造を持つ NFET/PFETの電気特性の予測を行った。ゲート抵抗の電

気測定値 Lpolyおよびトランジスタ電流 Ionは、窒化膜スペーサープルダウンおよびゲートポリ CDのインラインスキャトロメ

トリ測定値と相関があり、3シグマの信頼水準で電気特性の予測を行うことが可能となった。

はじめに

スキャトロメトリで、シャロートレンチアイソレーション

(STI) やゲート構造など、比較的単純な構造のプロファイ

ルを正確に測定できることは広く知られているが、IC メー

カは益々複雑になる構造を監視し制御する必要に迫られて

いる。

スペーサーは、スキャトロメトリによる測定が期待されて

いる複雑な構造の 1 つである。スペーサーは複数のデバイ

ス特性に対して影響を与えるため重要であり、インライン

でスペーサー構造を測定することによりデバイス特性を予

測し、性能と歩留まりを向上させることができる。この論

文では、スキャトロメトリで複雑な構造のスペーサーを計

測し、その結果を使った電気特性予測の方法を述べる。予

測解析と呼ばれる手法を使用して、所定のパラメータの

スキャトロメトリ測定値がゲート抵抗の電気測定値である

ゲート Lpoly およびトランジスタ電流 Ion とどの程度相関す

るかを調べる。(予測解析の詳細については、SPIE の会議記

録『2007 Metrology, Inspection,and Process Control for Microlithography XXI Conference』(Vol. 6518) の同名の表題の SPIE 発表内容を

参照 )。

電気特性との相関が高いため、スキャトロメトリ測定値は

実際に電気テストを行う前の電気特性の予測判断材料とし

て大いに活用できる。スキャトロメトリはスペーサー制御

を向上し、一部のプロファイル異常の平均検出時間 (MTTD)

を短縮するうえで信頼性の高い測定技術であるといえる。

Page 34: Yms08 issue1 japanese

2008 | 第 1号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

測定学

切に処理して、光学特性を正確に抽出する必要があった。

初期のテストモデルには、NFET 構造と PFET 構造の両方に

対し、10 以上のさまざまなパラメータ、つまり DOF (Degree

Of Freedom : 自由度)があったが、最終的には、NFETでは 7

つの DOF、PFET では 8 つの DOF が設定された。両方の構

造に共通する DOF はゲート上部からの窒化膜プルダウン、

イオン注入ゲートポリ高、ノンドープゲートポリ高、ゲー

トポリ中央部 CD (Middle CD)、下部の窒化膜スペーサー幅、

イオン注入 SOI 厚、および SOI 高である。PFET では DOF と

して同じ窒化膜スペーサーもあったが、このパラメータは

NFET には適用されなかった。FET のモデル概略図を DOF

と共に図 2に示す。

複雑なモデルは安定性に欠けるという問題を検証するため

に、測定再現性データを確認した。NFET のサンプルの場合、

ウェーハ上の 5 サイトを 3 回測定、PFET のサンプルの場合、

ウェーハ上の 9 サイトを 5 回測定した。PFET ウエハ上の

9 サイトのうち 1 つから「フライヤ」検出された。フライヤ

とは、測定値に異常に大きなばらつきが含まれているもの

を意味する。限られた範囲の評価では有るが、安定性確認

という観点ではこの手法は使用できる。重要な DOF の 3 シ

グマ精度結果の概要を表 1 に示す。PFET データについては

フライヤチップを除去したものと、していないものの両方

を示す。大部分の 3 シグマ精度値は 1 nm 未満であり、これ

らの結果はこのような複雑なモデルには良好な結果であり、

モデルが安定していることを示している。

3シグマ精度 窒化膜プルダウン (nm)

窒化膜スペーサー幅(nm)

窒化膜厚(nm)

ポリMCD (nm)

NFET 1.26 0.79 0.09

PFET (フライヤを除去しない )

0.79 2.93 1.18 0.12

PFET (フライヤを除去 )

0.35 0.23 0.44 0.10

結論と考察

電気特性は 2 つの工程で測定した。1 つは PS テストと呼ば

れるもので、ゲートシリサイド化の直後に測定した。もう

一つは M1 テストと呼ばれるもので、最初の金属配線形成直

後に測定した。すべてのグラフはウェーハのメジアンデー

タを示す。また、各グラフで電気的に測定されたチップと

スキャトロメトリで測定されたチップは同じチップである。

どちらの測定値のセットでも解析から「フライヤ」を削除

していない。

電気測定誤差 (Vy) は FMP 解析を使用して計算されたが、

TMU 解析は使用しなかった。システムが優れた基準測定

システムと考えられる複数のツールから構成されていたた

めである。スキャトロメトリ測定誤差 (Vx) は表 1 の精度

データを使用して計算された ( フライヤを含

む PFET データが使用された )。評価には 1 台

の装置のみを使用したため TMU 解析は行わ

ず、測定再現性のみを使用した。測定値精度

は不明であったため、Vother に含めた。測定

値精度が予測されるか Vother に含められるか

によって TPE、CPE、および CPQ のプライマ

リメトリックスにわずかな差異が生じる。こ

の差異はこれらのメトリックスの二次的な影

響であるためである。どちらの測定誤差も

チップレベルのデータを使用して計算された

が、メジアンデータが使用されたため、予測

解析に使用するには誤差をウェーハレベルの

データに変換する必要があった。この変換は、

ウェーハあたりの測定チップ数でチップレベ

ルデータの分散フォームを除算することに

よって概算された。

PSテスト:ゲート抵抗PS テストでは、窒化膜スペーサープルダウン

とゲート抵抗の相関が見られた。ゲート抵抗

はゲート抵抗パラメータ PCN_Rs (NFET の場

合)およびPCP_Rs(PFETの場合)によって測定

した。NFET、PFET それぞれのスペーサープ

ルダウンと、それぞれのゲート抵抗を比較し

た。結果を図 3 に示す。窒化膜プルダウンは

スキャトロメトリモデルでは負の数として定

義されたため、各グラフの左側が多くのプル

ダウンを示す。

Chrome (for decoration)

Implanted Poly

Oxide Spacer

Oxide

Gate Poly

Implanted SOI

SOI

Buried Oxide not shownx/y scale intentionally altered

x/y scale intentionally altered

NFET PFET

Nitride Spacer

図 1:この実験に使用された、NFET および PFET スペーサー構造の XSEM 画像。最も特徴的な部分にラベル付けされている。XSEM サンプルの準備時にクロム処理がされたが、スキャトロメトリまたは電気測定時にはクロムは残存していなかったことに注意。x/y スケールは意図的に変更されている。

Nitride Pulldown

Implanted Poly Height

Gate Poly Height

Gate Poly MCD

Nitride Spacer Width

Implanted SOI Thickness

SOI Height

x/y scale intentionally altered x/y scale intentionally altered

NFET PFET

Nitride Spacer Thickness

図 2:この実験で使用された NFET および PFET スキャトロメトリモデルの概略図。異なるパラメータが示されている (NFET は 7、PFET は 8)。これらの画像では、x/y スケールは意図的に変更されている。

表 1: NFETおよび PFETのスキャトロメトリモデルの短期動的 3シグマ精度データ。重要なパラメータの精度データのみを示す。PFETデータは、観察されたフライヤを含むものと含まないものを示す。

Page 35: Yms08 issue1 japanese

2008 | 第 1号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

測定学

パーセンテージメトリックス、

データペア数、および R2 と共

に、TPE、CPE、 お よ び CPQ の x

と y の両方を各グラフの下に示

す。データは TPE { CPE を示し

ている。つまり、電気測定誤差

はごくわずかである。また、TPE

および CPE は、窒化膜プルダ

ウンから、NFET では 0.68 ohm/

square 以内、PFET では 1.4 ohm/

square以内のゲート抵抗が予測で

きることを示している。グラフ

の CPQ 範囲は約 3 ~ 4 であった。

これは、良好な相関を示すのに

十分なデータ範囲に対応するも

のである。

物理的解釈により実験データを

説明すると次のようになる。プ

ルダウンが増加すると、より多

くのゲートが露出され、その後

シリサイド化される ( 酸化膜ス

ペーサーはシリサイド化前に除

去される )。シリサイドの導電率

は高いため、シリサイド化が多

く行われるほど、ゲート抵抗は

減る。

M1テスト:ゲート抵抗ゲート抵抗は M1 テストでも測

定され、図 4 に示すように窒化

膜スペーサープルダウンとの相

関が確認された。TPE { CPE であ

るため、電気測定誤差はごくわ

ずかである。TPE および CPE は

窒化膜プルダウンから NFET で

は 0.73 ohm/square 以内、PFET で

は0.82 ohm/square以内のゲート

抵抗であると予測できることを

示している。CPQ 範囲は約 3 ~

約 4 であり、ここでも強い相関

ではないが良好な相関を示すの

に十分なデータ範囲に対応した。

この相関の物理的な解釈は PS テ

ストでゲート抵抗を測定したと

きの解釈と同じである。

図 5 では、M1 テストで測定され

たゲート抵抗とポリ MCD とを比

較した。繰り返しになるが、TPE

{ CPEであり、両方のメトリック

ス は ポ リ MCD か ら NFET で は

0.88 ohm/square 以内、PFET では

1.3 ohm/square 以内のゲート抵

抗が予測できることを示した。

CPQ ( 約 2 ~ 3) は窒化膜プルダ

ウン比較よりもやや低く、デー

more pulldown more pulldownPCP_

Rs (0

.5 o

hm/s

quar

ein

crem

ents

)

NFET Nitride PD vs. PSPCN_Rs (median of 15 chips)

nitride pulldown (10nm increments) nitride pulldown (5nm increments)

PFET Nitride PD vs. PSPCP_Rs (median of 15 chips)

TPEx5.3

CPEx5.3

CPQx3.2

TPEy1.4

CPEy1.4

CPQy2.9

%Vx0.15

%Vy0.06

%Vother99.80

data pairs13

R^20.64

PCN

_Rs

(0.5

ohm

/squ

are

incr

emen

ts)

%Vx0.04

%Vy0.25

%Vother99.71

data pairs13

R^20.76

TPEx16.5

CPEx16.5

CPQx3.5

TPEy0.68

CPEy0.68

CPQy3.9

図 3:PS テスト時の、NFET (左 ) および PFET (右 ) の窒化膜プルダウンとゲート抵抗 (PCN_Rs および PCP_Rs)。窒化膜プルダウンは、スキャトロメトリモデルでは負の数値として定義され、より多くのプルダウンが左にシフトして示される。

more pulldown more pulldownPCP_

Rs (0

.5 o

hm/s

quar

ein

crem

ents

)

NFET Nitride PD vs. M1PCN_Rs (median of 23 chips)

nitride pulldown (10nm increments) nitride pulldown (5nm increments)

PFET Nitride PD vs. M1PCP_Rs (median of 23 chips)

TPEx3.6

CPEx3.6

CPQx4.2

TPEy0.82

CPEy0.82

CPQy4.0

%Vx0.21

%Vy0.11

%Vother99.68

data pairs16

R^20.79

PCN

_Rs

(0.5

ohm

/squ

are

incr

emen

ts)

%Vx0.01

%Vy0.14

%Vother99.85

data pairs16

R^20.64

TPEx22.2

CPEx22.2

CPQx2.7

TPEy0.73

CPEy0.73

CPQy3.2

図 4:M1 テスト時の、NFET (左 ) および PFET (右 ) の窒化膜プルダウンとゲート抵抗 (PCN_Rs および PCP_Rs)。窒化膜プルダウンは、スキャトロメトリモデルでは負の数値として定義され、より多くのプルダウンが左にシフトして示される。

PCP_

Rs (0

.5 o

hm/s

quar

ein

crem

ents

)

NFET Poly MCD vs. M1PCN_Rs (median of 23 chips)

Poly MCD (1nm increments) Poly MCD (1nm increments)

PFET Poly MCD vs. M1PCP_Rs (median of 23 chips)

TPEx2.6

CPEx2.6

CPQx1.9

TPEy1.3

CPEy1.3

CPQy2.4

%Vx0.01

%Vy0.04

%Vother99.95

data pairs16

R^20.40

PCN

_Rs

(0.5

ohm

/squ

are

incr

emen

ts)

%Vx0.01

%Vy0.10

%Vother99.90

data pairs16

R^20.49

TPEx2.0

CPEx2.0

CPQx2.0

TPEy0.88

CPEy0.88

CPQy2.7

図 5:M1 テスト時の、NFET (左 ) および PFET (右 ) のゲートポリ MCD とゲート抵抗 (PCN_Rs および PCP_Rs)。

Page 36: Yms08 issue1 japanese

2008 | 第 1号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

測定学

タ範囲は一定の相関を示すのに十分であることを示した。

物理的解釈によりこれらの相関はうまく説明される。つま

り、ゲート CD の露出が広範囲であれば、その後シリサイド

化されるゲート上部の表面領域が広くなり、ゲート抵抗が

低くなる。

M1テスト: Lpoly

Lpoly は M1 テストのゲート長のキャパシタンスベースの電

気測定値で、ゲートポリ MCD のスキャトロメトリ測定と相

関関係にあることがわかっている (図 6)。CPE は TPE よりも

やや小さいため、電気測定誤差は小さいが、全体の誤差には

大きな影響があることが判った。ポリ MCD 測定値により、

NFET と PFET の両方で Lpoly 測定値を 1.5 nm 以内で予測で

きた。NFET の CPQ は約 2 ~ 3 であり、データ範囲はある程

度の相関を示すのには十分である。また、PFET の CPQ は約

5であり、良好な相関を示すのに十分である。Lpoly測定は物

理ゲート長と高い相関を持つ測定値であり、スキャトロメト

リでのポリ MCD 測定においても

同様な相関がみられる。

M1テスト:トランジスタ電流図 7 は、窒化膜プルダウン測定

に相関する M1 テストにおける

NFET 電流 (nIon) を示す。CPE は

TPE よりもやや小さいだけであ

ることがわかっている。窒化膜

プルダウン測定から NFET トラ

ンジスタ電流は約 40 µA/µm 以内

と予測され、CPQ 値は約 2 ~ 3

であるためそのデータ範囲が一

定の相関を示すのに十分である

ことが示された。実験データは

以下のように解釈できる。つま

り、窒化膜プルダウンの増加は

スペーサーのオーバーエッチン

グによるものであり、イオン注

入された SOI レイヤの上部で酸

化膜の損失が増える原因となる。この酸化膜層によりソー

ス-ドレインのドーパントの外部への拡散が減少するた

め、酸化膜が薄いとドーパントの外部への拡散が増加する。

ソース - ドレーンのドーパントが減ると導電率が下がり、

NFETソース-ドレーンを通過する電流(nIon)が小さくなる。

PFET のドーパントの違いが原因で、pIon と PFET 窒化膜プ

ルダウン間に同様の相関は見られなかった。

結論

予測解析手法を使用して、ある測定値(従属変数)を他の測

定値(独立変数)を基に予測し、予測された測定値の誤差を

抑制した。

スキャトロメトリを使用して複雑な NFET および PFET ス

ペーサー構造をモデル化した。スキャトロメトリ測定値は、

構造的な変動と電気的パラメータ間の関係を調べるために

通常のプロセスウィンドウよりも大きなパラメータレンジ

を持つ評価ウェーハにより取得した。ゲート抵抗 Lpoly の電

気測定値とトランジスタ電流 (Ion) は、窒化膜スペーサープ

ルダウンおよびゲートポリ MCD のスキャトロメトリ測定値

と相関関係にあった。それぞれのケースで、3 シグマの信頼

水準でスキャトロメトリ測定値を電気測定値の予測判断材

料として使用できる。スペーサーインラインを測定するこ

とによりデバイス性能を予測できれば、デバイス性能と歩

留まりを向上させることができる。

この研究では、また、電気測測定が複雑な構造のスキャト

ロメトリ測定の品質を検証するために適した方法であるこ

とを示している。適切な高スループットの基準測定システ

ムがない、複雑な構造のパラメータ測定を検証するものと

して電気測定がより一般的になると考えられる。

more pulldown

NFET Nitride PD vs. M1 nlon(median of 8 chips)

nitride pulldown (10nm increments)

nl o

n (2

5µA

/ µm

incr

emen

ts)

%Vx0.03

%Vy0.79

%Vother99.19

data pairs10

R^20.51

TPEx26.7

CPEx26.6

CPQx2.0

TPEy39.9

CPEy39.7

CPQy2.7

図 7:M1 テスト時の、NFET (左 ) および PFET (右 ) のゲートポリ MCD とゲート抵抗 (PCN_Rs および PCP_Rs)。

pLpo

ly (1

nm in

crem

ents

)

NFET Poly MCD vs. M1 nLpoly (median of 15 chips)

Poly MCD (0.5nm increments) Poly MCD (0.5nm increments)

PFET Poly MCD vs. M1 pLpoly (median of 15 chips)

TPEx0.92

CPEx0.90

CPQx5.1

TPEy1.54

CPEy1.50

CPQy5.5

%Vx0.12

%Vy4.78

%Vother95.10

data pairs13

R^20.87

nLpo

ly (1

nm in

crem

ents

)

%Vx0.03

%Vy5.35

%Vother94.63

data pairs13

R^20.59

TPEx1.4

CPEx1.3

CPQx2.3

TPEy1.5

CPEy1.4

CPQy3.1

図 8:M1 テスト時の、NFET (左 ) および PFET (右 ) のゲートポリ MCD とゲート抵抗 (PCN_Rs および PCP_Rs)。

Page 37: Yms08 issue1 japanese

2008 | 第 1号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

測定学

謝辞

この論文は、SPIEの会議記録『2007Metrology,Inspection,andProcess

ControlforMicrolithographyXXIConference』(Vol.6518)に収録されて

いる同名の表題の SPIE 発表内容をベースにしている。

予測解析の開発に関して優れた見識とアドバイスをいただいたこ

とについて IBM の ChasArchie 氏に、多くのデータの収集と解析に

ついてIBMのBlazeMesser氏に、スペクトルの収集についてIBMの

RonFiege氏およびClemBottini氏に、電気テスタツールのマッチン

グデータについて IBM の Ben Himmel 氏に感謝する。最後に、薄

膜の光学定数の抽出についてご支援いただいたKLA-Tencorの Jesus

Rivas 氏に感謝する。

参考文献

1.M.SendelbachandC.Archie,“Scatterometrymeasurementprecision

andaccuracybelow70nm,”Metrology,Inspection,andProcessControlfor

MicrolithographyXVII,DanielJ.Herr,Editor,ProceedingsofSPIE,Vol.

5038,pp.224–238,2003.

2.M.Sendelbach,C.Archie,B.Banke,J.Mayer,H.Nii,P.Herrera,and

M.Hankinson,“CorrelatingscatterometrytoCD-SEMandelectricalgate

measurementsatthe90nmnodeusingTMUanalysis,”Metrology,Inspection,

andProcessControlforMicrolithographyXVIII,RichardM.Silver,Editor,

ProceedingsofSPIE,Vol.5375,pp.550–563,2004.

3.M.Sendelbach,A.Munoz,K.Bandy,D.Prager,andM.Funk,“Integrated

scatterometryinhighvolumemanufacturingforpolysilicongateetchcontrol,”

inMetrology,Inspection,andProcessControlforMicrolithographyXX,Chas

N.Archie,Editor,ProceedingsofSPIEVol.6152(SPIE,Bellingham,WA,

2006),Article61520F.

4.E.Solecky,C.Archie,andB.Banke,“NewComprehensiveMetricsand

MethodologyforMetrologyToolFleetMatching,”inMetrology,Inspection,

andProcessControlforMicrolithographyXIX,RichardM.Silver,Editor,

ProceedingsofSPIEVol.5752(SPIE,Bellingham,WA,2005),pp.248–258.

Page 38: Yms08 issue1 japanese

2008 | 第 1号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

最先端膜計測装置の Alerisファミリーは、モニタウェーハと製品ウェーハの両方で高度なプロセスを極めて正確に制御することが可能であり、高い信頼性を維持できます。新世代の広帯域分光エリプソメトリ (BBSE)光学系や、様々な高機能オプションを自由に構成することによって、膜厚のみならず、膜組成や膜のストレスを測定でき、新しい材料、構造、および加工ウェーハを使用した最先端薄膜を効率よく評価し、監視できます。また、高いツール間マッチングは、多くのファブアプリケーションでの共有化、処理能力の柔軟性、利用効率の向上を実現し、計測装置の所有コスト (CoO)も大幅に低減します。さらに、Alerisファミリーは、共通のレシピ、トレーニング、ソフトウェア、およびスペア部品を利用することにより、ファブの垂直立ち上げを可能とし、利用効率の向上を実現します。

Aleris 8500、8350、8310高度な膜計測装置

製品ニュース

Aleris 8500には、45nm以降のノードの新しい材料とデバイス構造に関する高度なアプリケーションの膜厚および膜組成条件を満たす最適な測定性能とテクノロジが備わっています。重要なゲートプロセスの管理を、量産時に 1台のツールで監視する業界初のソリューションです。

新世代の BBSE光学系により、精度、マッチング、および安定性が向上 •

新しい 150nm BBSEで高感度の膜組成測定が可能•

新しい StressMapper• ™テクノロジにより、高感度・高スループットで、重要な BEOL膜および FEOL膜のウェーハ全体のストレス均一性を 2Dにて管理

Aleris 8350は、膜厚、屈折率 (RI)、およびストレス測定の厳しいプロセス許容値に対応するための最適な製品ウェーハ膜計測ツールです。Aleris 8350は、拡散、CVD、エッチングなどの幅広いアプリケーションに対応しています。

旧世代よりも向上したスループットと信頼性•

膜厚計測精度が旧世代のモデルの最大 2倍に向上、RIマッチングが最大 4倍に向上•

高い感度と分解能による 2D局部ストレスマッピング•

Aleris 8310は、多層膜計測アプリケーションに適した高信頼性、高スループットの量産向けソリューションです。この製品ウェーハ薄膜計測装置は、ファブの拡大するニーズに合わせて拡張可能であり、特に CMPおよびリソグラフィモジュールの多層膜と SOIウェーハメーカに適しています。

スループットと信頼性が 30~ 60%向上したことにより、高いサンプリングレートと低い CoOが実現 •

MTBFが旧世代よりも最大 70%高まり、信頼性が向上•

Page 39: Yms08 issue1 japanese

2008 | 第 1号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

SensArrayエッチング測定システム

微小パターンレイヤで使用されるエッチングプロセスチャンバは、プロセス均一性を保つために、頻繁に監視し、定期点検を行う必要があります。プロセスまたはチャンバ間のわずかな差異がデバイスの歩留まりと性能に影響を及ぼす可能性があるからです。半導体メーカやエッチング装置のサプライヤは、KLA-Tencor の 取り扱い容易なPlasmaWafer™ Suiteの測定ツールを使用して、プラズマエッチングチャンバの稼動状態を確認し、ドリフト、均一性、チャンバマッチングのわずかな差異などの問題を速やかに特定できます。PlasmaWafer Suiteで得たデータを KLA-Tencorの検査装置および計測装置の結果と結合することにより、半導体メーカは新しいタイプのプロセス情報、すなわちウェーハ表面の情報を入手でき、エッチング装置の稼動状態を的確に把握できます。

KLA-Tencorの PlasmaWafer Suiteは、ウェーハの表面で温度とプラズマの電圧を直接測定できる PlasmaTemp™およびPlasmaVolt™と呼ばれる高精度の SensorWafersから構成されています。この情報に基づいて、RF電源供給システムなどのチャンバの重要なコンポーネントの問題を速やかに診断できます。トラブルシューティングプロセスをサポートし、エンジニアが問題の根本原因を究明できるように支援するために、PlasmaWafer Suiteには、PlasmaSuiteデータ解析パッケージと呼ばれる高度な診断モジュールが組み込まれています。このモジュールには、統計的工程管理 (SPC)、サブシステムレベルのトラブルシューティング、および重要なプロセスパラメータの詳細な特性評価の各種機能が備わっています。SensorWafersは KLA-Tencor独自の計測用FOUP 「SmartFOUP360ez」を使用することで、量産ウェーハやモニタウェーハと同様にチャンバにロードできます。

製品ニュース

特長

コスト効率のよい再利用可能な測定ツールにより、プラズマエッチングチャンバの稼動状態を確認可能

複数の工程の複雑なプロセスにおけるドリフト、均一性、チャンバマッチングのわずかな差異などの問題を速やかに特定

メインテナンス、修復、およびクォリフィケーションの時間を大幅に短縮可能

高コストのテストウェーハの消費を減らし、エッチング装置のアップタイムと可用性を向上させることによって製造コストを低減可能

Page 40: Yms08 issue1 japanese

2008 | 第 1号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

WaferSight 2先端ウェーハの表面形状測定への解決

製品ニュース

WaferSight 2システムは、ICメーカおよびウェーハメーカでのはベアウェーハの厳しいフラットネス仕様に対応にします。厳しいウェーハフラットネスの管理はフォトリソプロセスにおける焦点深度の課題を克服するために重要な課題です。このシステムの両面干渉計は、ウェーハ厚み、シェープ、フラットネスなどの重要なウェーハ形状パラメータを測定できます。WaferSight 2では、高品質の光学系で 3Dデータを取り込むことにより、業界で最高レベルのナノトポグラフィおよびエッジロールオフ計測を行います。この優れた光学系によって装置間機差の向上も促進されます。

WaferSight 2システムの正確なフラットネス平坦度測定は、複数の世代にわたって先端ウェーハの形状への要求を可能にし、より高い経済効果が得られます。また、このシステムは生産性の高い設計になっているので、サイクル時間の短縮、プロセスでの待ち時間の短縮、設備の利用効率の向上が可能です。

特長

高分解能のウェーハフラットネス、ウェーハ厚み、およびシェープ形状測定により、最先端デザインルールに対応が可能

装置間機差の向上によって、最先端のベアウェーハ品質管理での信頼性が向上

不自然なエラーが無いナノトポグラフィデータを使用した CMP処理後の膜厚均一性制御

エッジロールオフ計測によってエッジでのチップ歩留まり向上

WaferSight 2の優れたウェーハ形状測定による高い経済効果

Page 41: Yms08 issue1 japanese

2008 | 第 1号 歩留まり管理ソリューション | www.kla-tencor.com/ymsmagazine

1

2

3

4

5

6

7

8

9

10

11

12

13

14

15

16

17

18

19

20

21

22

23

24

25

26

27

28

29

30

31

32

33

34

35

36

37

38

39

40

41

TeraFabポートフォリオは、ウェーハファブが行う受入れ検査および歩留まりを低下させ製造リスクを高める異物をチェックするための再検査に使われるレチクル検査システムのファミリです。TeraFabシステムは、ロジックファブやメモリファブ、さらにさまざまなマスク世代の異なる検査要求に合わせて 3つの構成で提供されます。これらの構成から、半導体メーカはそれぞれの検査要求に適したコスト効率が最適化されたマスク品質管理ツールを選択できます。業界ですでに広く採用されている STARlight2テクノロジにアルゴリズムの改良を加えて誕生した新しい TeraFabシステムは、市場をリードする KLA-Tencorの TeraScanプラットフォームの機能拡張版です。STARlight2は量産フォトマスク上の結晶成長と進行性欠陥を検出します。これらは、時間が経過するにつれてデバイスの性能と信頼性に大きな影響を与える歩留まりキラー欠陥として重視されています。新しい STARlight2+アルゴリズムは、STARlight検査テクノロジを改良したものであり、65nmおよび 45nmノードの量産と 32nmノードの開発に対応できます。

TeraFabポートフォリオファブ向けの包括的なレチクル品質管理ソリューション

製品ニュース

ポートフォリオの特徴

3つの新しい構成によって柔軟性が高まり、半導体メーカはコスト効率が最適化されたマスク品質管理方法を構築できます• STAR• light2+テクノロジの改良点は、システムの複数のピクセルサイズすべてに適用されているので、広範囲のテクノロジノードに対応でき、最も微細な最先端の 72nmピクセルでの検査も可能ですこの STAR• light2+テクノロジでは、旧世代の STARlight2に比べてより大きな欠陥とより微細な欠陥の両方を検出できます検査性能の向上により、これらのシステムでは同等の感度でより大きなピクセルサイズを使用できるので、一検査あたりのコ• スト (CPI)の低減につながります新しい STAR• light2のパターン登録機能とレンダリング機能により、基本パターンおよびアシストパターン (SRAF)から欠陥を分離できるので、より広範囲の欠陥に対して感度を高め、キャプチャレートの向上につながります

TeraFab SLQ-1X

所有コスト (CoO)が最も優れた高スループットシステム • 新しい STAR• light2+アルゴリズムテクノロジを採用必要に応じて微細なピクセルに移行可能• ロジックファブの再検査で一般的なシングルダイの検査に最適 •

TeraFab SLQ-2X

最も高性能の STAR• lightシステム特定のファブアプリケーションに対応する最も柔軟な構成• 新しい STAR• light2+アルゴリズムと最も微細なピクセルによって最高の感度を実現受入れ品質管理 (IQC)および再検査に適用•

TeraFab Q-3X

フラッシュメモリを含むメモリチップメーカ向けの構成• die-to-die検査に適した感度と性能を備えた専用のシステム• 複数のダイの再検査および受入れ品質管理 (IQC)に最適•