Sistemas Digitales23357
Carlos A. Angulo J.
MULTIPLEXORES (MUX)
Selector de Datos
Efectúa la función de un conmutador rotatorio,
seleccionando una de varias entradas para conectar a
una sola salida, haciendo esto por medio de líneas de
selección o de control.
Sistemas Digitales23357
Carlos A. Angulo J.
MUX de 2 y 4 entradas
Selecciona una línea de datos de entrada Di de
acuerdo al valor de los bits de selección Si.
MUX
2-1
MUX
4-1
Líneas de Selección
S1-S0
EntradaSeleccionada
O
0 0 D0
0 1 D1
1 0 D2
1 1 D3
Línea de Selección
S0
EntradaSeleccionada
O
0 D0
1 D1
Sistemas Digitales23357
Carlos A. Angulo J.
APLICACIONES
Comunicación serial
Enrutamiento de datos
MUXEN
0
1
2
0
1
2
3
4
5
6
7
G0
7
Canal de
salida
EN
S 0
S 1
S 2
Canal de Inf. 1
Canal de Inf. 2
Canal de Inf. 3
Canal de Inf. 4
Canal de Inf. 5
Canal de Inf. 6
Canal de Inf. 7
Canal de Inf. 8
Sistemas Digitales23357
Carlos A. Angulo J.
Multiplexores Comerciales
74150 16-to-1 MUX
74151 8-to-1 MUX
74152 8-to-1 MUX with Inverting Outputs
74251 8-to-1 MUX with Tri-State Outputs
74153 Dual 4-to-1 MUX
74253 Dual 4-to-1 MUX with Tri-State Outputs
74352 Dual 4-to-1 MUX with Inverting Outputs
74353 Dual 4-to-1 MUX with Tri-State Inv.Outputs
74157 Quad 2-to-1 MUX
74257 Quad 2-to-1 MUX with Tri-State Outputs
74158 Quad 2-to-1 MUX with Inverting Outputs
74258 Quad 2-to-1 MUX with Inv.Tri-State outputs
Sistemas Digitales23357
Carlos A. Angulo J.
Mux de 8 entradas de 1 bit (74x151)
Sistemas Digitales23357
Carlos A. Angulo J.
Mux de 2 entradas de 4 bits
Sistemas Digitales23357
Carlos A. Angulo J.
Expansión de multiplexores
Sistemas Digitales23357
Carlos A. Angulo J.
Implementación de funciones con MUX
Variables de entrada = Líneas de selección
X Y Z F
0 0 0 0
0 0 1 1
0 1 0 0
0 1 1 0
1 0 0 1
1 0 1 0
1 1 0 1
1 1 1 1
Z
Y
X
LSB
0
1
0
0
1
0
1
1
0
Sistemas Digitales23357
Carlos A. Angulo J.
Implementación de funciones con MUX
Variables de entrada = Líneas de selección + 1
W X Y Z F
0 0 0 0 0
0 0 0 1 1
0 0 1 0 0
0 0 1 1 1
0 1 0 0 1
0 1 0 1 1
0 1 1 0 0
0 1 1 1 0
1 0 0 0 0
1 0 0 1 0
1 0 1 0 0
1 0 1 1 0
1 1 0 0 1
1 1 0 1 0
1 1 1 0 1
1 1 1 1 0
Z
Z
1
0
0
0
Z’
Z’
W X Y F
0 0 0Z
0 0 0
0 0 1Z
0 0 1
0 1 01
0 1 0
0 1 10
0 1 1
1 0 00
1 0 0
1 0 10
1 0 1
1 1 0Z’
1 1 0
1 1 1Z’
1 1 1
Sistemas Digitales23357
Carlos A. Angulo J.
Implementación de funciones con MUX
Variables de entrada = Líneas de selección + 2
W-X: Selección
Y-Z: Simplificar
W X Y Z F
0 0 0 0 0
0 0 0 1 1
0 0 1 0 0
0 0 1 1 1
0 1 0 0 1
0 1 0 1 1
0 1 1 0 0
0 1 1 1 0
1 0 0 0 0
1 0 0 1 0
1 0 1 0 0
1 0 1 1 0
1 1 0 0 1
1 1 0 1 0
1 1 1 0 1
1 1 1 1 0
Sistemas Digitales23357
Carlos A. Angulo J.
Implementación de funciones con MUX
Variables de entrada = Líneas de selección + 2
W X Y Z F
0 0 0 0 0
0 0 0 1 1
0 0 1 0 0
0 0 1 1 1
0 1 0 0 1
0 1 0 1 1
0 1 1 0 0
0 1 1 1 0
1 0 0 0 0
1 0 0 1 0
1 0 1 0 0
1 0 1 1 0
1 1 0 0 1
1 1 0 1 0
1 1 1 0 1
1 1 1 1 0
Z
Y’
0
Z’
Sistemas Digitales23357
Carlos A. Angulo J.
VHDL Mux 4-1 (Entradas de 4 datos)
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity mux_4_1_4in is
Port( SEL : in STD_LOGIC_VECTOR(1 downto 0);
D0, D1 : in STD_LOGIC_VECTOR(3 downto 0);
D2, D3 : in STD_LOGIC_VECTOR(3 downto 0);
YY :out STD_LOGIC_VECTOR(3 downto 0));
end mux_4_1_4in;
Sistemas Digitales23357
Carlos A. Angulo J.
VHDL Mux 4-1 (Entradas de 4 datos)
architecture forma_correcta of mux_4_1_4in is
begin
with SEL select
YY <= D0 when "00",
D1 when "01";
D2 when "10";
D3 when others;
end forma_correcta;
Sistemas Digitales23357
Carlos A. Angulo J.
X X X
architecture NO_MUY_BIEN of mux_4_1_4in is
Begin
YY <= D0 when SEL = "00" else
D1 when SEL = "01" else
D2 when SEL = "10" else
D3;
end NO_MUY_BIEN;
De esta forma se introduce
prioridad en el circuito, lo
cual no es correcto para
este diseño
Sistemas Digitales23357
Carlos A. Angulo J.
Actividad de clase
Muestre como construir cada una de las siguientes
funciones lógicas usando multiplexores 2 a 1. Realice
el diseño, luego haga la respectiva descripción en
VHDL y simule su correcto funcionamiento.