16
関西FPGA・DE0勉強会 20120519 ISE (@iseroid) コマンドラインでFPGAプロジェクト ~Quartus, SOPC Builder編~

第1回関西fpga・de0勉強会 コマンドラインでfpgaプロジェクト~quartus, sopc builder編~

  • Upload
    iseroid

  • View
    1.814

  • Download
    2

Embed Size (px)

DESCRIPTION

2012/05/19

Citation preview

  • 1. FPGAQuartus, SOPC Builder ISE (@iseroid)FPGADE0 20120519

2. ISE (@iseroid) XilinxISE http://iseroid.blogspot.jp/ FPGA(Cyclone, Stratix, Spartan, Virtex) FPGA, Verilog, NiosII, Linux, Cygwin,Android, (PIC, AVR, H8, Cortex-M),ZigBee, USB, RubyFPGADE0 20120519 3. FPGA (Altera, Verilog & SOPC(NiosII)) (ModelSim)(SignalTapII, Content Editor)FPGADE0 20120519 4. ?! Linux PCSSHVimMake GUI() WindowsLinux()USB LAN Linux PCWin NotePCFPGADE0 20120519 5. ? Windows NiosII Command Shell QuartusCygwinbash Linux .../nios2eds/nios2_command_shell.sh tcshFPGADE0 20120519 6. hw/(Verilog) Quartus .qps, .qsf, .sopc, .vMegacorebsp/ BSP settings.bspSOPCfw/ NiosII On-Chip SOPCRAMComponent FW Makefile, .c, .hFPGADE0 20120519 7. SOPCWizardMegaWiz (GUI) ard .qpf, .qsf.vquartus_sh.sofnios2-configure-sofFPGADE0 20120519 8. SOPC .qpf, .qsf, .vhw/ GUIQuartusMegaWizard hw/$ quartus_sh --flow compile hoge map, fit, sta, asm hw/$ nios2-configure-sof hoge.sof JTAGFPGAprogram quartus_pgmOKFPGADE0 20120519 9. SOPC(NiosII) SOPCBuilder(GUI) .sopcsopc_builder.qpf, .qsf .v.v, etc.(SOPC) .sopcinfo quartus_sh.sofFPGADE0 20120519 10. SOPC(NiosII) GUISOPC Builder .sopc hw/$ sopc_builder --generate hoge.sopc .sopcgenerate LinuxX Window Systemorz Generatejava, perl, make KasperskyCygwin ()FPGADE0 20120519 11. NiosII .sopcinfosettings.bsp eclipsenios2-bsp-generate- BSP files Makefile(BSP)HALMakefile(FW) .c, .hFWmake .elfFPGADE0 20120519 12. NiosII NioseclipseBSP&FW BSPsettings.bspFWMakefile bsp/$ nios2-bsp-generate-files --settings=settings.bsp--bsp-dir=. SOPCBSP fw/$ make download-elf sofconfigureFWJTAG fw/$ nios2-terminal JTAG UART()FPGADE0 20120519 13. FWOn-Chip RAMBSPMakefile(FW) .c, .hmake.qpf, .qsf .hex .v .v, etc.(SOPC) quartsu_sh .sofFPGADE0 20120519 14. FWOn-Chip RAM fw/$ make mem_init_install fw/Makefilehw/QUARTUS_PROJECT_DIR = ../hw/ hw/hoge.hex hw/$ quartus_sh --flow compile hoge hoge.hexsof ? SOFprogramNiosIIFW pofFPGADE0 20120519 15. eclipsemake mem_init_install MakefileQUARTUS_PROJECT_DIR -[Make Targets]-[Build...] mem_init_install[Build]FPGADE0 20120519 16. GUI sopc_builder, nios2-bsp-generate-files, make, quartus_sh,nios2-configure-sof, nios2-terminal Lets enjoy CUI!FPGADE0 20120519