160
奈米科技暨微系統工程研究所 碩士論文 利用面型微加工製作單細胞生物晶片平台 Using Surface Micromachining Implement Single Cell Biochip Platform 研究生:盧彥池 指導教授:黃榮俊、羅錦興 中華民國九十六年六月

Etd 0724107 181445

Embed Size (px)

Citation preview

  • 1. Using Surface Micromachining ImplementSingle Cell Biochip Platform

2. (Patch Clamp)(pipette)(voltage clamp) (action potential) BOE 1m 7m BOSCH ICP I 3. Abstract Patch clamp is a well-developed electrophysiological recording techniqueused to study ion channel function and regulation. The conventional method of performing patch clamp technique employs a glass micropipette onto the cell bymanual manipulation. Despite this technique is extremely sensitive andinformation-rich, but requires a highly-skilled operator and is limited inthroughput. Voltage clamp that determine the behavior of the ion channelconductance responsible for the generation of the action potential is the anothermethod to record the flow of ionic current across the cell membrane. The method is held a constant membrane potential while the ionic current flowing throughthe membrane is measured.This research continue the achievement of our laboratory. We not only replace traditional patch clamp of glass electrode by patch clamp chip, but also improveits efficacy, field and cost down. In this research we reduce the patch hole below1m using the method of changing structure material, process flow, and an additional process of BOE dipping. Then the accurate rate could be arised. Bythis we also reduce the whole structure thickness of the chip identically whichcan make local series resistance lower and stablely. Besides in the process wecancel the BOSCH ICP that has expensive patent cost, and replace bulkmicromachining process by surface micromachining like process to raise filed,hence this chip would have much more competition potential. II 4. KeywordsMEMSElectrophysiologyPatch ClampIon Channel III 5. IV 6. Happy V 7. e-beamVI 8. ..............................................................................................................IAbstract ....................................................................................................II ...........................................................................................................IV .........................................................................................................VII .....................................................................................................XI ..................................................................................................XIV 1.1 ...........................................................................................1 1.2 ...............................................................1 1.3 .................................................................. 4 1.4 ....................................................................11 1.5 ................................................................................12 1.6 ..................................................................................13 1.6.1...............................................................13 1.6.2...............................................16 1.7 ....................................................................................33 1.8 ..................................................................................36 2.1 ............................................................................................37 2.2 ......................................................37 2.3 ................................................................38 2.3.1.......................................................................39 2.3.2 .................................................................40 VII 9. 2.3.3 ...........................................412.3.4 ...............................................................412.3.5 ...................................................................422.3.6 ...........................................422.3.7 ...................................................43 2.4 ................................................................43 2.5 ..........................................................442.5.1 ...........................................................442.5.1.1 .........................................................................442.5.1.2 .............................................................452.5.1.3 .....................................................................462.5.1.4 .............................................................462.5.2 .................................................472.5.3 ........................................................482.5.4 ....................................................................492.5.5 ................................................51 2.6 .....................................................................512.6.1 ....................................512.6.2 ........................................................53 2.7 .................................................................................532.7.1 ........................................................532.7.2 ........................................................552.7.3 ................................................56 3.1 ....................57 3.2 ................................................................633.2.1 .......................................................................643.2.2 ...............................................................70VIII 10. 4.1 RCA clean ................................................................................754.2 .........................................................................................754.3 .................................................764.4 SiO y ..............................................774.5 SiN x ..............................................774.6 .....................................784.7 .............................................794.8 TMAH ............................................................................804.9 .....................................854.10 ...........................................874.11 .....................................................................884.12 .........................................884.13 .........................................................................904.14 .............................................904.15 PECVD ..................................................934.16 ............................................................................100 5.1 C 4 F 8 ................................................................1015.2 TMAH ...................................................................1025.3 ICP .........................................................1035.4 ................................................................1045.5 ....................................................................1045.6 ........................................................................106 .......................................................................................108 ARCA clean ...............................................................113 B ......................................................115 IX 11. C ..................................................................121 D .................................................................127 E ..................................................................135 F ........................................................................141 X 12. 1.1 SEM 100m 15m .........7 1.2LIGA 38m NiFe ........7 1.3 ....................................................................8 1.4 SU-8 ......................................................8 1.5 ............................................................8 1.6 ..........................................................................................9 1.7........................................................................................9 1.8 .................................................................9 1.9 ...........................................................................................10 1.10 .............................................................................................10 1.11 ..............................................................................................10 1.12 ...................................................................16 1.13 ...............................................16 1.14 ..................................17 1.15 ....................................................................17 1.16 .............................................................................17 1.17 .............................................................18 1.18 ....................................................................................18 1.19 PDMS SEM .................................19 1.20 PDMS ..................................................20 1.21 SEM ....................................................20 1.22 ......................................................21 1.23 SEM ...............................................................21 1.24 SEM ...................................................21 1.25 ..........................................................................22 1.26 SEM ...................................................................................22 1.27 3m ......................................................................................23 1.28 2.5m ...................................................................................23 1.29 1m ..............................................................................23 1.30 ..................................................................23 1.31 ..........................................................24 1.32 SEM ............................................24 1.33 PECVD SEM ....25 1.34 .........................................................25XI 13. 1.35................................................................26 1.36 .....................................................................26 1.37 SEM .....................................................26 1.38 ..................................................................27 1.39 ...........................................................................27 1.40 SEM ....................................................27 1.41 ..........................................................................28 1.42PECVD SEM .................................................................29 1.43PECVD ...........................................................29 1.44SOI SEM ..................30 1.45LTO .........................................................31 1.46LTO .................................................................31 1.47LTO .................................................................32 1.48LTO SEM .......................................................32 1.49 .....................................................................................36 2.1 ..............................................................................38 2.2 ......................................................................39 2.3 ......................................45 2.4 ..........................................46 2.5 .................................................................52 2.6 .................................................................54 2.7 .................................................................55 2.8 ..................................................................56 3.1 ..........................................................................60 3.2 TMAH .................................................66 3.3-(a) -1 ...............................................................................67 3.3-(b) -2 ................................................................................67 3.4-(a) -1 ...............................................................................68 3.4-(b) -2 ..............................................................................68 3.5-(a) -1 ................................................................................69 3.5-(b) -2 ................................................................................69 3.6 Si3N4SiO2.................................................... 74 4.1 ...........................................................76 4.2 OM ...................................................79 4.3 OM ...................................80XII 14. 4.4 .....................................................82 4.5 ..................................................................................82 4.6 .............................................................82 4.7 spacer .........................................................................82 4.8 spacer ...................................................................................82 4.9 TMAH .......................................................................82 4.10-(a) TMAH ..............................................................83 4.10-(b) TMAH ..................................................83 4.11 TMAH .................................................................83 4.12 TMAH ......................................83 4.13-(a) TMAH .....................................83 4.13-(b) TMAH .....................................83 4.14 ..........................................84 4.15 .........................................84 4.16-(a) ................................. 84 4.16-(b) ................................. 84 4.17-(a) SiO2.................................... 84 4.17-(b) Si3N4................................... 84 4.18 UV ..........................................................86 4.19-(a) Si3N4 ...............................................................87 4.19-(b) SiO2 ................................................................ 87 4.20 ..................................................91 4.21 ..................................................91 4.22 PECVD .......................................93 4.23 BOE ..........................................94 4.24 PECVD .......................................94 4.25 BOE .............................................95 4.26 PECVD .......................................95 4.27-(a) BOE ...................................97 4.27-(b) BOE ...................................................97 4.28-(a) BOE ...............................98 4.28-(b) BOE ..............................................98XIII 15. 4.29-(a) BOE .............................99 4.29-(b) BOE ...............................................99 4.29-(c) Tilt20 ....................................................................100 5.1 ..................................................................................101 5.2 C4F8 .......................................................101 5.3 ..................................................................102 5.4 ..................................................102 5.5-(a) OM .............................................................103 5.5-(b) SEM ..........................................................103 5.6 ..........................................................................104 5.7 ..........................................................................104 5.8 ......................................................................105 1.1 .......................................................6 4.1 SF6 .....................................93 XIV 16. 1.1 (micro-electro-mechanical system MEMS)(Micro system technology)(1965 ) 1959 There is Plenty ofRoom at the Bottom(micromachines) 1982 (silicon as a mechanical material)1989 (Micro-Tele-Operated Robotics Workshop) () 1.2 [37] 1959 -1- 17. (1)(2) X (3)(sacrificiallayer)(back-side etching)(micro hinge) -2- 18. (4) (single crystal) (poly crystal) (amorphous) (Silicon Nitride Si3N4) (Silicon dioxideSiO2) -3- 19. 1980 (Microelectronics Center of NorthCarolinaMCNC) MUMPs(multi-userMEMS processes) Sandia (Sandia National Laboratory) SUMMiT(Sandia Ultra-planar,Multi-level MEMS Technology) 1.3 1.1 1.4 1.5 1.8(switch) 1.9 1.11 -4- 20. (1)(2)(3)(4)(5) (Royal Institute of Technology)(1)(2)(3)(1)(2)(3) 1.1 (Cahner In-Stat Group) -5- 21. 2000 2005 26 % 24 % 15 % 15 %9.5 % 14 % 8.2 % 12 %4.4 % 6.9 %4 % 6% 1.6 % 4%0.8 % 2.9 % 0.6 % 2.2 % 0.4 % 1.7 % 1.1 -6- 22. 1.1 SEM 100m 15m [1]1.2 LIGA38mNiFe [2] -7- 23. 1.3 [3] 1.4 SU-8 [4]1.5 [5]-8- 24. 1.6 [6] 1.7 [7] 1.8 [8]-9- 25. 1.9 [9] 1.10 [10] 1.11 [11] - 10 - 26. 1.4 (restingmembrane potential)(Polarization) (depolarize)(grade potwntial)(EPSP) - 11 - 27. 1.5 (sucking)- 12 - 28. 1.6 1.6.1 1890 (WilhelmOstwald1909 ) 1920 [12]1940(Ling)(Gerard)[13]1952(Alan Hodgkin1963)(Andrew Huxley1963)(Katz)(voltage-clamp)[14]1976Erwin Neher(1991- 13 - 29. )Bert Sakmann(1991)(receptor)NeherSakmann(sensor)(diabetes)(cystic fibrosis)[14] 1970 X [15] Roderick Mac Kinnon - 14 - 30. 30 X 1998 4 [15]Mac Kinnon Kcs A Streptomycesliridans 1.12MacKinnon 1.13[15] - 15 - 31. 1.12 [15] 1.13 [15] 1.6.2 2001Ludwig-Maximilians-Universitat(ion track etching)1.141.16[16]- 16 - 32. 1.14 [16] 1.15 [16] 1.16 [16] - 17 - 33. 2002 SophionAPATCHI-1[17] 2002 (Munich University)(Centerof Nanoscience) Niels Fertig (Planar micro structured quartz chip)1.171.18(Xenopus oocytes)(cell-attached mode)(mammalian)(whole-cell mode)Nanion [18]1.17 [18] 1.18 [18]- 18 - 34. 2002 (Yale) Kathryn PDMS(Polydimethysiloxane)1.19(Gigaomh Seal)1.20 [19] 1.19 PDMS SEM [19]- 19 - 35. 1.20 PDMS[19] 2002(Swiss Federal Institute of Technology)(Institute of Microelectronics and Microsystems)Lehnert(Hamburg)Evotec OAI AGNetzer(SiO2)(micronozzle)1.21[20] 1.21 SEM[20]- 20 - 36. 2003 Masato TANABE MCA (Micro Channel Array)1.22[21] 1.22 [21] 2003(polymide)(focus ion beam etching)2m4m1.23 1.24[22] 2 m2 m 1.23 SEM[22] 1.24 SEM[22]- 21 - 37. 2003Cytocentrics CCSCytoPatch(quartz)1.251.26[23]1.25 [23]2 m1.26 SEM[23]20033m1.27(Low Pressure Chemical VaporDeposition LPCVD)2m 2.5m 1.28 LPCVD2m1m1.291.30[24]- 22 - 38. 1.27 3m[24]1.28 2.5m[24] 1.30 [24]1.29 1m[24]- 23 - 39. 20031m3m(bonding)1.311.32[25]1.31 [25]1.32 SEM[25] - 24 - 40. 2004ICP(bulkmicromachining)2m(Plasma-Enhanced Chemical Vapor Deposition PECVD) 0.7m1.33PDMS1.34[26]1.33 PECVDSEM[26] 1.34 [26]- 25 - 41. 2004(Berkeley Sensor andActuator Center)J.SeoPDMS1.351.37[27]1.35 [27] 1.36 [27]1.37 SEM[27]- 26 - 42. 2006Adrian Y. LauPaul J. Hung1.381.40[28]1.38 [28] 1.39 [28] 1.40 SEM[28]- 27 - 43. 2006Brian Matthews2003BOSCH ICP(smooth)1.41[29]1.41 [29] - 28 - 44. 2006Thomas SordePECVDPECVDPECVDE1.421.43[30] 1.42 PECVD()()SEM[30]1.43 PECVD[30]- 29 - 45. 2007Z.L. Zhang(SOI)0.5m1.44[31] 1.44 SOI()()()SEM[31]- 30 - 46. 2007(low temperature oxideLTO)41.451.48[32] 1.45 LTO[32]1.46 LTO[32] - 31 - 47. 1.47 LTO[32] 1.48 LTOSEM[32] - 32 - 48. 1.7 (1)(2) - 33 - 49. (3)(4)(5)- 34 - 50. - 35 - 51. 1.8 1.49 1.49 - 36 - 52. 2.1 1976 Neher Sakmann (patch clamp recordingtechnique)(Gigaohm seal)1980 (gene cloning)Neher Sakmann 1991 2.2 ()(pA) I-V(converter)(command voltageVCMD)10G(Rseal - 37 - 53. )100%2.12.1 2.1RsRseal Ip R seal Rs1~5MRseal10G =-1 IpI (Rs+R seal )I-V(Rf) A1 A2 2.3 2.2(Single channel recording)(Cell-attached mode)- 38 - 54. (Inside-out)(Outside-out)(Open cell-attached inside-out mode)(Perforated vesicle outside-out mode)(Perforated patch mode)Inside-out Open-cell-attachedOn cellcell open pullnystatin suck Perforated-vesicle Outside-outPerforated-patch Hole-cellpull pull2.22.3.1 (Cell-attached mode On-cell mode) - 39 - 55. 2.3.2 (Inside-out mode) (Gigaohm seal)(excised patch membrane)(washout)(excision)()run down (run up) () - 40 - 56. 2.3.3 (Open cell-attached mode) 2.3.4 (Conventional whole-cell mode Hole cell mode) (Whole cell mode)(Conventional)(hole cell mode)(Perforatedpatch mode)(washout) - 41 - 57. 2.3.5 (Outside-out mode) 2.3.6 (Perforated patch mode) (Slowwhole-cell mode)HornMarty(nystatin) B(amphotericin B)(nystatin-patch mode)(series resistanceRs) - 42 - 58. 2.3.7 (Perforated vesicle outside-out mode) 2.4 (Johnson noise)(seal resistanceRseal) - 43 - 59. (dual gap) 2.5 2.5.1 2.5.1.1 (Pulling)(puller)() (borosilicate) ( Pyrex) (aluminosilicate)()()Rs 2.3 Rs>Rs1~5m 1~5M - 44 - 60. 2.3 2.5.1.2 (Sylgard coating) (stray capacitanceCs) Cs (Cg)(Cc) 2.4- 45 - 61. 2.4 2.5.1.3 (heat polish) 2.5.1.4 (solution filling) - 46 - 62. (particle) ( 0.2m) (back-fill) 2.5.2 (Gigaohm seal) HEKAEPC-7 http://www.heka.com/download/manuals/m_epc8+7.pdf Search (Voltage clampVC)(off scale)- 47 - 63. () Reset Vp-OFFSET (Vp) 1mV 10~50ms 10mV 2.5.3 SEARCH MODEVC(Voltage Clamp) MODE On Off (Surge current)(fast transient) - 48 - 64. C-FASTr-FAST() On-cell (50~200mV/pA) Vp(Vm)(Vin) Vp Vm= -Vp(excised) Vm=-VpVm=Vp 2.5.4 (-30 ~ -200cm H2O) (zapping) 20nA 10mV/pA(Surge current)(slow transient)- 49 - 65. CC(Current Clamp)MODECC+COMM MODE V-HOLD(Holding potential)VC MODE Vm=VpC-SLOWC-SERIESC-SLOWC-SERIES(Cm)(Rs)%-COMP(Series resistance compensation) C-SLOWC-SERIES (single channel event) - 50 - 66. 2.5.5 (nystatin) (slow whole-cell recording)(50mg/ml ) DMSO -20C 1~2 DMSO perforated patch excise nystatin (perforated vesicle) outside-outmode 2.6 2.6.1 (Capacitive surge measurement) V0 2-1 V0 Rm-t RmRsCm I(t) = ( ) (1+)exp( ) , where =( 2-1)Rm+Rs Rs Rm+Rs 2.5 2-2 - 51 - 67. q q V0Cm Cm Rm>>Rs V=t 2-2 V dV t I(t) = () + Cm()=() + Cm( 2-2)Rm dt Rm Cm (jump value) Cm Rs Rm Cm 2.5 - 52 - 68. 2.6.2 (phase-sensitive detection methodPSD method) Rm>>Rs 90 (Lock-inamplifier)Neher Marty (exocytosis)Rs Rm PSD 0 90 Em CmRm Rs() 2.7 2.7.1 (fast capacitance compensation) (capacitative surge) (Cp)CpCp(VCMD) - 53 - 69. CpCp 2.6VCMD(A3)VCMD C-FAST A3 R-FASTC1Cp 2.6 - 54 - 70. 2.7.2 (Slow capacitative compensation) Cm(Series resistanceRs)Cm(Rm)RsRs=5MCm=20pF 100s 2.7VCMDR2C2 100 R2(C-SERIES)C2(SLOW RANGE,pF)A4 C-SLOW A4 fast capacitancecompensationA3C1 2.7 - 55 - 71. 2.7.3 (Series resistance compensation) (Rs)RsRsCm (SLOW transient) RsRs=5MIp=2pARs10mV ( 2.8 Rscompensation%-COMP)VCMDRs 2.8 - 56 - 72. 3.1 3.1 - 57 - 73. 525um RIE 1m- 58 - 74. BOE TMAH BOE - 59 - 75. BOSCH ICP 3.1 - 60 - 76. RIETMAHRIEWaferTMAH(80C~90C)(pin hole)1m~2mTMAH(back side alignment)1m4m4m20malignment key - 61 - 77. BOETMAH50m50mTMAH3mTMAH20mTMAHSi WaferDI WaterICP- 62 - 78. TMAH3.2 (1)TMAH(Etching stop layer) (Over etching) TMAH(2)- 63 - 79. ICP(3) TMAH(self alignment) 3.2.1 ( alignment key) - 64 - 80. 640m Wafer 525m 15m TMAH Si-100 Si-111 301 723m 640mTMAH Si-100 Si-111 3.2TMAH 30 80C TMAH (30 ) 80C 90C 90C TMAH Si-111 Si-100 3.3-(a) 3.3-(b) - 65 - 81. 3.2 TMAH (alignment key)20m~40m10m~50m3.4-(a)3.4-(b) (alignment key)3m4m3.5-(a)3.5-(b)- 66 - 82. 3.3-(a) -1 3.3-(b) -2 - 67 - 83. 3.4-(a) -1 3.4-(b) -2 - 68 - 84. 3.5-(a) -1 3.5-(b) -2 - 69 - 85. 3.2.2 3.6()ICP - 70 - 86. Si wafer after RCA clean 525m LPCVD 1m Low stress Nitride Oxidation 1m Oxide 1m1m 1m1m PECVD 3m NitridePECVD 3m Nitride3m3m LithographyLithography2.2m2.2m 640m640m- 71 - 87. ICP or RIE trans patternICP or RIE trans pattern640m 640mTMAH wet etching(14HR~15HR) TMAH wet etching(14HR~15HR)20m~30m20m~30m640m 640m Lithography Lithography2.2m2.2m ICP or RIE trans patternICP or RIE trans pattern1m1m2m2m- 72 - 88. Lithography E-Beam Evaporator Al 30004m1.8m 3000 ICP trans pattern Lithography 4m4m 1.8m Back side PECVD Oxide 5000 Al wet etching 4m4m 1.8m3.7m Front side PECVD Oxide 2mICP trans pattern3m4m1m4m- 73 - 89. Dip BOE 3m1m4m Front side PECVD Oxide 2m2m 1m6m Dip BOE2m 1m6m Front side PECVD Oxide 1m 1m 1m7m 1m 3.7m 20m~30m525m 1m640m 3.6 Si3 N 4SiO2- 74 - 90. 4.1 RCA cleanRCA clean(NDL)(clean room) (Wet Bench)1. CARO120C6002. DI water6M.cm3. DHF( Diluted HF )604. DI water6M.cm5. SC175C6006. DI water6M.cm7. SC275C6008. DI water6M.cm9. wafer4.2 (OxidationFurnace)4.1 - 75 - 91. 1. 1092C2. 3. 1092C4. 5. (Nano-specification)1m 4.1 4.3 - 76 - 92. Low Stress Si3N4 850C 180mtorrSiH2Cl2NH3Low StressSi3N4 3mLow stress(Nano-specification) 1m4.4 SiOy NDLSiOy2150 /min625 /min48minPECVDNDL(n&kanalyzer)4m4.5 SiNx NDLSiNx2200 /min- 77 - 93. 152 /min1000 /min30minNDL(n&k analyzer)4m4.6 NDL(Spin Coater)NDL(Mask aligner MA150CC)1. 110C2. Spin coatingHMDS a. 0 rpm200 rpm in 15 b. stay 200 rpm in 15 c. 200 rpm4000 rpm in 15 d. stay 4000 rpm in 120 e. 4000 rpm0 rpm in 303. 90C1204. Spin coatingAZ 5214E a. 0 rpm400 rpm in 15 b. stay 400 rpm in 30 - 78 - 94. c. 400 rpm5000 rpm in 15d. stay 5000 rpm in 120e. 5000 rpm0 rpm in 30 5. 90C120 6. AZ 5214E 2msoft contact 10 7. AZ 300120DI Water 8. 110C180 4.2OM 4.2 OM 4.7 BOE10minDIwater NDL(ICP) 10mtorr 15C SF6 45sccmRF POWER 15WICP POWER 1300W18min 4.3OM - 79 - 95. 4.3 OM Si wafer ICP 4.8 TMAH TMAH 25%80C1290C23TMAH4.4 - 80 - 96. 1.5mm4.54.64.74.84.94.10-(a)4.10-(b)4.114.124.13-(a)4.13-(b)TMAHTMAHPH4.144.154.16-(a)4.16-(b)OM4.17-(a)4.17-(b)OM - 81 - 97. 4.4 4.5 4.6 4.7 spacer 4.8 spacer 4.9 TMAH- 82 - 98. 4.10-(a) TMAH 4.10-(b) TMAH10mm 4.11 TMAH4.12 10mm10mm4.13-(a) 4.13-(b) - 83 - 99. 4.14 4.15 10m 20m4.16-(a) 4.16-(b) 10m 10m 4.17-(a) SiO24.17-(b) SiO2 - 84 - 100. 4.9 NDL(Spin Coater)NDL(Mask aligner MA150CC)1. UV4.182. 90C3. Spin coatingHMDS a. 0 rpm200 rpm in 15 b. stay 200 rpm in 15 c. 200 rpm4000 rpm in 15 d. stay 4000 rpm in 120 e. 4000 rpm0 rpm in 304. 90C1205. Spin coatingAZ 5214E a. 0 rpm400 rpm in 15 b. stay 400 rpm in 30 c. 400 rpm5000 rpm in 15 d. stay 5000 rpm in 120 e. 5000 rpm0 rpm in 306. 90C1207. AZ 5214E 2mhard contact vacuum88. AZ 300120DI Water- 85 - 101. 9. 90C30010. UV4.18 UV UV Spin coating MA150CC TMAH UV 4m wafer UV- 86 - 102. UV 4.10 NDL(ICP) 10mtorr 15C SF6 45sccmRF POWER 15WICP POWER 1300W18min 8mtorr15C O2 8sccmC4F8 45sccmRF POWER 80WICP POWER2500W3min50sC4F84.19-(a)Si3N4OM4.19-(b)SiO2OM10m 10m 4.19-(a) Si3N4 4.19-(b) SiO2 - 87 - 103. 4.11 1 /s3000 4.12 NDL(Spin Coater)NDL(Mask aligner MA150CC)1. UV2. 90C3. Spin coatingAZ 5214E a. 0 rpm400 rpm in 15 b. stay 400 rpm in 30 c. 400 rpm7000 rpm in 15 d. stay 7000 rpm in 120 e. 7000 rpm0 rpm in 304. 90C1205. AZ 5214E 2mhard contact vacuum56. AZ 300180DI Water7. 90C3008. UV- 88 - 104. HMDS HMDS HMDS 200 DIWater HMDS DI Water HMDSDI Water HMDS HMDS HMDS 2m UV - 89 - 105. HMDS HMDS HMDS m 4.13 NDL(PR strip & wet etchingchemical hood)NDLAl(H3PO4HNO3CH3COOHH2O=16112)1800 /min54.14 NDL(ICP) 10mtorr 15C SF6 45sccmRF POWER 15WICP POWER 1300W80min 8mtorr15C O2 8sccmC4F8 45sccmRF POWER 80WICP POWER - 90 - 106. 2500W15min30s4.204.21 10m 10m4.20 4.21 ICP 10mtorr 15C SF6 45sccmRF POWER 50WICP POWER 2000W114m4m3m4m- 91 - 107. 2m2mICP124.1ICPRF PowerRF PowerICP PowerRF Power10mtorr 15C SF6 45sccmRF POWER 15WICPPOWER 1300W12.51.8m4.5m- 92 - 108. SF6 RF ICP 10 PowerPower (/min) 15C 10mtorr 45sccm 15 1200566YES15C 10mtorr 45sccm 15 1300700YES15C 10mtorr 45sccm 15 1500750 YES(but few)15C 10mtorr 45sccm 15 2000875 NO15C 10mtorr 45sccm 20 1700900 NO15C 10mtorr 45sccm 25 1700 XNO15C 10mtorr 45sccm 30 1700 XNO15C 10mtorr 45sccm 50 2000 XNO 4.1 SF6(X) 4.15 PECVD 1. (625 /min)16min2. (625 /min)32min4.22 10m4.22 PECVD - 93 - 109. 3. BOE4.23 10m 4.23 BOE4. (625 /min)32min 4.24 10m4.24 PECVD- 94 - 110. 5. BOE4.2510m 4.25 BOE6. (625 /min)32min 4.2610m4.26 PECVD7. (625 /min)16min - 95 - 111. Ref.[29]SEMPECVD1m ~2m3mBOE1m - 96 - 112. SEM1. BOE4.27-(a)(b)4.27-(a) BOE4.27-(b) BOE - 97 - 113. 2. BOEPECVD 4.28-(a)(b) 4.28-(a) BOE 4.28-(b) BOE - 98 - 114. 3. BOEPECVD 4.29-(a)(b)(c) 4.29-(a) BOE 4.29-(b) BOE - 99 - 115. 4.29-(c) Tilt20 4.16 3m10m2 50m2 PDMSPDMSPDMS - 100 - 116. 5.1 C4F8F/CRF PowerICPPowerC4F8F/C5.15.2200m10m 5.1 5.2 C4F8- 101 - 117. 5.2 TMAHTMAH5.35.4 20m 10m 5.3 5.4 - 102 - 118. (Chemical Mechanical PolishingCMP) 5.3 ICP 5.5-(a)(b)ICP 10m5.5-(a) OM5.5-(b) SEM- 103 - 119. 5.4 5.65.7(cutting)CO2 10m 10m5.6 5.7 5.5 PECVD - 104 - 120. PECVD(EDX)5.85.8 - 105 - 121. 5.6 1. 2. - 106 - 122. 3. CMOS MEMSCMOS100nm- 107 - 123. [1] , , , , , ,2006[2] , ,, ,2003[3] T. Lehnert, R. Netzer, Realization of hollow SiO2 micronozzles for electrical measurements on living cell , Apply physics letters, vol. 81,2002[4] 2006[5] 2000[6] Quasi-LIGA-like 1999[7] Answers.com 2007 6 http://www.answers.com/topic/accelerometer?cat=health/[8] 2004[9] Sandia National Laboratories 2007 6 http://mems.sandia.gov/scripts/index.asp- 108 - 124. [10] Urbanski et al., Applied Physics Letters, 2006[11] Protron Mikrotechnik 2007 6 http://www.protron-mikrotechnik.de/products/products_rf_e.htm[12] 2007 6 http://www.sciscape.org/news_detail.php?news_id=1286/[13] 1991[14] 2007 6 http://brc.se.fju.edu.tw/nobelist/199x/p1991.htm/[15] Nobelprize.org 2007 6 http://nobelprize.org/nobel_prizes/chemistry/laureates/2003/public.html/[16] N. Fertig, Ch. Meyer, R. H. Blick, Ch. Trautmann, and J. C. Behrends, Microstructured glass chip for ion-channel electrophysiology, The American Physical Society, 2001[17] Sophion 20076http://www.sophion.dk/[18] N. Fertig, R. H. Blick, J. C. BehrendsWhole cell patch clamp recording performed on a plannar galss chip, Biophysical Journal, Vol.82, pp. 3056-3062, 2002[19] K. G.. Klemic, J. F. Klemic, M. A. Reed, F. J. SigworthMicromolded PDMS planar electrode allows patch clamp electrical recordings from cells , Biosensors and Bioelectronics, Vol.17, pp. 597604, 2002- 109 - 125. [20] T. Lehnert, R. Netzer, Realization of hollow SiO2 micronozzles for electrical measurements on living cell , Apply physics letters, vol. 81,2002[21] M. Tanabe, J. Makinodan, Development of micro channel array with detecting electrodes for electrophysiological biomedical sensor , Micro Electro Mechanical Systems, pp. 407-410, 2003[22] A. Stett, V. Bucher, C. Burkhardt. U. Weber, and W. Nisch,Patch-clamping of primary cardiac cells with micro-openings in polyimide films , Medical & Biological Engineering & Computing, 2003[23] Cytocentrics CCS 2007 6 http://www.cytocentrics.com/[24] B. Matthews and W. Judy, Characterization of a Micromachined Planar Patch Clamp for Cellular Electrophysiology, IEEE, 2003[25] N. Picollet-Dhahan, F. Sauter, F. Ricoul, C. Pudda, F. Marcel, T. Sordel, F. Chatelain, and I. Chartier, Multi-Patch: A chip-based ion-channel assay system for drug screening, International Conference on MEMS, NANO and Smart Systems, 2003[26] R. Pantoja, M. Nagarah, M. Starace, A. Melosh, R. Blunck, F. Bezanilla, and R. Heath, Silicon chip-based patch-clamp electrodes integrated with PDMS microfluidics, Biosensors and Bioelectronics ,Vol.20, pp.509517, 2004- 110 - 126. [27] J. Seo, C. Ionescu-Zanetti, J. Diamond, R. Lal, L. P. Lee, Integrated multiple patch-clamp array chip via lateral cell trapping junctions, Applied Physic Letters, 2004[28] Adrian Y. Lau, Paul J. Hung, Angela R. Wu and Luke P. Lee, Open-access microfluidic patch-clamp array with raised lateral cell trapping sites, Lab on a Chip, Vol. 6, pp. 15101515,2006[29] Brian Matthews, Jack W. Judy, Design and Fabrication of a Micromachined Planar Patch-Clamp Substrate With Integrated Microfluidics for Single-Cell Measurements, Journal of Microelectromechanical Systems, Vol. 15, pp. 214222,2006[30] Thomas Sordel, Stephanie Garnier-Raveaud, Fabien Sauter, Catherine Pudda, Hourglass SiO2 coating increases the performance of planar patch-clamp, Journal of Biotechnology, Vol. 125, pp. 142154,2006[31] Z.L. Zhang, T. Asano, H. Uno, R. Tero, M. Suzui, S. Nakao, T. Kaito, Fabrication of Si-based Planar Type Patch-Clamp Biosensor Using Silicon on Insulator Substrate Thin Solid Films, 2007[32] Sha Li, Liwei Lin, A single cell electrophysiological analysis device with embedded electrode, Sensors and Actuators A, Vol. 134, pp. 2026,2007- 111 - 127. [33] http://www2.haut.edu.cn/[34] 2005[35] 2006[36] VLSI 1997[37] 2003[38] 1994 - 112 - 128. ARCA cleanRCA1965RCA1970RCARCACARODHFSC-1SC-2(1)CARO RCA(hydrophobic)31120- 130(2)DHF (10 ~25)(hydrophobic)(D.I.water)(rinse)- 113 - 129. (3)SC-1 Standard Clean 1 SC-1513012970 - 80SC-1(4)SC-2 Standard Clean 2 SC-2613013770 - 80SC-2- 114 - 130. B Chemical Vapor DepositionCVD (thin film)(dielectrics)()CVD B.1 (Atmospheric Pressure Chemical VaporDepositionAPCVD)(Oxidation Layer) (oxidation)(SiO2)(mask)(thermal oxidation)SiO2 56SiO2 (Wet Oxidation)(Dry Oxidation) - 115 - 131. (1)Si(s)+ O2(g)SiO2(s) (2)Si(s)+2H2O(g)SiO2(s)+ 2H2(g) (3) 2H2(g)+O2(g)2H2O(g)Si(s)+2H2O(g)SiO2(s)+ 2H2(g) SiO2SourceSiO2 >> B.2 (Low Pressure Chemical Vapor DepositionLPCVD) LPCVD- 116 - 132. LPCVD Si3N4700C900C 200500mTorr 30 /min(DichlorosilaneSiH2Cl2)(ammoniaNH3)(SilaneSiH4) NH3NH3SiH2Cl21013SiH 2Cl2(g) +7NH 3(g) Si3 N 4(s) +3NH 4Cl(s) +3HCl(g) +6H 2(g) (crack)1m NH3SiH2Cl2850C 500mTorr - 117 - 133. B.3 (Plasma-Enhanced Chemical VaporDepositionPECVD)B.3.1 (photoionization)(field emission)(secondary electrons)(ionization collision)e- + A A+ + 2e-e-AA+ - 118 - 134. (multiplication process)(DC)(AC) B.3.2 PECVD(Thermal Budget)PECVD(Ionized)PECVDLPCVDPECVD300C(Stoichiometry)CVDSiNxSiOyPECVD- 119 - 135. RF+N SiH 4(g) +NH3(g) SiN x(s) +H 2(g)2(g) RF+N SiH 4(g) +N 2O(g) SiO y(s) +N 2(g) +H 2(g) 2(g) PECVD(IonBombardment)(Internalstress) - 120 - 136. C Lithography (Mask)UV2D(Photoresist)3D(PhotomaskFabrication)(Pretreatment)(Priming)(Coating Photoresist) (Soft Bake) (Exposure) (Development) (Hard Bake)(Photoresist Strip)(1)AutoCAD CAD(Computer-Aided Design)(Quarts)(Glass) - 121 - 137. (2) (3) (4)(Priming) (HexamethyldisilazaneHMDS)(Surface Energy)(5) - 122 - 138. (4)(5)a. 1:1b. 1:110~20m - 123 - 139. c. d. (6)(7)- 124 - 140. . . (Photo Active Compund)()()(Inhibitor)(). (Solvent)(Polymer)(Photo-initiator)()(Swelling)3m- 125 - 141. - 126 - 142. Wet Etching D.1 (Hydrofluoric Acid)SiO2(s) +6HF(aq) H 2(g) +SiF6(g) +2H 2O(g) (Doping)PSG(Phosphosilicate Glass)BPSG(Borophosphosilicate Glass) SiO2 SiO2(Ammonium Fluoride)(Buffer Agent)BOE(Buffer Oxide Etch)- 127 - 143. D.1.2 D.1.2.1 (1) (2) (3) - 128 - 144. abc a=b=c, ===90 abc (4) A ,- 129 - 145. a. XYZb. abc XYZ A 11c. 1/1/11/1 011d. A (011)(110)(100)(111) - 130 - 146. OE a. XYZ O b.() OE DD XYZ 111c. OE [111] - 131 - 147. [100][110][111] (5) K D.1.2.2 (111)(1) (111)(2) (111)- 132 - 148. (3) (111) EDP(ethylenedamine pyrocatechol water)KOH(potassium hydroxide)TMAH(Tetra Methyl Ammonium Hydroxide)(1) EDP EDPethylenedaminepyrocatecholpyrocatechol3.7035m/hr18m/hrpyrocatechol(OH-)2NH 2 (CH 2 ) 2 NH 2 +Si+3C6 H 4 (OH) 2 2NH 2 (CH 2 ) 2 NH 3+ +[Si(C6 H 4O 2 )3 ]-2 +2H 2 EDP(2)KOH KOH- 133 - 149. 1180C80CKOH10~15wt%30wt%KOH()EDP(20~30)KOHSi (s) +KOH (aq) +H 2O (l) KSiO 3(aq) +2H 2(g) (3)TMAH (Tetramethyl ammonium hvdroxideTMAH)(CH3)4NOH3070C ~90C10 wt %12.5m/hr90C20 wt %0.68m/min15wt%(hill-lock)20 wt%TMAHPHPHSi (s) +(CH 3 ) 4 NOH (aq) +H 2O (l) (CH 3 ) 4 NSiO 3(aq) +2H 2(g) - 134 - 150. E Dry Etching (Momentum Transfer)(Sputtering Etching)90(Plasma Etching)(ReactiveIon EtchRIE)RIE80 - 135 - 151. 9011401 E.1 (High Density Plasma Etching) HDP(Electron Cyclotron ResonanceECR)(Helicon)(Inductively Coupled PlasmaICP)ECRHDP(1)ECR ECR2.45GHz(Solenoidal Magnet)RFHDP(2) HDP Helicon HDPRF RF(Helicon Wave)HDPRF - 136 - 152. (3)ICP ICPHDPRFRF ICPHDPHDPICP E.2 (1)SiO2 (Carbon TetrafluorideCF4)CHF3C2F6C3F8C4F8SF6CF4CF4CF2- 137 - 153. SiO2Si(SiF4)CF4(g) 2F(g) +CF2(g) SiO 2(s) +4F(g) SiF4(g) +2O (g)SiO 2(s) +2CF2(g) SiF4(g) +2CO (g) CF4CF4COCO2COF2CF4SiO2 CF4HF CF4F/CF/C - 138 - 154. CF4F/C SiO2CF4SiO2(2)Si3N4NF3 - 139 - 155. BOSCHSF6SF6Si3N4SF6(g) SF6-x (g) +xF(g) Si 3 N 4(s) +12F(g) 3SiF4(g) +4N (g) - 140 - 156. F Soft Lithography(PolydimethylsiloxanePDMS)(a)PDMSPDMS(b)PDMSPDMSPDMS - 141 - 157. PDMSPDMS SAMSAM PDMSPDMS SAM SAM PDMSPDMS SAM SAM (a) (b) PDMSPDMS22dyne/cm2PDMS PDMSPDMS - 142 - 158. SH-(CH2)11-COOHPDMSPDMSPDMS60PDMSSH-(CH2)11-COOHSH-(CH2)11-COOH(Van derWaals force)self-assembled monolayers,SAMCOOH - 143 - 159. 71 04 07 800 (06)[email protected] (2005/09~2007/07)(2000/09~2005/06)