22
- 39 - 이슈 3 차세대 반도체 공정/소자 개발 동향 한태희 반도체 PD KEIT PD ISSUE VOL 11-4

이슈 3 차세대 반도체 공정/소자 개발 동향home.skku.edu › ~mobiletech › 201106_SEMI.pdf · 넷북 34 gray제품 22 e-북 5 안전 31 테스트 25 태블릿 24 lan

  • Upload
    others

  • View
    0

  • Download
    0

Embed Size (px)

Citation preview

  • - 39 -

    이슈 3차세대 반도체 공정/소자 개발 동향

    한태희 반도체 PD

    KEIT PD ISSUE VOL 11-4

  • - 41 -

    3. 반도체 차세대 반도체 공정/소자 개발 동향

    □ 개요

    ㅇ 반도체는 전기신호의 증폭, 정류, 스위칭 등의 기능을 갖는 전자소자로

    IT 시스템의 근간을 이루는 핵심 부품으로 고집적, 고성능, 저전력이

    핵심 경쟁력으로 작용

    □ 국내․외 현황

    ㅇ 스마트기기, 그린 반도체 등을 중심으로 글로벌 업체간 기술경쟁이

    심화되어 시장 판도에 상당한 변화가 예상

    ㅇ 특히, 반도체 공정기술은 현재의 소재 및 장비로 미세화의 물리적

    한계에 직면하고 있어 새로운 개념의 기술적 Breakthrough가 절실

    ㅇ 최근 인텔, 엘피다의 반도체 신기술 개발 소식은 메모리 반도체 기술을

    선도해 온 삼성전자를 경각시키고 있음

    - 인텔 “3D구조 반도체 개발” 및 엘피다 “20 나노급 D램 개발” 등

    ㅇ 반도체 기술의 핵심인 미세화 기술의 주도여부에 따라 기술보유기업이

    세계시장 지배력에 지대한 영향을 행사할 것으로 예상

    □ 시사점

    ㅇ 시장 니즈에 따른 시스템 기능의 다양화․복잡화에 대응하기 위해

    기존 단품위주에서 융합형태로 지속적 발전 전망

    ㅇ 국내 반도체산업은 메모리반도체 중심으로 급성장하였으나, 세계시장

    규모가 약 3배 이상 크나 경쟁력이 취약한 시스템반도체에 대한 과감한

    투자를 통해 반도체의 동반성장 전략 마련 필요

  • - 42 -

    Ⅰ. 반도체의 개념 및 특성

    1. 개념

    □ 반도체란 고성능, 저전력 및 경박단소를 기반으로 모바일기기, 자동차,

    디지털가전 등에서 정보저장, 연산·제어, 증폭, 변환 등의 기능을 수행

    하는 전자소자

    ㅇ 반도체는 기능 및 제조방법에 의해 메모리반도체와 시스템반도체 및

    개별소자와 광소자 등의 특화반도체로 분류

    * 출처 : 한국반도체연구조합 발전전략 용역보고서(’09.9) 재구성

    [그림 1 : 반도체소자 분류 및 활용]

    ㅇ 반도체가 적용되는 시스템에 따른 분류로서 컴퓨팅, 유무선통신, 정보

    가전, 자동차, 산업용 등 5개로 분류

  • - 43 -

    [표 1 : 적용 시스템에 따른 분류]

    (단위 : 억불)

    컴퓨팅 시장유무선

    통신시장 정보가전 시장 자동차 시장 산업용 시장

    PC 640 휴대폰 487 TV 125 네비게이션 13 의료기기 84

    데스크톱 264 스마트폰 177 LCD 103 인포테인 40 자동화 29

    노트북 342 피처폰 226 홈프로젝터 1 파워트레인 75 반도체장비 18

    넷북 34 Gray제품 22 e-북 5 안전 31 테스트 25

    태블릿 24 LAN 52 STB 41 편리 15 시큐리티 40

    SSD 16 모뎀 37 DSC 66 바디 11 우주군사 58

    스마트카드 23 라우터 26 PMP/MP3 68 시큐리티 2 기타 28

    * 출처 : KSIA 2010

    □ 반도체기술은 메모리반도체, 시스템반도체 및 특화디바이스(파워디바이스,

    이차전지 등)와 반도체를 생산하기 위한 소재/공정/장비기술을 포함

    * 출처 : 2011년 과제기획보고서

    [그림 2 : 반도체기술 분류]

  • - 44 -

    2. 특성

    □ 반도체는 디지털/정보화시대 전환에 따른 핵심기술·제품을 주도하며

    시스템산업 및 서비스산업의 고부가가치화를 견인

    ㅇ 반도체산업은 미세화 및 집적화 기술을 기반으로 단기간에 급속도로

    발전하였으며 다양한 Application을 통해 반도체 기술혁신이 더욱

    가속화

    ㅇ 기술을 개발을 위해서는 반도체 설계, 공정, 장비, 테스팅 등 분야 간

    상호 연계가 필수적이며 업체의 단독적인 개발은 어려운 실정

    ㅇ 차세대메모리는 시장 수요에 따라 휴대기기에 적합한 초고속, 대용량,

    저전력의 특성을 갖는 제품을 요구

    ㅇ 에너지 고갈, 지구 온난화 영향으로 고효율·고용량·고신뢰성 친환경/

    그린 반도체 Needs가 급부상

    ㅇ 반도체 소재/공정/장비기술은 차세대 20nm급 이하 기술로 전환

    ㅇ 시스템반도체는 첨단 IT 융복합 산업의 근간인 동시에 휴대폰, 정보

    가전, 자동차 등 국내 주력 시스템산업의 경쟁력과 직결

  • - 45 -

    Ⅱ. 국내외 시장현황 및 전망

    1. 산업구조

    □ 반도체 세계시장 규모는 3,045억불(’09)로서 이 중 시스템반도체가

    50%이상을 차지하고 메모리반도체는 14.6%에 불과

    ㅇ 시스템반도체대비 메모리반도체 세계시장은 약 3배 이상 큰 규모

    이나 국내 반도체산업은 메모리반도체 중심으로 조성

    [표 2 : 2010년 주요국가별 반도체 생산액 현황](단위 : 억불, %)

    구분한국 미국 일본 유럽 소계

    생산액 점유율 생산액 점유율 생산액 점유율 생산액 점유율 생산액

    반도체 410.3 13.5 1,467.1 48.2 632.5 20.8 280.2 9.2 3,040.8

    메모리 336.0 50.0 128.6 19.1 140.4 20.9 6.6 1.0 673.4

    시스템반도체 45.2 2.9 1,198.7 64.0 290.5 15.5 188.7 10.1 1,874.4

    광 개별소자 19.1 3.9 139.8 28.3 201.5 40.9 84.8 17.2 493.0

    파운드리 18.3 5.5 65.8 19.7 190.2 57.0 8.3 2.5 333.8

    * 출처 : 2011년 시스템반도체 기획보고서(iSuppli '11)

    2. 국내외 시장현황

    □ 최근 D-TV, 스마트폰 등 정보기기 시장의 호황으로 세계 반도체시장은

    그린IT 디바이스 및 시스템반도체 중심으로 성장세를 유지중이며 당분간

    지속적인 성장 예상

    ㅇ 특히, 2013년까지 세계의 그린정책 패러다임에 따라 광반도체, 이차

    전지 등 그린IT 디바이스가 10% 이상 고성장을 지속할 전망

  • - 46 -

    [표 3 : 세계 반도체시장 현황 및 전망](단위 : US$, 억불)

    구분 2009 2010 2011 2012 2013 CAGR

    Total Market 3,045 3,573 3,853 4,136 4,264 8.8%

    메모리반도체 447 535 562 574 507 3.2%

    시스템반도체 1,575 1,790 1,879 2,000 2,059 6.9%

    그린IT 디바이스 448 519 573 639 691 11.5%

    반도체장비 167 294 364 404 446 27.0%

    반도체소재 409 435 475 519 561 8.3%

    * 출처 : 2011년 과제기획보고서(isuppli 2010.3, Gartner 2010.3)

    Ⅲ. 국내외 기술개발 현황 및 전망

    1. 해외 기술동향

    □ 메모리반도체

    ㅇ 일본은 정부 주도하에 Auska II 프로젝트, Mirai 프로젝트 등을 통해

    반도체 신공정기술와 신소재 및 절연재료 개발 등 다양한 분야의

    지원 프로그램을 추진

    - 또한, 문부과학성 주관 약 50억엔 규모로 차세대메모리 원천기술 개발을

    추진중

    ㅇ 미국은 NSF 사업으로 연간 18.2M 달러를 투자하여 인텔, IBM, TI,

    AMD, Freescale, Micron과 대학을 연계하여 차세대메모리 원천기술을

    개발중

    ㅇ EU는 과학기술 개발 펀드를 조성하여 ’10년부터 3년간 인텔(미국)과

    IMEC(벨기에) 및 글래스고대(영국) 등 산학연 컨소시엄을 구성하여

    차세대 테라급 메모리반도체를 개발중

  • - 47 -

    □ 시스템반도체

    ㅇ 4G 이동통신은 LTE기반으로 퀄컴과 ST-에릭슨이 베이스밴드 개발에

    앞서고 있으며 브로드컴, Freescale, MediaTek사 등이 이후 출시 예상

    ㅇ 일본은 정부 주도하에 VDEC 프로그램 등을 통해 반도체 신소재,

    절연재료, 시스템반도체 설계기술, 시스템반도체 인력양성 등을 추진

    - 또한, 일본 기업은 NEC, Fujitsu 등이 LTE 모뎀 SoC를 개발중

    ㅇ LTE 장비 경쟁은 기존 3G 시장에서 리더인 ST-에릭슨과 Huawei간

    영역다툼 예상

    ㅇ WiFi, Bluetooth, GPS 등 Wireless connectivity는 통합 콤보칩이 대세를

    이루며 브로드컴, CSR, 퀄컴, TI 등이 경쟁중

    - 최근 거의 모든 정보 가전 기기에 WiFi가 기본 탑재되는 추세

    ㅇ 전력반도체는 Infineon, ST Micro, Fairchild 등이 선두권 업체이나

    시장지배적 강자는 없으며 휴대기기, 전기 자동차, 스마트 그리드,

    LED 드라이버 IC 등 분야에서 고도 성장 전망

    □ 그린IT 디바이스

    ㅇ 이차전지는 일본 전력중앙연구소에서 2030년 상용화를 목표로 ’02년

    부터 제3세대 전고체전지, 리튬금속전지, LiS전지 등과 같은 포스트

    리튬이온전지에 대하여 활발히 연구개발중

    - 일본은 HEV 시장의 85% 점유, PHV·EV는 본격 양산에 근접

    ㅇ 광반도체는 Alcatel-Lucent, Cisco, Fujitsu 등의 장비사업자와 Finisar,

    Opnext, Emcore, JDSU 등의 부품업체가 기술개발 진행중

    ㅇ 파워디바이스는 TI, ST Micro, Fairchild 등이 독점하다가 최근 TSMC,

    UMC, X-Fab 등 파운드리 업체도 진출 중

  • - 48 -

    □ 공정/장비/소재

    ㅇ 미세화를 위해 ArF에서 ArF immersion으로 다시 double patterning

    으로 진화중이며 이후 기술로서 EUV 기술이 IMEC 등의 컨소시엄

    중심으로 개발 진행중

    ㅇ 또한, 미세화 공정기술과 High-k/Metal Gate 등 신개념 공정기술을

    확보하기 위해 기술경쟁이 치열

    ㅇ 300mm Fab은 450mm Fab으로 빠르게 전환이 예상되며 이에 따라

    장비/소재 개발에 인텔, 삼성, TSMC 등 선두업체의 협력이 진행중

    2. 국내 기술동향

    □ 메모리반도체

    ㅇ 삼성, 하이닉스는 40나노 D램을 양산중이며 플래시메모리는 삼성이

    20나노 제품, 하이닉스가 30나노 제품을 양산중

    ㅇ 또한, 양사 모두 상용화에 근접한 P램과 집적도 향상을 위한 낸드

    플래시메모리 소자 구조의 3차원 구조를 개발 진행중

    ㅇ 테라급 이머징메모리는 한양대를 중심으로 삼성과 하이닉스가 공동

    참여하여 PCRAM, ReRAM 등을 개발 진행중이며, STT-M램은

    KIST와 고려대를 주축으로 개발 진행중

    □ 시스템반도체

    ㅇ 국내 업체는 2G, 3G 모뎀 기술 개발에 성공하였으나 특허/라이센스

    및 가격 경쟁력 부족으로 상용화에 실패하였으며 DMB, WiBro 칩

    개발 등을 통해 기술력 축적

  • - 49 -

    ㅇ B3G 및 4G 이동통신은 국내기업이 다수의 특허를 확보중이며 ETRI가

    LTE 시제품을 개발 시연(’08)했고 LG전자 및 삼성전자도 LTE 모뎀을

    개발 완료

    ㅇ 멀티미디어칩(AP)은 삼성이 세계시장의 62.6%를 점유중이나 핵심

    칩인 프로세서, 모뎀칩은 전략 수입 의존 중으로 국산화를 위해 기술

    개발 중

    * DDI(Display Driver IC, 세계3위 / 21.5%), CIS(CMOS Image Sensor, 세계4위 / 12%)

    * ‘09년 수입규모 : 휴대폰 모뎀칩 34억불, 모바일 프로세서 8.6억불, PC CPU 7억불

    ㅇ 3D·LED TV 등의 수요 중가에 따라 화질‧신호개선칩(Scaler, MPEG decoder), 글로벌 DTV 수신칩, 3D 영상 처리칩 등을 개발진행중

    ㅇ 일부 범용성 시스템 반도체 품목은 세계적 경쟁력을 확보하였으나,

    핵심칩인 CPU, 모뎀 등은 취약함

    □ 그린IT디바이스

    ㅇ 이차전지는 RFID/USN, 스마트 카드, 전기자동차 등에서 수요가 확대

    될 것으로 예상되며 소재의 국산화를 위해 음극소재, 전해액 등에

    대하여 활발히 기술개발 진행중

    - ‘99년 LG화학, ’00년 삼성SDI가 리튬이차전지 양산 체제를 갖춘 이후

    휴대폰·노트북 등 소형전지 수출이 급속히 확대(‘09년 수출 24.5억불)

    - 이차전지 소재의 국산화율은 20%미만으로 양극소재와 분리막 일부만

    국내 생산이며 음극소재와 전해액은 거의 수입에 의존

    ㅇ 광반도체는 PON기술로 가능한 WDM-PON 기술이 부품 및 시스템에

    대한 종주국 지위를 유지중

    ㅇ 파워디바이스는 고전압/대전류/고내열화 아날로그 공정 및 소자

    기술이 미확보된 초기 개발 단계로 집중 육성이 절실

  • - 50 -

    □ 공정/장비/소재

    ㅇ 메모리 반도체 공정기술은 국내기업이 세계에서 선두를 유지중이나

    시스템반도체 공정분야는 후발 주자로 선두권과 격차 존재

    ㅇ 반도체 강국임에도 불구하고 장비는 기술력 및 국산화율이 미흡하여

    해외 의존이 심각하므로 7대 분야의 장비에 대해 개발 진행중

    - 특히, 반도체장비 측면은 20나노급 식각장비, High-k gate/metal 전극

    ALD 장비, PECVD 증착장비, 패키징 장비 등을 활발히 개발중

    * 7대분야 : 반도체, 디스플레이, LED, 그린수송, 바이오, 의료, 방송장비

    * 반도체장비 공정별 국산화율(‘09) : 前공정 11.1%, 後공정 41.4%, 美․日․EU 등으로부터

    핵심공정 장비를 수입(년 7조원)

    ㅇ 와이어본딩 기반 기존 패키징 기술의 글로벌 경쟁력 기반으로 TSV

    등 차세대 3D 패키징 기술을 개발 중

    Ⅳ. 차세대 반도체 공정/소자 개발 동향

    □ 현재, 반도체 미세공정기술(미세화 및 적층기술)이 물리적 한계에 직면함에

    따라 소재, 장비 및 공정기술에 대한 차세대 반도체 기술개발이 진행중

    ㅇ (소재) Ge, 그라핀 등의 연구가 진행중이며 신소재로 주목받는 그라핀을

    대면적으로 합성하는 기술이 최근 국내 연구진에 의해 최초로 개발

    ㅇ (장비) 노광장치의 해상도를 높여 미세선폭 구현을 위해 광원을 ArF

    보다 더 짧은 극자외선(EUV) 광원을 채용한 노광장비 개발이 진행중

    - EUV(Extreme UltraViolet) : 진공 상태 공간에 원자를 플라즈마 상태로 만

    들어 양이온과 전자를 충돌시켜 발생

    ㅇ (공정기술) DPT, SPT, Cell Shrink, TSV 등 다양한 공정개발 진행중

  • - 51 -

    - DPT(Double Patterning Tech.) : 2개의 포토마스크를 사용하여 노광와 에칭

    공정을 두 번 반복하여 설계상의 design rule을 1/2로 감소시키는 공정

    기술

    - SPT(Spacer Patterning Tech.) : 에칭공정이후 한번 더 증착한후 다시 두 번

    에칭공정을 통해 미세한 패터닝하는 공정기술

    - Cell Shrink : 캐패시터의 면적이 단위 셀면적의 4배로 축소시키는 공정

    기술

    - TSV(Through Silicon Via) : 실리콘 다이 수직으로 쌓아 올리고 텅스텐 기둥을

    통해 연결하는 적층 공정기술

    - Wafer Immersion Lithography : 웨이퍼 상에 공기보다 굴절율이 높은

    물을 사용하여 초점심도를 증가시켜 ArF 노광기의 해상력을 향상시키는

    기술

    1. 기존 반도체 공정/소자 기술

    □ 반도체기술의 로드맵은 약 2년에 2배의 집적도 향상이라는 Moore의

    법칙이 40여년간 유지되고 있음

    ㅇ 로드맵은 집적도, 성능 등을 예측하고 예측된 각 디바이스를 실현

    하기 위해 필요한 14개의 기술분야에 관하여 요구수치와 소재를 기술

    및 과제 해결 방안을 예시

    □ 비례축소법에 의한 미세화기술로 고집적, 고성능화 실현

    ㅇ Gate 길이의 축소로 소비전력을 감소시키면 배선저항과 배선용량이

    증가하여 고속동작화에 저해요소를 작용하는데, 기존 Al보다 전기

    저항률이 낮은 Cu로 변경 등으로 해결

  • - 52 -

    * 출처 : Semiconductor & FPD Monthly 201105

    [그림 3 : MOS FET의 비례 축소]

    □ 고도화하는 lithography 기술(노광기술)

    ㅇ 미세회로 패턴을 위해서는 노광기술에서 짧은 파장의 광일수록 해상도를

    높일 수 있으며 최근에는 액침 노광기술이나 파장 13.5nm의 연Xㅡ선을

    이용한 EUV 노광이 개발 진행중

    * EUV : 극단자회선(Extreme Ultra Violet)

    ㅇ 투형노광장치(Stepper)를 활용할 경우에는 포토마스크 상의 수치나

    형상을 미리 수정해두는 광근접효과 보정을 통해 정확한 패턴 형성

    * 출처 : Semiconductor & FPD Monthly 201105

    [그림 4 : 노광기술의 진보 및 노광파장과 해상도]

  • - 53 -

    □ 광근접효과보정(Optical Proximity Correction)을 통해 광원 파장이 최소

    선폭보다 커서 발생하는 설계 데이터상의 패턴과 웨이퍼상 패턴의

    차이를 보상하는 기술

    2. 차세대 반도체 공정/소자 기술

    □ 고유전율의 Gate 절연막을 형성시켜 소자 미세화의 주요 저해요인인

    산화막 환산 막후와 Leak 전류 발생 등의 문제 해결

    ㅇ Hf계의 고유전율막은 하프니아(HfO2) 및 실맄케이트(Hf-SiO), Hf-Si-ON,

    알미네이트(Hf-Al-O) 등이 해당

    □ 실리콘의 결정격자를 굽힘으로써 전류 이동도를 향상시켜 동작속도

    향상

    ㅇ Si기판 상의 SiGe Epitaxi막을 새로이 Si를 퇴적하는 것으로 굽어진

    Si층을 형성하는 “글로벌 굽힘 형성법”과 MOS FET 형성 프로세스

    중에 채널영역에 격자 굽힘을 주는 “국소 굽힘 형성법”이 해당

    - 최근에는 국소 굽힘 형성법이 주류

    □ Source⋅Drain 확산층의 접합의 깊이를 얕게 하여 短채널효과를 억제

    ㅇ 불순물을 이온주입법으로 실리콘 중에 도입한 후 열처리를 가해서

    활성화하는 것으로 형성

    ㅇ 얕게 접합을 형성하는 데는 옅은 주입분포를 실현하는 것과 동시에

    열처리공정에서 불순물의 확산을 억제

    ㅇ 이때, 이온주입의 가속에너지는 수백 eV로 주입하고 열처리공정은

    초단위로 급속열처리(RTA; Rapid Thermal Annealing) 사용 또는

    레이저광을 이용한 초단위 Annealing 처리

  • - 54 -

    □ 미세 및 고밀도화에 따라 발생된 칩의 동작속도의 저하 문제는 저저항

    동 배선재료의 적용과 저유전율(Low-k) 절연막을 조합한 다층배선

    기술을 통해 해결 가능

    ㅇ Cu 배선은 Dry Etching이 불가능하므로 Duel Damasecene법에 의해

    다층배선을 형성

    * 출처 : Semiconductor & FPD Monthly 201105

    [그림 5 : Dual Damascene process]

    [표 4 : Low-k 층간 절연재료의 예]

    무기계 유기Si계 유기 폴리머계 폴라스계

    SOG HSQ MSQ BCB Teflon Porous MSQ Xerogels

    비유전율 2.7∼4.0 2.9∼3.2 2.6∼2.8 2.6∼2.7 2.1 1.7∼2.2 1.1∼2.2

    * 출처 : Semiconductor & FPD Monthly 201105

  • - 55 -

    3. 최근 개발 동향 이슈

    1 (이슈) 인텔의 3D Transistor 개발

    □ 지난 5월 4일, 인텔은 22nm 아이비브릿지(Ivy Bridge)부터 3D Transistor

    기술인 트라이-게이트(Tri-Gate) 적용을 발표

    ㅇ 인텔은 첨단로직기술 선도를 통해 CPU표준을 주도하며, 부가가치를

    독점중으로 이번 3D Tr.기술은 이 과정의 연장선으로 추정

    * 반도체 부가가치('03-'09) : 상위 62개사 총수익 120B$중 인텔은 1/3인 58B$ 차지

    ㅇ 기술적으로 3D Tr.은 단순 실험단계가 아닌 지난 10년여에 걸친 각종

    시제품을 통해 무어의 법칙을 이을 새로운 혁신기술이 증명

    * 3D Tr. 기술개발과정 : 1핀 3D Tr.('02) → 多핀 3D Tr.('03) → 3D Tr. S램 개발('06)

    * 3D Tr은 Gate 접촉면적을 키워 성능 37%↑, 소비전력 50%↓, 제조비용 2-3%↑ 가능

    < 2D 및 3D 트랜지스터(FinFET) 구조 비교 >

    ㅇ 인텔은 트라이 게이트 공정을 이용한 22나노 고정을 통해 휴대폰

    등 모바일AP를 양산할 예정으로 모바일 시장 공략 계획 중

    □ 인텔은 스마트폰·태블릿PC향 SoC를 제조하려는 것이 아닌 노트북과

    태블릿사이에 새로운 제품군을 창출을 추진

    ㅇ 인텔은 기존 PC의 고성능을 중심으로 3D Tr.의 저전력 기능을 융합

    시켜 노트북+태블릿의 新시장향 하이브리드 플랫폼을 제공

  • - 56 -

    * 인텔 전략은 소비전력에 따라 40W급 제품(기존 제품향)과 15W 이하급 신제품군(태블릿향)으로

    2원화하여 접근 중(IDF 2011 중에서)

    * 또한, 독자적인 3D Tr. 플랫폼 구축을 위하여 동 칩셋으로 구현된 태블릿PC까지 제작 중인

    상황임

    ㅇ 이에 반해, ARM기반 SoC는 기존 스마트폰의 초저전력을 중심으로

    GPU(그래픽 프로세서)를 융합시켜 인텔의 노트북 시장으로 진출 중

    2 (이슈) 엘피다 25nm D램 제조기술개발

    □ 지난 4月 25日, 일본 엘피다가 25nm 제조기술 개발 완료 및 7月 양산

    계획을 발표하며 국내 기술보다 선행 가능성이 대두

    * 30nm급대비 20nm급 기술은 원가 30%·소비전력 20% 축소되어 응용처에 우선공급 가능

    ㅇ 엘피다는 '80년대 메모리(D램)의 80% 석권하던 일본이 한국 타도를

    위해 통합된 회사('99 설립)로 D램 점유율 16.2%의 3위 기업

    ㅇ 최근, 치열한 경쟁을 벌인 '11.1Q 결과 엘피다는 주요 4개사중 유일

    하게 적자를 보였으며, 점유율도 '10년 16%에서 13.5%로 축소

    * 엘피다 매출(억불)/점유율(%) : (‘08) 36.0/15.2 → (‘09) 39.5/17.4 → (‘10) 64.5/16.2 →

    (‘11.1A) 11.2/13.6

    * 엘피다 설비투자(억불)/비중(%) : (‘08) 9.0/8.4 → (‘09) 4.2/9.0 → (‘10) 7.4/6.7 → (‘11)

    4.6/5.3

    * D램업체 영업이익률(%) : (삼성) 17.9, (하이닉스) 12.0, (마이크론) 8.0, (엘피다) △5.7

    □ 다만, 양산시 개발결과를 발표하는 국내업체와 달리 엘피다는 시제품

    개발시점에서 발표하여, 실제 양산시기와 6개월 격차 발생(30nm 경우)

    * 엘피다 기술개발(발표내용 → 실제) : 40nm('09.10 개발/'09년내 양산 → '10年 1月 양산),

    30nm(10.09 개발/'10.12 양산 → '11.05 양산)

    □ 30nm 양산이 5월에 시작된 상황에서, 20nm를 7월에 양산한다는 것은

    거의 불가능하나, 시제품 결과를 보면 기술격차가 6개월로 축소 가능

  • - 57 -

    < 엘피다 양산 공정 수준(iSuppli) >

     2009 2010 2011

    1Q 2Q 3Q 4Q 1Q 2Q 3Q 4Q 1Q 2Q 3Q 4Q

    7xnm 22% 11% 3% 1% 1% 0% 0% 0% 0% 0% 0% 0%

    6xnm 78% 89% 97% 99% 88% 70% 51% 34% 25% 8% 3% 0%

    5xnm 0% 0% 0% 0% 9% 23% 34% 36% 35% 26% 21% 11%

    4xnm 0% 0% 0% 0% 2% 7% 15% 30% 41% 66% 70% 75%

    3xnm 0% 0% 0% 0% 0% 0% 0% 0% 0% 2% 7% 13%

    < 세계 주요 D램 반도체 업체별 미세공정 비중(’11.1Q) >

    공정구분 삼성전자 하이닉스 마이크론 엘피다 난야 프로모스 이노테라 파워칩

    70나노 0% 0% 1% 0% 0% 71% 0% 0%

    60나노 0% 1% 7% 25% 0% 29% 0% 89%

    50나노 21% 34% 72% 35% 70% 0% 87% 0%

    40나노 75% 65% 20% 41% 30% 0% 13% 11%

    30나노 4% 0% 0% 0% 0% 0% 0% 0%

    20나노 0% 0% 0% 0% 0% 0% 0% 0%

    < 세계 주요 D램 반도체 업체별 양산적용 시기(’11.1Q) >

    공정/비율삼성전자

    (양산적용시기)

    하이닉스

    (양산적용시기)

    엘피다

    (양산적용시기)

    60나노0%

    ’07년 3월

    1%

    ’07년 2분기

    25%

    ’08년 3분기

    50나노21%

    ’08년 4월

    34%

    ’08년 2분기35%

    40나노75%

    ’09년 7월

    65%

    ’09년 4분기

    41%

    ’10년 1분기

    30나노4%

    ’10년 7월

    0%

    ’11년 1분기

    0%

    ’11년 5분기

    20나노0%

    ’11년 하반기

    0%

    ’12년 상반기

    0%

    ’11년 7월

    3 (이슈) 애플의 AP 수탁생산 TSMC 이전

    □ 일부 애널리스트들이 애플의 차기 스마트 기기용 AP인 A5의 수탁

    생산 일부가 TSMC와 계약되었다고 발표

  • - 58 -

    ㅇ 애플은 경쟁사인 삼성전자 비중 축소를 위하여 AP 뿐만이 아니라

    메모리에서도 도시바·마이크론·엘피다 등과도 협력 중

    * 애플은 AP설계에 '10년까지 삼성의 디자인 협력이 필요했으나, 현재는 수백명의 인력이 충원

    되어 독자적으로 모든 설계가 가능한 수준

    □ 애플의 삼성전자 견제는 계속될 것이며 AP 파운드리 多변화는 불가피한

    상황이나 당분간은 일정비율을 지속 의존 예상

    ㅇ 파운드리 특성상 제품군 전환(例: A4→A5)시 일부생산이후 본격생산

    하게 되므로 4Q부터 A5의 일부, 차기 A6부터 본격 생산 예상

    * 2010년 세계 파운드리 점유율(%) : (TSMC) 38.5, (UMC) 11.6, GF 11.3, (삼성) 2.5

    4 (이슈) 인텔의 제품 로드맵 제시“3년이내 14나노 제품 출시”

    □ 지난 6월 1일, 인텔은 글로벌 PC 전시회 컴퓨텍스 2011에서 제품 로드

    맵을 제시하여 3년이내 14나노 제품 출시될 것으로 전망

    ㅇ 인텔은 3년이내 32나노에서 22나노를 거쳐 14나노로 발전할 것으로

    전망했으며 로직 제품 로드맵을 제시

    ㅇ 차세대 인텔 프로세서인 “아이비 브리지”는 22나노 공정기술과 3D

    반도체 기술을 기반으로 한 최초의 대량생산 칩으로 ’12년도 상반기에

    출시 예정

    5 (이슈) ATIC가 글로벌 파운드리로 성장

    □ 아브다비 정부가 설립한 ATIC가 AMD 생산부분을 인수하며 글로벌

    파운드리가 크게 성장

    ㅇ 글로벌파운드리는 219%로 성장률을 기록하며 세계 2위인 대만 UMC와

    2%로 격차가 줄어 삼성, 인텔, TSMC와 경쟁적 구도

  • - 59 -

    Ⅴ. 정책적 시사점

    □ 시장 니즈에 따른 시스템 기능의 다양화․복잡화에 대응하기 위해

    기존 단품위주에서 융합형태로 지속적 발전 전망

    - 메모리, 로직 등 단품 →「SW+시스템반도체」→ 3F반도체

    - 3F반도체(Fusion + Future + Frontier) :「시스템반도체 + 나노집적공정 +

    포스트디바이스」등 요소기술의 물리적․전자적 결합을 통해 제조된

    차세대반도체

    □ 최근 모바일 기기와 TV, PC 등 전자제품이 다양한 방식으로 연동․

    융합되고 산업간 영역이 허물어지고 있는 등 업종과 업계의 경계를

    초월한 경쟁 치열

    ㅇ 독자적인 플랫폼에 기반한 SW와 SoC 융합 산업에 대한 경쟁력이

    미래 반도체 산업의 글로벌 경쟁력을 좌우

    ㅇ 국내 업체의 글로벌 경쟁력이 높아짐에 따라 해외 경쟁 업체의 견제가

    심화되는 등 新산업 선점을 위한 주도권 경쟁(업계 구도변화) 심화

    - 글로벌 시장 선도 시스템업체들의 요구에 대한 적기 대응과 원가 절감

    극대화가 중요

    - 반도체 산업의 新소자 (例: 3D 트랜지스터) 전환에 적극 대비 필요

    □ 반도체 기술의 주요 선도기업의 기술경쟁력이 심화됨에 따라 신기술

    개발 및 신시장 개척이 무엇보다도 절실

    ㅇ 인텔, 애플, 엘피다 등 반도체 선도기업의 신기술 개발로 국내 반도체

    기업의 세계적인 기술 우위유지에 위협으로 작용

    ㅇ 또한, 선도기업간 또는 선도기업과 후발기업간 기술협력이 이루어짐에

    따라 위협정도는 더욱 더 심각한 실정

    □ 국내 반도체산업은 메모리반도체 중심으로 급성장하였으나 세계시장

    규모가 약 3배 이상 큰 시스템반도체에 대한 지속적인 투자로 반도체의

    동반성장 전략 마련 필요

  • - 60 -

    < 주요 시스템 및 반도체 시장 전망 >

    구분 2009 2010 2011 2012 2013 2014CAGR

    ('10-'14)

    컴퓨팅시 장 404,206 443,505 471,269 500,883 518,501 532,786 4.7%수 량 5,676 6,508 7,216 7,960 8,668 9,347 9.5%반도체 86,088 117,711 119,498 120,719 120,404 125,451 1.6%

    PC136,188 152,741 169,336 191,754 207,568 220,664 9.6%

    308 354 411 477 526 558 12.0%47,512 64,038 62,015 67,521 62,978 65,374 0.5%

    넷북11,306 10,495 10,015 9,581 9,083 8,247 -5.8%

    33 34 35 36 37 36 1.4%2,902 3,357 3,093 2,902 2,734 2,737 -5.0%

    태블릿- 6,237 13,572 21,856 30,058 38,232 57.3%- 19 55 103 154 208 81.9%- 2,367 5,819 10,273 14,069 17,791 65.6%

    무선통신218,196 251,057 302,718 338,164 379,709 413,271 13.3%3,051 3,358 3,729 3,938 4,208 4,404 7.0%47,454 59,072 72,621 75,025 83,844 95,842 12.9%

    휴대폰176,442 207,193 238,163 258,524 282,533 300,752 9.8%1,340 1,604 1,801 1,974 2,120 2,226 8.5%39,547 48,727 55,376 58,822 63,023 67,138 8.3%

    스마트폰57,418 85,254 119,755 146,399 178,796 202,955 24.2%

    180 280 432 581 745 898 33.8%11,268 17,693 25,475 31,105 37,218 43,166 25.0%

    Gray제품8,134 11,856 12,750 10,011 8,640 7,515 -10.8%145 228 255 213 192 167 -7.5%

    1,416 2,228 2,203 1,498 1,229 1,083 -16.5%

    정보가전321,706 338,383 353,025 372,958 383,147 385,945 3.3%14,997 15,584 16,006 16,611 17,227 17,715 3.3%44,611 57,706 59,219 59,362 60,997 63,558 2.4%

    TV99,217 109,055 121,403 136,081 139,568 136,148 5.7%

    213 232 241 263 280 292 5.9%8,296 12,542 13,745 14,839 15,772 16,563 7.2%

    E-Book811 1,526 2,137 2,319 2,421 2,387 11.8%5 10 17 21 24 25 25.7%

    236 533 747 824 853 827 11.6%

    자동차(전자)81,215 92,421 97,502 102,732 107,913 113,265 5.2%15,299 21,587 21,910 23,204 24,758 26,572 5.3%

    Power Train23,555 27,418 29,200 30,952 32,655 34,418 5.8%5,141 7,498 7,813 8,227 8,789 9,563 6.3%

    Safety10,275 11,991 12,914 13,844 14,799 15,806 7.1%2,097 3,076 3,160 3,358 3,597 3,902 6.1%

    산업 등298,994 332,042 351,847 367,781 387,072 408,786 5.3%21,227 28,258 28,514 29,121 30,918 31,977 3.1%

    의료기기73,617 79,175 84,014 89,327 95,902 102,442 6.7%6,639 8,424 8,383 8,565 9,166 9,529 3.1%

    총 계1,410,367 1,554,775 1,679,815 1,791,040 1,890,993 1,973,230 6.1%

    10,701 11,991 13,147 14,206 15,282 16,253 7.9%229,506 304,006 320,549 326,017 339,826 364,232 4.6%

    출처 : ISuppli/Gartner '10, 백만대/백만개