67
第第第 第第第 第第第第第第第第 第第 第第第第第第第第第

第三章 数字系统设计基础实验内容

  • Upload
    chance

  • View
    141

  • Download
    3

Embed Size (px)

DESCRIPTION

第三章 数字系统设计基础实验内容. 实验一 基本逻辑门电路实验. 一、基本逻辑门电路性能(参数)测试. (四)实验提示 1. 将器件的引脚7与实验台的 “ 地( GND ) ” 连接,将器件的引脚14与实验台的十 5 V连接。 2. 用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。 3. 将被测器件的输出引脚与实验台上的电平指示灯 (LED) 连接。指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为 1 )。. (三)实验内容 1.测试二输入四与非门 74LS00 一个与非门的输入和输出之间的逻辑关系。 - PowerPoint PPT Presentation

Citation preview

Page 1: 第三章 数字系统设计基础实验内容

第三章 数字系统设计基础实验内容

实验一 基本逻辑门电路实验

Page 2: 第三章 数字系统设计基础实验内容

一、基本逻辑门电路性能(参数)测试(一)实验目的1 . 掌握 TTL 与非门、与或非门和异或门输入与输出之间的逻辑关系。2 . 熟悉 TTL 中、小规模集成电路的外型、管脚和使用方法。(二)实验所用器件l . 二输入四与非门 74LS00 1 片2 . 二输入四或非门 74LS02 1 片3 . 二输入四异或门 74LS86 1 片

(三)实验内容• 1.测试二输入四与非门 74LS00 一个与非门的输入和输出之间的逻辑关系。• 2.测试二输入四或非门 74LS02 一个或非门的输入和输出之间的逻辑关系。• 3.测试二输入四异或门 74LS86 一个异或门的输入和输出之间的逻辑关系。

(四)实验提示• 1. 将器件的引脚7与实验台的“地( GND )”连接,将器件的引脚14与实验台的十 5 V连接。• 2. 用实验台的电平开关输出作为被测器件的输入。拨动开关,则改变器件的输入电平。• 3. 将被测器件的输出引脚与实验台上的电平指示灯 (LED) 连接。指示灯亮表示输出低电平(逻辑为0),指示灯灭表示输出高电平(逻辑为 1 )。

Page 3: 第三章 数字系统设计基础实验内容

(五)实验接线图及实验结果 74LS00 中包含4个二输入与非门, 7402 中包含4个二输入或非门, 7486 中包含4个二输入异或门,它们的引脚分配图见附录。下面各画出测试7400 第一个逻辑门逻辑关系的接线图及测试结果。测试其它逻辑门时的接线图与之类似。测试时各器件的引脚7接地,引脚14接十5V。图中的K 1 、K 2 接电平开关输出端, LED0 是电平指示灯。

Page 4: 第三章 数字系统设计基础实验内容

1 、测试 74LS00 逻辑关系接线图及测试结果输 入 输 出

引脚1 引脚3引脚2

K1K2

1

2

3LED0

L HLL

LL

HHH H

HH

图1. 1 测试74LS00逻辑关系接线图 表1. 1 74LS00真值表

Page 5: 第三章 数字系统设计基础实验内容

2 、测试 74LS02 逻辑关系接线图及测试结果输 入 输 出

引脚2 引脚1引脚3

K1K2

123

LED0L HLL

LL

HHH H

图1. 2 测试74LS28逻辑关系接线图 表1. 2 74LS28真值表

LL

Page 6: 第三章 数字系统设计基础实验内容

3 、测试 74LS86 逻辑关系接线图及测试结果输 入 输 出

引脚1 引脚3引脚2

K1K2

12

3 LED0L LL

LL

HHH H

图1. 3 测试74LS86逻辑关系接线图 表1. 3 74LS86真值表

LHH

Page 7: 第三章 数字系统设计基础实验内容

二 、 TTL 、 HC 和 HCT 器件的电压传输特性( 一 ) 、实验目的

1 . 掌握 TTL 、 HCT 和 HC 器件的传输特性。 2 . 掌握万用表的使用方法。

( 二 ) 、实验所用器件 1 . 六反相器74LS04片 2 . 六反相器74HC04片 3 . 六反相器74HCT04片

(三)、实验内容• 1 . 测试 TTL 器件74LS04一个非门的传输特性。• 2 . 测试 HC 器件74HC04一个非门的传输特性。• 3 . 测试 HCT 器件74HCT04一个非门的传输特性。

(四)、实验提示• 1 . 注意被测器件的引脚7和引脚14分别接地和十 5 V。• 2 . 将实验台上4 . 7K Ω 电位器 RTL 的电压输出端连接到被测非门的输入端, RTL 的输出端电压作为被测非门的输入电压。旋转电位器改变非门的输入电压值。• 3 . 按步长 0.2 V调整非门输入电压。首先用万用表监视非门输入电压,调好输入电压后,用万用表测量非门的输出电压,并记录下来。

Page 8: 第三章 数字系统设计基础实验内容

二 、 TTL 、 HC 和 HCT 器件的电压传输特性(五)、实验接线图及实验结果 1 . 实验接线图由于 74LS04 、 74HC04 和 74HCT04 的逻辑功能相同,因此三个实验的接线图是一样的。下面以第一个逻辑门为例,画出实验接线图(电压表表示电压测试点)如右图

v v4. 7K 1 2

+5V

图2. 1 实验二接图

Page 9: 第三章 数字系统设计基础实验内容

二 、 TTL 、 HC 和 HCT 器件的电压传输特性

输入 Vi(V) 输出 Vo74LS04 74HC04 74HCT04

0.00.2… 1.21.4…4.85.0

2 . 输出无负载时 74LS04 、 74HC04 、 74HCT04 电压传输特性测试数据

Page 10: 第三章 数字系统设计基础实验内容

二 、 TTL 、 HC 和 HCT 器件的电压传输特性

图2. 2 74LS04电压传输特性曲线

0 1 2 3 4 5

12345

Vo(V)

VI (V) 0 1 2 3 4 5

12345

Vo(V)

VI (V)

图2. 3 74HC04电压传输特性曲线

图2. 4 74HCT04电压传输特性曲线0 1 2 3 4 5

12345

Vo(V)

VI (V)

3 . 输出无负载时 74LS04 、 74HC04 和 74HCT04 电压传输特性曲线。

Page 11: 第三章 数字系统设计基础实验内容

4 . 比较三条电压传输特性曲线的特点。 尽管只对三个芯片在输出无负载情况下进行了电压传输特性测试,但是从图2 . 2、图2 . 3和图2 .4 所示的三条电压传输特性曲线仍可以得出下列观点 : ( 1 ) 74LS 芯片的最大输入低电平 V IL低于 74HC 芯片的最大输入低电平 V IL, 74LS 芯片的最小输入高电平VIH低于 74HC 芯片的最小输出高电平VIH。 (2) 74LS 芯片的最大输入低电平VIL、最小输入高电平VIH与 74HCT 芯片的最大输入低电平VIL、 最小输出高电平VIH相同。 (3) 74LS 芯片的最大输出低电平VOL高于 74HC 芯片和 74HCT 芯片的最大输出低电平VOL。 74LS 芯片的最小输出高电平VOH低于 74HC 芯片和 74HCT 芯片的最小输出高电平VOH。 (4) 74HC 芯片的最大输出低电平 VOL、最小输出高电平 VOH与 74HCT 芯片的最大输出低电平VOL、最小输出高电平VOH相同。

二 、 TTL 、 HC 和 HCT 器件的电压传输特性

Page 12: 第三章 数字系统设计基础实验内容

5 .在不考虑输出负载能力的情况下,从上述观点可以得出下面的推论(1) 74H CT 芯片和 74HC 芯片的输出能够作为 74LS 芯片的输入使用。(2) 74LS 芯片的输出能够作为 74HCT 芯片的输入使用。 实际上,在考虑输出负载能力的情况下,上述的推论也是正确的。应当指出,虽然在教科书中和各种器件资料中, 74LS 芯片的输出作为 74HC 芯片的输入使用时,推荐的方法是在 74LS 芯片的输出和十 5 V电源之间接一个几千欧的上拉电阻,但是由于对 74LS 芯片而言,一个 74HC 输入只是一个很小的负载, 74LS 芯片的输出高电平一般在3 .5V~ 4.5V 之间,因此在大多数的应用中, 74LS 芯片的输出也可以直接作为 74HC 芯片的输入。

二 、 TTL 、 HC 和 HCT 器件的电压传输特性

Page 13: 第三章 数字系统设计基础实验内容

三、逻辑门控制电路1. 用与非门和异或门安装如图所示的电路。检验它的真值表,说明其功能。

A

B C

Y

控制输入端 (a) (b)图1. 3 (a)多重控制门,(b)真值表

输入控制端B C

输出Y

0 00 11 01 1

AA10

Page 14: 第三章 数字系统设计基础实验内容

三、逻辑门控制电路2 、用3个三输入端与非门 IC 芯片 74LS10安装如图所示的电路 从实验台上的时钟脉冲输出端口选择两个不同频率(约 7khz和 14khz)的脉冲信号分别加到X 0 和X 1 端。对应 B和 S 端数字信号的所有可能组合,观察并画出输出端的波形,并由此得出S和B(及 /B)的功能。

Page 15: 第三章 数字系统设计基础实验内容

实验二 组合逻辑电路部件实验实验目的: 掌握逻辑电路设计的基本方法 掌握 EDA工具MAX-PlusII的原理图输入方法 掌握MAX-PlusII的逻辑电路编译、波形仿真的方法

Page 16: 第三章 数字系统设计基础实验内容

组合逻辑电路部件实验实验内容 利用 EDA工具MAX-PlusII的原理图输入法,分别输入 74138 、 7483 图元符号;建立 74138 、7483 的仿真波形文件,并进行波形仿真,记录波形;分析 74138 、 7483 逻辑关系。 1) . 3-8译码器 74138 的波形仿真 2) . 4 位二进制加法器 7483 的波形仿真 4位二进制加法器集成电路 74LS83 中,A和 B是两个4位二进制数的输入端, Cout, S3,S2,S1,S0 是5位输出端。 Cin是进位输入端,而 Cout是进位输出端。

(一)逻辑单元电路的波形仿真

Page 17: 第三章 数字系统设计基础实验内容

(二)简单逻辑电路设计 根据题目要求,利用 EDA工具MAX-PlusII的原理图输入法,输入设计的电路图;建立相应仿真波形文件,并进行波形仿真,记录波形和输入与输出的时延差;分析设计电路的正确性。

组合逻辑电路部件实验实验内容

Page 18: 第三章 数字系统设计基础实验内容

1. 设计一个 2-4译码器

E 为允许使能输入线, A1 、 A2 为译码器输入, Q0 、Q1 、 Q2 、 Q3 分别为输出, Φ为任意状态 。

输入 输出E A1 A2 Q0 Q1 Q2 Q31 Φ Φ 1 1 1 10 0 0 0 1 1 1

0 1 1 0 1 11 0 1 1 0 11 1 1 1 1 0

2-4译码器功能表如下

Page 19: 第三章 数字系统设计基础实验内容

2.设计并实现一个 4 位二进制全加器( 1 ) 二进制全加器原理 一个n位二进制加法运算数字电路是由一个半加器和(n-1 )个全加器组成。它把两个n位二进制数作为输入信号。产生一个(n+ 1 )位二进制数作它的和。如图所示。

Page 20: 第三章 数字系统设计基础实验内容

用全加器构成的n位二进制加法器

图中A和B是用来相加的两n位输入信号,C n-1,S n-1 ,S n-2 ,······S 2,S 1,S 0 是它们的和。在该电路中对A 0 和B 0 相加是用一个半加器,对其它位都用全加器。如果需要串接这些电路以增加相加的位数,那么它的第一级也必须是一个全加器。

Page 21: 第三章 数字系统设计基础实验内容

( 2 )设计步骤 ①设计 1 位二进制全加器,逻辑表达式如下: Sn=An⊕Bn⊕Cn-1 Cn= An·Bn+ Cn-1(An⊕Bn) An是被加数, Bn是加数, Sn是和数, Cn是向高位的进位, Cn-1 是低位的进位。 ②利用 1 位二进制全加器构成一个 4 位二进制全加器

Page 22: 第三章 数字系统设计基础实验内容

3.交叉口通行灯逻辑问题的实现 图表示一条主干公路(东一面)与一条二级道路的交叉点。车辆探测器沿着A、B、 C 和 D 线放置。当没有发现车辆时,这些敏感组件的输出为低电平‘ 0” 。当发现有车辆时,输出为高电平“ 1” 。交叉口通行灯根据下列逻辑关系控制 :

Page 23: 第三章 数字系统设计基础实验内容

交叉口通行灯逻辑问题的实现(a)东一西灯任何时候都是绿的条件 ( 1 ) C 和 D 线均被占用; ( 2 )没有发现车辆; ( 3 )当 A、 B线没同的占用时, C 或 D任一条线被占用; ( b)南一北灯任问时候都是绿的条件 ( 1 ) A和 B线均被占用,而 C 和 D 线均未占用或只占用 一条线; ( 2 )当 C 和 D均未被占用时, A或 B任一条线被占用。

Page 24: 第三章 数字系统设计基础实验内容

交叉口通行灯逻辑问题的实现 电路应有两个输出端,南北( SN )和东西( EW),输出高电平对应绿灯亮,输出低电平对应红灯亮。 用敏感组件的输出作为逻辑电路输入信号,对所给的逻辑状态建立一个真值表,化简后得最简逻辑表达式,用与非门实现该电路、并用波形仿真设计电路的功能,分析其正确性之。

Page 25: 第三章 数字系统设计基础实验内容

4.设计一个 7 位奇 /偶校验器 奇 /偶校验代码是在计算机中常用的一种可靠性代码。它由信息码和一位附加位——奇/偶校验位组成。这位校验位的取值(0 或 1) 将使整个代码串中的 1 的个数为奇数(奇校验代码)或为偶数(偶校验代码)。

Page 26: 第三章 数字系统设计基础实验内容

(1) 奇 /偶校验位发生器 (A) 奇 /偶校验位发生器就是根据输入信息码产生相应的校验位。如图是 4 位信息码的奇校验位发生器电路。可推知 : 当 B3B4B2B1 中的 1 的个数为偶数时此奇校验位发生器输出的校验位 P为1 ,反之为 0 。

PX3X1

X2

B3B4

B2B1

1

代码分别为 a0 、 a1 、 a2 、 a3 、 a4 、 a5、 a6;奇校验位为 P,偶校验位为 E 。逻辑表达式如下: /P=a0⊕a1⊕a2⊕a3⊕a4⊕a5⊕a6 E= P。

(B) 设计一个 7 位二进制奇 /偶校验位发生器

Page 27: 第三章 数字系统设计基础实验内容

( 2 ) 奇 /偶校验代码校验器 (A) 奇 /偶校验器用于检验奇 (偶 )校验代码在传送和存储中有否出现差错,它具有发现所有奇数个位数错的能力。 (B)设计一个 8 位二进制奇校验器 代码分别为 a0 、 a1 、 a2 、 a3 、 a4 、 a5 、a6 、 /p的奇校验器。逻辑表达式如下: S= a0⊕a1⊕a2⊕a3⊕a4⊕a5⊕a6⊕P 显然,当校验器的输入代码a0a1a2a3a4a5a6 /p中 1 的个数为奇数时,校验器的输出 S 为1 、反之 S 为 0 。

Page 28: 第三章 数字系统设计基础实验内容

5.设计一个四选一的(数据选择器)电路 数据选择器又称输

入多路选择器、多路开关。它的功能是在选择信号的控制下,从若干路输入数据中选择某一路输入数据作为输出。

数据选择器

E

...

. . .

YD1

Dn

D2

C1 Cm数据选择端

使能端

数据输入端

输出端

Page 29: 第三章 数字系统设计基础实验内容

E 是选通使能端, A1 、 A0 分别是选择信号端, D0 、 D1 、D2 、 D3 分别是四路数据, F是输出端。

选通 选择信号 四路数据 输出 E A1 A0 D F1 Φ Φ Φ 00 0 0 D0~

D3 D0

0 0 1 D0~D3

D1

0 1 0 D0~D3

D2

0 1 1 D0~D3

D3

一个四选一数据选择器功能表

Page 30: 第三章 数字系统设计基础实验内容

6.设计一个 1:4 数据分配器 数据分配器的功能是在选通( G )和选择信号 (Cn) 线的控制下将一路输入数据( D )分别分配给相应的输出端( Yn)。

Page 31: 第三章 数字系统设计基础实验内容

G 是选通使能端, S1 、 S0 分别是选择端, D 是一路输入数据, Y0 、 Y1 、 Y2 、 Y3 分别是选择的输出。

输入 输出G S1 S0 D Y0 Y1 Y2 Y31 Φ Φ Φ 1 1 1 10 0 0 D D 1 1 10 0 1 D 1 D 1 10 1 0 D 1 1 D 10 1 1 D 1 1 1 D

1:4 数据分配器功能表

Page 32: 第三章 数字系统设计基础实验内容

7.设计并实现 2 位二进制数字比较器功能描述:比较 A1A0 和 B1B0两个 2 位二进制数:En使能端, En=1有效。当 A1A0=B1B0 时,电路输出端 E=1 ,其它情况时 E=0;当 A1A0>B1B0 时,电路输出端 L=1, 其它情况时 L=0;当 A1A0<B1B0 时,电路输出端 S=1, 其它情况时 S=0;对设计的电路进行波形仿真 ,记录结果。

Page 33: 第三章 数字系统设计基础实验内容

实验三 时序电路设计

Page 34: 第三章 数字系统设计基础实验内容

(一)触发器实验实验目的1 .掌握 RS触发器、 D触发器、 JK 触发器的工作原理。2 .学会正确使用 RS触发器、 D触发器、JK 触发器。

Page 35: 第三章 数字系统设计基础实验内容

实验内容 1. 用 74LS00构成一个 RS 触发器。给出 R 、 S波形序列,进行波形仿真,说明 RS触发器的功能。 2. D触发器 DFF (或双 D触发器 74LS74 中一个 D触发器)功能测试。 D触发器的输入端口 CLR 是复位或清零, PRN 是(置位);给定 D (数据)、 CLK(时钟)波形序列,进行波形仿真,记录输入与输出 Q波形。说明 D触发器是电平触发还是上升沿触发,分析原因。 3. JK触发器 JKFF(或双JK触发器 74LS73 、 74LS76 中一个 JK触发器)功能测试与分析。 JK触发器输入端口 CLR 是复位端, PRN 是置位端, CLKS 是时钟。给出 CK, J, K的波形,仿真JK触发器的功能,说明JK触发器的 CLK何时有效。 D触发器 74LS74 是上升沿触发, JK触发器 74LS73是下降沿触发

Page 36: 第三章 数字系统设计基础实验内容

(二)简单时序电路设计实验实验目的学习利用 EDA 工具设计简单时序电路。掌握简单时序电路的分析、设计、波形仿真、器件编程及测试方法

Page 37: 第三章 数字系统设计基础实验内容

实验内容1. 用 D触发器 DFF(或 74LS74 )构成的 4 位二进制计数器(分频器) (1) 输入所设计的 4 位二进制计数器电路并编译。 (2) 建立波形文件,对所设计电路进行波形仿真。并记录Q0 、 Q1 、 Q2 、 Q3 的状态。 (3) 对所设计电路进行器件编程。将 CLK引脚连接到实验系统的单脉冲输出插孔, 4 位二进制计数器输出端 Q0 、Q1 、 Q2 、 Q3 连接到 LED显示灯, CLR 、 PRN 端分别连接到实验系统两个开关的输出插孔。 (4) 由时钟 CLK输入单脉冲,记录输入的脉冲数,同时观测 Q0 、 Q1 、 Q2 、 Q3 对应 LED显示灯的变化情况。

Page 38: 第三章 数字系统设计基础实验内容

2 .异步计数器 异步计数器是指输入时钟信号只作用于计数单元中的最低位触发器,各触发器之间相互串行,由低一位触发器的输出逐个向高一位触发器传递,进位信号而使得触发器逐级翻转,所以前级状态的变化是下级变化的条件,只有低位触发器翻转后才能产生进位信号使高位触发器翻转。

Page 39: 第三章 数字系统设计基础实验内容

1 )计数器单元电路仿真a) 用 74LS93构成一个 2 位十六进制计数器,并进行波形仿真, 74LS93 图示如下。

Page 40: 第三章 数字系统设计基础实验内容

b) 用 74LS90构成一个 2 位 BCD码计数器,并进行波形仿真。74LS90 图示如下

Page 41: 第三章 数字系统设计基础实验内容

2 )设计异步十进制计数器a) 用 JK 触发器 JKFF(或双 JK触发器 74LS73 、 7476 )构成 1 位十进制计数器(或 BCD计数器)

Page 42: 第三章 数字系统设计基础实验内容

JK 触发器b) 对所设计的计数器,建立相应波形文件,进行波形仿真。并记录计数值 Q0 、 Q1 、Q2 、 Q3 的状态。c) 对设计的计数器进行器件编程、连线,由时钟端 CLK输入单脉冲,测试并记录 Q0 、 Q1 、 Q2 、 Q3 的状态变化,验证设计电路的正确性。

Page 43: 第三章 数字系统设计基础实验内容

3.移位寄存器移位寄存器一种能寄存二进制代码,并能在时钟控制下对代码进行右移或左移的同步时序电路。计算机执行四则运算和逻辑移位等指令少不了移位寄存器,此外,移位寄存器还可用于计算机的串行传输口的串并行信息转换电路。

Page 44: 第三章 数字系统设计基础实验内容

1) 集成移位寄存器波形仿真 74LS95 是 4 位并 / 串输入,并行输出,双向移位的移位寄存器。

Page 45: 第三章 数字系统设计基础实验内容

移位寄存器2) 用 JK 触发器设计一个 4 位串行输入,并行输出右移寄存器。 针对所设计电路建立相应的波形仿真文件,进行波形仿真,器件编程,验证所设计电路的正确性。3) 用 JK 触发器设计 4 位并行输入,串行输出右移寄存器。 对所设计的 4 位右移寄存器建立相应波形仿真文件,进行波形仿真。

Page 46: 第三章 数字系统设计基础实验内容

4.自循环寄存器( 1 )用 D触发器 DFF (或 74LS74 )构成一个四位自循环寄存器。 方法是第一级的 Q端接第二级的 D 端, 依次类推,最后第四级的 Q端接第一级的 D 端。四个 D触发器的 CLK端连接在一起,然后接单脉冲时钟。( 2 )对设计的电路建立相应的波形仿真文件,进行波形仿真。 将触发器 Q0置 1 (即PRN0 输入一个负脉冲), Q1 、 Q2 、 Q3清 0 (即 CLR1 、 CLR2 、 CLR3 输入一个负脉冲)。( 3 )进行器件编程(定义自循环寄存器的输入 /输出引脚号)。( 4 )连线验证所设计电路的正确性 预置初始状态(与波形仿真相同),自循环寄存器的 PRNi和 CLRi 端连接到开关的电平输出插空,输入端 CLK引脚连接到实验系统的单脉冲输出插孔,输出端 Q0 、 Q1 、 Q2 、 Q3连接到 LED显示灯。由时钟 CLK输入端输入单脉冲,观察并记录 Q0 、 Q1 、 Q2 、 Q3 的状态变化。

Page 47: 第三章 数字系统设计基础实验内容

5 .同步计数器 所谓同步计数器是指计数器中各触发器统一使用同一输入输入时钟脉冲(计数脉冲)信号,在同一时刻所有触发器同时翻转并产生进位信号。

Page 48: 第三章 数字系统设计基础实验内容

(1) 用 74LS191构成一个 2 位十六进制计数器,并进行波形仿真。

Page 49: 第三章 数字系统设计基础实验内容

(2) 用 74LS160构成一个 2 位 BCD码计数器,并进行波形仿真。

Page 50: 第三章 数字系统设计基础实验内容

实验四 基于 VHDL 的基本逻辑电路设计实验目的: 学会使用 VHDL语言设计数字单元电路的方法。 掌握用 VHDL语言设计的数字单元电路的调试,波形仿真的方法。

Page 51: 第三章 数字系统设计基础实验内容

(一)基于 VHDL 的组合逻辑电路设计 用 VHDL 语言编写实现下列器件功能的程序并进行编译、波形仿真。1. 二输入与非门2. 三态门电路与总线缓冲器3.BCD-7段 LED译码器4.设计一个 1:4 数据分配器(功能说明见实验二 .( 二 ).6 ) 5.设计一个四位的全加器(功能说明见实验二 .( 二 ).2 ) 6.设计一个 7 位奇偶校验电路(功能说明见实验二 .( 二 ).

4 ) 7. 数字比较器,设计 4 位二进制数字比较器

Page 52: 第三章 数字系统设计基础实验内容

(二)基于 VHDL 的时序电路设计用 VHDL语言编写实现下列器件功能的程序并进行编译、波形仿真与器件编程,并测试其功能。( 1 )触发器和锁存器:设计一个 D触发器( 2 )计数器,设计一位十进制计数器( BCD码计数器)注: VHDL程序范例见附件 1“六进制计数器”

Page 53: 第三章 数字系统设计基础实验内容

(二)时序电路设计( 3 ) 4 位移位寄存器设计 a. 4 位右移寄存器功能要求,四位数据并行一次输入,串行右移依次输出,高位填充“ 0” 。 b. 4 位左移寄存器 2 功能要求,四位数据串行左移依次输入,并行一次输出。

Page 54: 第三章 数字系统设计基础实验内容

VHDL语言设计范例

Page 55: 第三章 数字系统设计基础实验内容

实验五数字系统设计综合实验(一)设计一个十进制脉冲计数装置1 .电路元器件:

Page 56: 第三章 数字系统设计基础实验内容

( 一 )设计一个十进制脉冲计数装置2 .实验步骤( 1 )自行设计 BCD-7段 LED译码器、十进制计数器;( 2 )对所设计电路进行仿真、综合、编程下载;( 3 )将所设计的元器件进行连接,构成十进制计数器显示装置。

Page 57: 第三章 数字系统设计基础实验内容

3 .实验说明实验系统数码管显示模块:设计了 6 个共阴七段数码管如下图,数码管段选线 LED_PORT ( A、 B、 C 、 D 、 E 、 F、G 、 DP)高电平有效,数码管位选线 LED_CS ( LED1 、LED2 、 LED3 、 LED4 、 LED5 、 LED6 )高电平有效。

Page 58: 第三章 数字系统设计基础实验内容

(二)设计一个 1 位 BCD加法器并显示计算结果的装置 1 .元器件:BCD-7段 LED译码器, 7段共阴数码显示器,进位指示灯(亮表示有进位,灭表示无进位), BCD码加法器,电平开关( 4bit×2)。2 .实验要求 该装置输入两路 BCD 数据(被加数与加数)后,再输入一个启动运算脉冲,加法器完成加法运算并将运算结果显示出来( 7段 LED显示和数, LED 指示灯显示进位 , 若输入数据不是 BCD 数,应显示错误符 E )。

Page 59: 第三章 数字系统设计基础实验内容

(三)设计一个检测 10bits 代码中“ 1” 的个数并显示检测结果的装置要求:设计检测 10 位二进制代码中“ 1” 的个数的检测器,检测结果经 BCD-7段译码器,在数码管显示检测结果。利用实验系统的资源,对设计的电路进行组装和功能检测。

Page 60: 第三章 数字系统设计基础实验内容

(四)设计一个 10秒定时器并显示及时数的装置任务与要求: 设计一个四兆分频器,十进制计数器,BCD-7段译码器,利用实验系统的资源,对设计的电路进行组装和功能检测。 定时器要求有启动定时器工作的按钮。

Page 61: 第三章 数字系统设计基础实验内容

(五)设计 1秒移动一位的 10bit循环跑马灯装置 任务与要求:设计一个 1秒移动一位的 10 位循环移位寄存器,并用 LED 指示灯观察移动效果。利用实验系统的资源,对设计的电路进行组装和功能检测。

Page 62: 第三章 数字系统设计基础实验内容

(六)数字钟设计 任务与要求: 充分利用 CPLD 实验系统提供的硬件资源,用 VHDL语言(或 VH

DL语言与组合逻辑图像结合)设计一个分(两位)、秒(两位)计时器。

Page 63: 第三章 数字系统设计基础实验内容

Maxplus2 使用整体流程原理图设计(或 VHDL )编译

波形仿真器件选择 编译

管脚分配编译

下载

Page 64: 第三章 数字系统设计基础实验内容
Page 65: 第三章 数字系统设计基础实验内容
Page 66: 第三章 数字系统设计基础实验内容
Page 67: 第三章 数字系统设计基础实验内容