174
НАЦИОНАЛЬНЫЙ ИССЛЕДОВАТЕЛЬСКИЙ ЯДЕРНЫЙ УНИВЕРСИТЕТ «МИФИ» МОКЕРОВСКИЕ ЧТЕНИЯ 8-я Международная научно-практическая конференция по физике и технологии наногетероструктурной СВЧ-электроники 24 мая 2017 года СБОРНИК ТРУДОВ МОСКВА

¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

  • Upload
    others

  • View
    4

  • Download
    0

Embed Size (px)

Citation preview

Page 1: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

НАЦИОНАЛЬНЫЙ ИССЛЕДОВАТЕЛЬСКИЙ ЯДЕРНЫЙ УНИВЕРСИТЕТ «МИФИ»

МОКЕРОВСКИЕ ЧТЕНИЯ

8-я Международная научно-практическая конференция по физике и технологии

наногетероструктурной СВЧ-электроники

24 мая 2017 года

СБОРНИК ТРУДОВ

МОСКВА

Page 2: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74

Мокеровские чтения. 8-я Международная научно-практическая конференция по физике и технологии наногетероструктурной СВЧ-электроники, 24 мая 2017 г.: сборник трудов. М.: НИЯУ МИФИ, 2017. − 176 с.

Тематика конференции объединила работы ведущих российских исследователей по целому ряду научно-технических и технологических задач в области гетеро-структурной сверхвысокочастотной (СВЧ) электроники. Материалы докладов подготовлены сотрудниками различных учреждений: институтов Российской ака-демии наук, представителями производственных организаций, ВУЗов и отражают современное состояние и пути развития проблем СВЧ твердотельной электроники на основе наноразмерных гетероструктур и других новых функциональных мате-риалов. Тезисы докладов размещены в тематических секциях, в соответствие с программой конференции. Это разделы: фундаментальные аспекты наногетеро-структурной сверхвысокочастотной электроники; полупроводниковые СВЧ при-боры и устройства: производство, технологии и свойства; гетероструктуры и сверхрешетки, двумерные, одномерные и нульмерные структуры; функциональная электроника и оптоэлектроника.

Материалы получены до 1 апреля 2017 г.

Сборник трудов издается в авторской редакции.

ISBN 978-5-7262-2372-8 © Национальный исследовательский ядерный университет «МИФИ», 2017

Page 3: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Мокеровские чтения. 8-я Международная научно-практическая конференция 3

National Research Nuclear University «MEPhI»

Open Readings named after RAS

Corresponding Member, Professor V.G. Mokerov

VIII International Conference on Physics and Technology

of Nanoheterostructure Microwave Electronics

May 24, 2017

Conference Proceedings

Moscow

Page 4: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

The VIII International Conference on Physics and Technology of Nanoheterostructure Microwave Electronics (In honour of RAS Corresponding Member, Professor V.G.Mokerov) The Conference unites leading scientists and technologists working on the wide range of problems in the field of heterostructure microwave electronics and related topics. The Conference speakers represent various organizations: the Institutes of the Russian Acad-emy of Sciences, the Industrial institutions, the Universities. The presentations reflect the modern state and development of microwave electronics based on nanoheterostruc-tures and other advanced functional materials. Abstracts are combined into thematic sections corresponding to the conference program. The sections are: Fundamentals of nanoheterostructure microwave electronics; Semiconductor microwave devices: fabrica-tion, technology and performance Advanced materials, Heterostructures and superlattic-es, two-dimensional, one-dimensional and zero-dimensional structures; Functional elec-tronics and Optoelectronics, Terahertz photonics.

Proceedings were received before 20.03.2017. Proceedings are published as received with no editing.

ISBN 978-5-7262-2372-8 © National Research Nuclear University «MEPhI», 2017

Page 5: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Мокеровские чтения. 8-я Международная научно-практическая конференция 5

ФУНДАМЕНТАЛЬНЫЕ АСПЕКТЫ НАНОГЕТЕРОСТРУКТУРНОЙ СВЕРХВЫСОКОЧАСТОТНОЙ ЭЛЕКТРОНИКИ

ПОЛУПРОВОДНИКОВЫЕ ПРИБОРЫ И УСТРОЙСТВА: ПРОИЗВОДСТВО, ТЕХНОЛОГИИ И СВОЙСТВА

ВАСИЛЬЧЕНКО А.А., КОПЫТОВ Г.Ф. Квазидвумерная электронно-дырочная жидкость с двумя типами дырок в квантовых ямах Si/SiGe ....................................................................................... 12 ГУДИНА С.В., АРАПОВ Ю.Г., ИЛЬЧЕНКО Е.В., НЕВЕРОВ В.Н., САВЕЛЬЕВ А.П., ПОДГОРНЫХ С.М., ШЕЛУШИНИНА Н.Г., ЯКУНИН М.В., ВАСИЛЬЕВСКИЙ И.С., ВИНИЧЕНКО А.Н.

Квантовые фазовые переходы в режиме квантового эффекта Холла в системах InGaAs/InAlAs со спин-вырожденными уровнями Ландау ............. 14 ГАМКРЕЛИДЗЕ С.А., МАЛЬЦЕВ П.П., ФЕДОРОВ Ю.В. Создание СВЧ монолитных интегральных схем мм диапазона длин волн на основе нитридных гетероструктур ................................................................... 16 АФАНАСЬЕВ А.Н., ГРЕШНОВ А.А., ЗЕГРЯ Г.Г. Квантово-механическая теория ударной ионизации в A3B5 прямозонных полупроводниках и квантовых ямах ................................. 20 АФАНАСЬЕВ А.Н., ГРЕШНОВ А.А., ЗЕГРЯ Г.Г. Перспективы транзисторов с полевым контролем ударной ионизации (I-MOS) в вычислительных системах с тактовой частотой 10 ГГц и выше ...... 22 ПРОТАСОВ Д.Ю., БАКАРОВ А.К., ТОРОПОВ А.И., ЖУРАВЛЕВ К.С.

Рассеяние на деформационном потенциале и сплавном потенциале в донорно-акцепторных гетероструктурах pHEMT............................................. 24 НОВИКОВ С.И., ПАШКОВСКИЙ А.Б., ЛАПИН В.Г., ЛУКАШИН В.М.

Исследование уровней размерного квантования в обращенных гетероструктурах с донорно-акцепторным легированием .................................. 26 ВАСИЛЬЕВСКИЙ И.С., ВИНИЧЕНКО А.Н., КАРГИН Н.И. Электронные транспортные свойства и морфология структур с метаморфной квантовой ямой In0.2Al0.8As/In0.2Ga0.8As ..................................... 28 САФОНОВ Д.А., ВИНИЧЕНКО А.Н., АНТОНОВА Н.В., КАРГИН Н.И., ВАСИЛЬЕВСКИЙ И.С. Влияние донорного легирования кремнием на электронный транспорт в квантовых ямах AlGaAs/InGaAs/GaAs при различных температурах ............ 30 ЧЕРНОВ М.Ю., КОМИССАРОВА Т.А., СОЛОВЬЕВ В.А., МЕЛЬЦЕР Б.Я., КОПЬЕВ П.С., ИВАНОВ С.В. Взаимосвязь дизайна метаморфных структур с КЯ InAs/In0.75Ga0.25As/In0.75Al0.25As, выращенных методом МПЭ на GaAs, с их электрическими и оптическими свойствами ................................................ 34

С О Д Е Р Ж А Н И Е

Page 6: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Фундаментальные аспекты наногетероструктурной сверхвысокочастотной электроники

6 Мокеровские чтения. 8-я Международная научно-практическая конференция

ПОЛУПРОВОДНИКОВЫЕ СВЧ ПРИБОРЫ И УСТРОЙСТВА: ПРОИЗВОДСТВО, ТЕХНОЛОГИИ И СВОЙСТВА

ЕНИШЕРЛОВА К.Л., КОЛКОВСКИЙ Ю.В., ЗИНЕВИЧ В.С. Низкочастотные фазовые шумы СВЧ генераторов и емкостные параметры ALGаN/GаN HEMT-транзисторов ........................................................................ 36 ТОРХОВ Н.А., БАБАК Л.И., СЫЩЕНКО Е.А., ОСОКИНА Л.В.

Температурные зависимости шумовых параметров мощного AlGaN/GaN HEMT L- и S-диапазонов ....................................................................................... 38 РУБАН О.А., АЛЕШИН А.Н., ПОНОМАРЕВ Д.С., ЗЕНЧЕНКО Н.В., МАЛЬЦЕВ П.П.

Частотные характеристики нитрид-галлиевых полевых транзисторов со структурной релаксацией барьерного слоя ..................................................... 40 ОРЛОВ В.В., ЗЕБРЕВ Г.И. Компактная модель GaN полевого транзистора .................................................. 42 ОРЛОВ В.В., ФЕЛИЦЫН В.А.,ЗЕБРЕВ Г.И. Особенности подпороговой проводимости МОП-транзисторов при низких температурах ........................................................................................................... 44 НЕЖЕНЦЕВ А.В., ЗЕМЛЯКОВ В.Е., ЕГОРКИН В.И., ГАРМАШ В.И. Исследование омических контактов к наногетероструктурам на основе арсенида галлиия .................................................................................................... 46 ФЕДОРОВ Д.Г., ИОНОВ А.С., ПЕТРОВ А.В., СЕЛЕЗНЕВ Б.И.

Ионно-легированные слои на нитриде галлия ..................................................... 48 ЖЕЛАННОВ А.В., ИОНОВ А.С., ПЕТРОВ А.В., СЕЛЕЗНЕВ Б.И. Влияние травления на характеристики структур диодов Шоттки на нитриде галлия ................................................................................................... 50 ЖИЛИНСКИЙ В.В., БЕЗБОРОДОВ В.С., ЕГОРОВА Ю.А., ЧЕРНИК А.А., СМИРНОВ А.Г., ЖАРСКИЙ И.М. Электрохимическая технология формирования оптически прозрачных наноструктурированных алюминиевых покрытий для управляющих электродов жидкокристаллических устройств .................................................... 52 ГОРОХ Г.Г., ЗАХЛЕБАЕВА А.И., ЖИЛИНСКИЙ В.В., БОГОМАЗОВА Н.В.

Синтез наноструктурированных мультикомпонентных металлооксидов в матрицах анодного оксида алюминия................................................................ 54 ГОРОХ Г.Г., BIYIKLI N., ДЕМИНСКИЙ П.В., HAIDER A., ЛОЗОВЕНКО А.А., ЛЯХОВА Н.Н., ОСИНСКИЙ В.И.

Формирование матриц регулярных отверстий в Si подложках для селективного синтеза тринитридных наноструктур ..................................... 56 НИГЕРИШ К.А., КОВАЛЬЧУК Н.Г., АВРАМЧУК А.В., КОМИССАРОВ И.В.

Оптимизация методов переноса графена, синтезированного методом ХПО, на целевую подложку ............................................................................................. 58 НИГЕРИШ К.А., АНТОНОВА Н.В., АВРАМЧУК А.В., ВАСИЛЬЕВСКИЙ И.С.

Исследование техники термического оплавления фоторезиста ......................... 60

Page 7: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Фундаментальные аспекты наногетероструктурной сверхвысокочастотной электроники

Мокеровские чтения. 8-я Международная научно-практическая конференция 7

СТРОГОВА А.С., КОВАЛЕВСКИЙ А.А.

Моделирование осаждения легированных пленок поликристаллического кремния и самоорганизации нанокластеров в LPCVD-процессе ....................... 62 ЛУНИН Л.С., АРУСТАМЯН Д.А., МУНТЯНУ Е.Г.

Многокомпонентные гетероструктуры A3B5 на Si-подложках для фотопреобразователей ..................................................................................... 64

ЧЕБОТАРЕВ С.Н., ЕРИМЕЕВ Г.А., МОХАМЕД А.А.А., ГОНЧАРОВА Л.М. Закономерности временной устойчивости и пространственной направленности ионно-лучевого распыления InAs и GaAs, используемого для получения оптоэлектронных наноструктур ........................ 66 СЕРЕДИН Б.М., ЗАИЧЕНКО А.Н., СЕРЕДИНА М.Б. Особенности легирования кремния методом термомиграции ............................ 68 СМИРНИЦКИЙ Н.С. Соединение диэлектрических материалов под действием электрического поля ............................................................................................... 70

ГЕТЕРОСТРУКТУРЫ И СВЕРХРЕШЕТКИ, ДВУМЕРНЫЕ, ОДНОМЕРНЫЕ И НУЛЬМЕРНЫЕ СТРУКТУРЫ

СИБИРМОВСКИЙ Ю.Д., ВИНИЧЕНКО А.Н., ЕРЕМИН И.С., КОЛЕНЦОВА О.С., САФОНОВ Д.А., ЖИГУНОВ Д.М., КАРГИН Н.И., ВАСИЛЬЕВСКИЙ И.С. Электронные транспортные и оптические свойства гетероструктур с легированными слоями квантовых колец GaAs/AlGaAs .................................. 72 ГЕРГЕЛЬ В.А., ГОРШКОВА Н.М., МИНКИН В.С., ПАВЛОВСКИЙ В.В., ВОЛКОВ О.Г. Формирование отрицательного дифференциального сопротивления мультибарьерных гетероструктур и динамические особенности их функционирования в режиме импульсного питания ...................................... 74 АЛТУХОВ И.В., ДИЖУР С.Е., КАГАН М.С., ПАПРОЦКИЙ С.К., ХВАЛЬКОВСКИЙ Н.Е., ВАСИЛЬЕВСКИЙ И.С., ВИНИЧЕНКО А.Н. Проводимость сверхрешеток GaAs/AlAs с электрическими доменами............. 76 ГЕРГЕЛЬ В.А., ГОРШКОВА Н.М., МИНКИН В.С. Теоретическое обоснование и практическое использование концепции зонного инжиниринга при проектировании устройств генерации электромагнитного излучения на основе мультибарьерных гетероструктур GaAs/AlGaAs ........................................................................................................... 78 КЛОЧКОВ А.Н. Распределение электронов в квазиодномерных наноструктурах на основе дельта-легированных квантовых ям InGaAs/InAlAs .......................... 80 АВЕРЬЯНОВ Д.В., КАРАТЕЕВ И.А., ТОКМАЧЁВ А.М., ПАРФЁНОВ О.Е., ТАЛДЕНКОВ А.Н., КОНДРАТЬЕВ О.А., КОРОЛЕВА А.Ф., СТОРЧАК В.Г.

Интеркалированный силицен: новые полиморфы SrSi2 и EuSi2 ........................ 82

Page 8: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Фундаментальные аспекты наногетероструктурной сверхвысокочастотной электроники

8 Мокеровские чтения. 8-я Международная научно-практическая конференция

КОРОЛЕВА А.Ф., АВЕРЬЯНОВ Д.В., ПАРФЁНОВ О.Е., КАРАТЕЕВ И.А., ТАЛДЕНКОВ А.Н., КОНДРАТЬЕВ О.А., ТОКМАЧЁВ А.М., СТОРЧАК В.Г. Переход металл–изолятор в тонкопленочных структурах на основе EuO ........ 84 ХОЛОВ П.А., МОНАРХОВИЧ Н.Д., ГАПОНЕНКО Н.В., СОХРАБИ АНАРАКИ Х., РУДЕНКО М.В., ГОЛОСОВ Д.А., ИВАНОВ В.А., КАРГИН Н.И.

Фототок в пленках титаната стронция на кремнии ............................................. 86 РУДЕНКО М.В., ХОРОШКО Л.С., ГАПОНЕНКО Н.В., МУДРЫЙ A.В., РАЙЧЕНОК Т.Ф. Люминесценция и оптические свойства легированных эрбием тонких пленок титаната стронция...................................................................................... 88 ВИЛЬЕГАС БРИТО Х.К., ГАПОНЕНКО Н.В., СУКАЛИН К.С., РАЙЧЕНОК Т.Ф., ТИХОМИРОВ С.А., КАРГИН Н.И.

Люминесценция европия в алюмоиттриевых пленках ........................................ 90 ЛЕБЕДЕВ А.И. Пьезоэлектрические свойства квазидвумерных нанопластинок SnS ................. 92 САУНИНА А.Ю., НИКИТЕНКО В.Р. Формализм многократного захвата для описания транспорта зарядов в неупорядоченных органических полупроводниках с коррелированным энергетическим беспорядком ................................................................................ 94 ГОЛЬТЯПИН Я.В., ЗВАЙГЗНЕ М.А., ЧИСТЯКОВ А.А., НИКИТЕНКО В.Р. Влияние органических молекул лигандов различной длины на оптические свойства конденсатов квантовых точек PbS ............................... 96 АЛЕКСАНДРОВ А.Е., ЗВАЙГЗНЕ М.А., САМОХВАЛОВ П.С., ЛЫПЕНКО Д.А., ТАМЕЕВ А.Р., ЧИСТЯКОВ А.А.

Исследование фотопроводимости пленок квантовых точек PbS с различными лигандами ....................................................................................... 98 ГОРДЕЙЧУК М.В., КАТИН К.П., МАСЛОВ М.М., КАРГИН Н.И. Структурная изомеризация кремниевого призмана Si19H12 .............................. 100 КАТИН К.П., МАСЛОВ М.М., ГОРДЕЙЧУК М.В., РЫЖУК Р.В., КАРГИН Н.И. Структурные и энергетические свойства допированных азотом фуллеренов ............................................................................................... 102 МАСЛОВ М.М., КАТИН К.П., БОРИСОВА М.К., ГИМАЛЬДИНОВА М.А., РЫЖУК Р.В., КАРГИН Н.И. Двумерные ковалентные комплексы на основе молекул CL-20 ....................... 104

СТРУКТУРНЫЕ СВОЙСТВА НАНОСИСТЕМ И ГЕТЕРОСТРУКТУР ГРЕХОВ М.М., ВИЛЬЯ Н., АВРАМЧУК А.В., ЗИМИН А.Б., ГОЛОСОВ Д.А., КОМИССАРОВ И.В. Исследование структуры и морфологии пленок оксида гафния, осажденных на кремнии методом реактивного магнетронного распыления ............................................................................................................ 106

Page 9: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Фундаментальные аспекты наногетероструктурной сверхвысокочастотной электроники

Мокеровские чтения. 8-я Международная научно-практическая конференция 9

СУЛТАНОВ А.О., СИГЛОВАЯ Н.В., САФАРАЛИЕВ Г.К., КАРГИН Н.И., ТИМОФЕЕВ А.А. Исследование карбидизированного пористого кремния методом рамановской спектроскопии ................................................................................ 108 АВРАМЧУК А.В., ШОСТОЧЕНКО С.А., ФОМИНСКИЙ В.Ю., РОМАНОВ Р.И., СУЛТАНОВ А.О., ГУСЕВ А.С., ЛАБУНОВ В.А., КОМИССАРОВ И.В., КАРГИН Н.И.

Локальный лазерный отжиг пленки 3C-SiC, осажденной методом ХПО на кремниевую подложку .................................................................................... 110 ЛУНИНА М.Л., АЛФИМОВА Д.Л., КАЗАКОВА А.Е. Исследования совершенства и свойств изопараметрических гетероструктур InAlGaPAs/GaAs ........................................................................ 112 ПАЩЕНКО А.С. Влияние Bi на структурные и оптические свойства гетероструктур InAs/GaAs .............................................................................................................. 114 ТОРХОВ Н.А., НИКУЛЬНИКОВА Е.В., ФИЛИМОНОВА И.Д., НОВИКОВ А.В.

Наноразмерные свойства химического окисла p+-GaAs100.......................... 116 ВАСИЛЬЕВ Р.Б., ШЛЁНСКАЯ Н.Н., КАРЛОВА Д.А., ГАРШЕВ А.В., КОЗЛОВСКИЙ В.Ф., ЛЕБЕДЕВ А.И., СЛУЧИНСКАЯ И.А. Синтез, структура и свойства сверхтонких квазидвумерных нанопластинок CdSe и гетероструктур на их основе ................................................................... 118 ГАЛИЕВ Г.Б., КЛИМОВ Е.А., КЛОЧКОВ А.Н., ПУШКАРЕВ С.С., ВАСИЛЬЕВСКИЙ И.С., ГРЕХОВ М.М. Электрофизические и структурные свойства сверхрешеток LT-GaAs/GaAs:Si, полученных методом МЛЭ на подложках GaAs, с ориентацией поверхности (100) и (111)А при низких температурах ..................................................................................... 120 ГАЛИЕВ Г.Б., КЛИМОВ Е.А., ПУШКАРЁВ С.С., КЛОЧКОВ А.Н., КОПЫЛОВ В.Б., ВИНИЧЕНКО А.Н.

Исследование зависимости фотолюминесценции эпитаксиальных плёнок GaAs:Si и In0.5Ga0.5As:Si на подложках GaAs (100) И (111)А от температуры роста ........................................................................................... 122 ЛЮТЦАУ А.В., ЕНИШЕРЛОВА К.Л., КОЛКОВСКИЙ Ю.В., ТЕМПЕР Э.М. Кристаллографическая структура полуизолирующего легированного углеродом буферного слоя GaN гетероструктур AlGaN/GaN/Al2O3 ................ 124 ШАРКОВ А.И., КЛОКОВ А.Ю., КРИВОБОК В.С., ВЕРШКОВ В.А., ЦВЕТКОВ В.А., АМИНЕВ Д.Ф. Анизотропия рассеяния поверхностных акустических волн когерентными границами двойников в кристаллах CdZnTe ............................. 126

Page 10: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Фундаментальные аспекты наногетероструктурной сверхвысокочастотной электроники

10 Мокеровские чтения. 8-я Международная научно-практическая конференция

НАНОМЕТРОЛОГИЯ В ЭЛЕКТРОНИКЕ ТОРХОВ Н.А., НИКУЛЬНИКОВА Е.В., ИВОНИН И.В.

Методы атомно-силовой микроскопии для контроля качества p++-n –GaAs переходов ......................................................................................... 128 ЗОТОВ А.О., САГУНОВА И.В., ШЕВЯКОВ В.И. Особенности формирования сверхтонких пленкок W2C для проводящих зондов атомно-силовой микроскопии ................................................................. 130 ШАРКОВ А.И., КЛОКОВ А.Ю., КРИВОБОК В.С., ЦВЕТКОВ В.А., АМИНЕВ Д.Ф. Особенности отражения потока когерентных фононов в упруго-напряжённых наноструктурах на основе SiGe ................................... 132 БАКУН А.Д., ГУСЕВ А.С., КАРГИН Н.И., МАТЮЩЕНКО И.А., ТИМАШЕВ С.Ф. Анализ влияния ионно-кластерной обработки на состояние поверхности оптической стеклокерамики методом фликкер-шумовой спектроскопии ...... 134

ФУНКЦИОНАЛЬНАЯ ЭЛЕКТРОНИКА И ОПТОЭЛЕКТРОНИКА ГРОМОВ Д.В., ПОЛЕВИЧ С.А. Влияние мощного микроволнового излучения на полупроводниковые элементы на основе арсенида галлия .................................................................. 136 ВАСИЛЬЕВСКИЙ И., СТРИХАНОВ М., КАРГИН Н., БОЛЬШАКОВА И., БУЛАВИН М., ВАСИЛЬЕВ А., КОСТЬ Я., КУЛИКОВ С., РАДИШЕВСКИЙ М., ШУРЫГИН Ф. Влияние облучения нейтронами на параметры холловских сенсоров на основе нанопленок молибдена ....................................................................... 138 БАКЕРЕНКОВ А.С., ФЕЛИЦЫН В.А., РОДИН А.С., ПЕРШЕНКОВ В.С. Влияние смещения эмиттерного перехода на темп радиационной деградации биполярных SiGe транзисторов ...................................................... 140 ВОРОПАЕВ К.О., СЕЛЕЗНЕВ Б.И., ИОНОВ А.С. Вертикально-излучающие лазеры ближнего ИК-диапазона............................. 142 АВАКЯНЦ Л.П., АСЛАНЯН А.Э., БОКОВ П.Ю., ВОЛКОВ В.В., КОГАН Л.М., ТУРКИН А.Н., ЧЕРВЯКОВ А.В., ЮНОВИЧ А.Э.

Спектры электролюминесценции и электроотражения светодиодных гетероструктур на основе нитрида галлия ......................................................... 144 БОГДАНОВ E.В., МИНИНА Н.Я. Температурная и деформационная зависимости длины волны и поляризации излучения лазерных диодов на основе гетероструктур p-AlGaAs/GaAsP/n-AlGaAs .................................................................................. 146 KELLOGG C., NANAYAKKARA K., VASIL’EVSKII I., KOZHANOV A. Forward volume magnetostatic spin wave propagation in structured magnetic films ........................................................................................................ 148

Page 11: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Фундаментальные аспекты наногетероструктурной сверхвысокочастотной электроники

Мокеровские чтения. 8-я Международная научно-практическая конференция 11

БАКУН А.Д., ЕРМАКОВ Д.С., ВЕСЕЛОВ Д.С., ВОРОНОВ Ю.А., КИРЕЕВ В.Ю., ДЮЖЕВ Н.А. Разработка МЭМС-устройств на основе многослойных мембранных конструкций .......................................................................................................... 150 ЗАХАРЧЕНКО Р.В., ШОСТАЧЕНКО С.А., РЫЖУК Р.В., КАРГИН Н.И. Разработка температурного датчика на основе тонких резистивных пленок ............................................................................................. 152 МАХИБОРОДА М.А., МАХИБОРОДА А.В.., ИЛЬИЧЁВ А.В., ПОДОБИН А.А.

Базовая технология построения коммутационной среды для высокопараллельных вычислительных систем ........................................... 154

ТЕРАГЕРЦОВАЯ ЭЛЕКТРОНИК И ФОТОНИКА

ХАБИБУЛЛИН Р.А., ЩАВРУК Н.В., ПАВЛОВ А.Ю., СЛАПОВСКИЙ Д.Н., ГАЛИЕВ Р.Р., ТОМОШ К.Н., КЛОЧКОВ А.Н., ПОНОМАРЕВ Д.С., ЗУБОВ Ф.И., ЦЫРЛИН Г.Э., ЖУКОВ А.Е.

Современное состояние и перспективы создания терагерцовых квантово-каскадных лазеров в России ................................................................ 156 ПОНОМАРЕВ Д.С., ХАБИБУЛЛИН Р.А., ЯЧМЕНЕВ А.Э., МАЛЬЦЕВ П.П., ГРЕХОВ М.М., ИЛЯКОВ И.Е., ШИШКИН Б.В., АХМЕДЖАНОВ Р.А.

Разработка источников импульсного ТГц излучения на основе InxGa1-xAs под воздействием фотоэффекта дембера ............................................................ 158 ЯЧМЕНЕВ А.Э., СЕМЕНИХИН И.А., ВЬЮРКОВ В.В., БУГАЕВ А.С., ПОНОМАРЕВ Д.С., ХАБИБУЛЛИН Р.А., МАЛЬЦЕВ П.П., РЫЖИЙ М.В., РЫЖИЙ В.И., OTSUJI T. Разработка модели ТГц болометра на горячих электронах на основе GaAs структуры с нанонитями из атомов олова .......................................................... 160 АЛЕКСАНЯН А.А., КАРУЗСКИЙ А.Л., МИТЯГИН Ю.А., МУРЗИН В.Н., ПЕРЕСТОРОНИН А.В. Вынужденные возбуждения поляритонного типа в детектирующих терагерцовых двухбарьерных GaAs/AlAs наноструктурах, обусловленные неравновесностью процесса резонансного туннелирования .................................................................................................... 162 КОЗЛОВСКИЙ К.И., ВАСИЛЬЕВСКИЙ И.С., ВИНИЧЕНКО А.Н., КАРГИН Н.И., КОТКОВСКИЙ Г.Е., ЧИСТЯКОВ А.А. Исследование характеристик фотопроводящих антенн как источника широкополосного излучения для ТГц – видения .............................................. 164 НОМОЕВ С.А., ВАСИЛЬЕВСКИЙ И.С., ВИНИЧЕНКО А.Н., КОЗЛОВСКИЙ К.И., ЧИСТЯКОВ А.А. Электрофизические свойства терагерцового фотоприемника и фотопроводящей антенны на основе LT-GaAs ............................................... 166 Именной указатель авторов ....................................................................................... 168

Page 12: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Фундаментальные аспекты наногетероструктурной сверхвысокочастотной электроники

12 Мокеровские чтения. 8-я Международная научно-практическая конференция

КВАЗИДВУМЕРНАЯ ЭЛЕКТРОННО-ДЫРОЧНАЯ ЖИДКОСТЬ С ДВУМЯ ТИПАМИ ДЫРОК В КВАНТОВЫХ ЯМАХ Si/SiGe

*А.А. Васильченко1, Г.Ф. Копытов2

1 Кубанский государственный технологический университет Россия, 350067, г. Краснодар, ул. Московская, дом 2, e-mail: [email protected]

2Кубанский государственный университет Россия, 350040, г.Краснодар, ул. Ставропольская, дом 149, e-mail: [email protected]

QUASI-TWO-DIMENSIONAL ELECTRON-HOLE LIQUID WITH TWO TIPE

OF HOLES IN QUANTUM WELLS SI/SIGE

*A.A. Vasilchenko1, G.F. Kopytov2

1 Kuban State Technological University Russia, 350067, Krasnodar, Moskovskaya 2, e-mail: [email protected]

2Kuban State University Russia, 350040, Krasnodar, Stavropolskaya, 149, e-mail: [email protected]

The density functional theory was used to calculate the EHL energy. Three one-

dimensional nonlinear Schrödinger equations for electrons, light and heavy holes were solved numerically. It is shown that light and heavy holes exist in small quantum wells (small x) in EHL. With an increase in x, a transition to a state with one type of hole oc-curs, and in this transition the concentration of electron-hole pairs decreases more than twofold. The EHL contains only heavy holes when x>0.06. The results of the calcula-tions are in good agreement with the experimental results.

В недавних работах [1, 2] была обнаружена тонкая структура в спек-

тре ЭДЖ в квантовых ямах Si1-xGex. Объяснить форму линии конденсиро-ванной фазы удалось в рамках представлений о многокомпонентной фер-ми-жидкости, содержащей как тяжёлые, так и лёгкие дырки.

Целью настоящей работы является нахождение энергии и равновес-ной плотности квазидвумерной ЭДЖ с двумя типами дырок. Для вычис-ления энергии ЭДЖ используется теория функционала плотности [3]. Численно решались три одномерных нелинейных уравнений Шредингера для электронов, легких и тяжелых дырок.

Результаты численного решения уравнений Шредингера представле-ны на рисунке 1. Для малых x (мелкие ямы) ЭДЖ содержит два типа ды-рок и минимум энергии соответствует N = 0.35 при x = 0.04. Рассчитанная плотность очень хорошо согласуется с экспериментальными результатами [1, 2]. С увеличением x появляется два минимума, один из которых соот-ветствует ЭДЖ с тяжелыми дырками, а второй – ЭДЖ с легкими и тяже-

ФУНДАМЕНТАЛЬНЫЕ АСПЕКТЫ НАНОГЕТЕРОСТРУКТУРНОЙ СВЕРХВЫСОКОЧАСТОТНОЙ ЭЛЕКТРОНИКИ

Page 13: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Фундаментальные аспекты наногетероструктурной сверхвысокочастотной электроники

Мокеровские чтения. 8-я Международная научно-практическая конференция 13

лыми дырками. При x = 0.06 ЭДЖ содержит только тяжелые дырки. От-метим, что подобный переход наблюдался и в эксперименте.

Таким образом, показано, что в мелких квантовых ямах (малые x) в ЭДЖ существуют легкие и тяжелые дырки. С увеличением x происходит переход в состояние с одним типом дырок, причем при этом переходе концентрация электронно-дырочных пар уменьшается более чем в два раза.

Рис. 1. Зависимость энергии электронно-дырочной пары от двумерной концентрации пар

Работа выполнена при финансовой поддержке РФФИ и Администра-

ции Краснодарского края (проект 16-42-230280).

Литература 1. В.С. Багаев, В.С. Кривобок, С.Н. Николаев, Е.Е. Онищенко, М.Л. Скориков, А.В. Новиков, Д.Н. Лобанов. Письма в ЖЭТФ 94, 63 (2011). 2. С.Н. Николаев, В.С. Кривобок, В.С. Багаев, Е.Е. Онищенко, А.В. Новиков, М.В. Шалеев. Письма в ЖЭТФ 104, 61 (2016). 3. А.А. Васильченко, Г.Ф. Копытов, В.С. Кривобок, Д.А. Ермохин. Известия высших учеб-ных заведений. Физика 59, 151 (2016).

Page 14: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Фундаментальные аспекты наногетероструктурной сверхвысокочастотной электроники

14 Мокеровские чтения. 8-я Международная научно-практическая конференция

КВАНТОВЫЕ ФАЗОВЫЕ ПЕРЕХОДЫ В РЕЖИМЕ КВАНТОВОГО ЭФФЕКТА ХОЛЛА В СИСТЕМАХ InGaAs/InAlAs

СО СПИН-ВЫРОЖДЕННЫМИ УРОВНЯМИ ЛАНДАУ

*С.В. Гудина1, Ю.Г. Арапов1, Е.В. Ильченко1, В.Н. Неверов1, А.П. Савельев1, С.М. Подгорных1, Н.Г. Шелушинина1, М.В. Якунин1, И.С. Васильевский2, А.Н. Виниченко2

1Институт физики металлов им. М.Н. Михеева УрО РАН, 620137, Россия, Екатеринбург, ул. С. Ковалевской, 18, e-mail: [email protected]

2НИЯУ «МИФИ», 115409, Россия, Москва, Каширское шоссе, 31

QUANTUM HALL TRANSITIONS IN THE PRESENCE OF LANDAU LEVEL MIXING IN n- InGaAs/InAlAs NANOSTRUCTURES

*S.V. Gudina1, Yu.G. Arapov1, E.V. Ilchenko, V.N. Neverov1, A.P. Savelyev1, S.M. Podgornykh1, N.G. Shelushinina1, M.V. Yakunin1, I.S. Vasil’evskii2, A.N. Vinichenko2

1M.N. Miheev Institute of Metal Physics of Ural Branch of Russian Academy of Sciences, 620990, Russia, Yekaterinburg, S.Kovalevskaya str. 18, e-mail: [email protected]

2NRNU (MEPhI), 115409, Russia, Moscow, Kashirskoe shosse, 31

We have provided a systematic measurement of the longitudinal ρxx and Hall ρxy re-sistances of quantum Hall transition in a two-dimensional electron system In0.81Ga0.19As/In0.9Al0.1As with strong spin-orbit coupling. There is no definite evidence that the standard scaling behavior with single critical point remains unchanged with strong Landau level degeneracy. The recent prediction that the width of transition region remains finite when extrapolated to zero temperature, resulting from Landau level mix-ing, is more preferable.

Исследование фазовых переходов в режиме квантового эффекта Хол-

ла (КЭХ) в двумерных системах показало, что в ряде случаев при интер-претации экспериментальных результатов в рамках теории скейлинга зна-чения критических индексов получаются не универсальными [1]. Теория скейлинга построена на предположении, что в одноэлектронном прибли-жении имеется только одно делокализованное состояние на каждом из квантовых уровней. Хотя в [2] утверждается, что перемешивание уровней Ландау (УЛ) не изменяет класс универсальности, однако, взаимодействие электронов с разными направлениями спинов приводит к появлению по-лосы делокализованных состояний даже при абсолютном нуле температу-ры [1], что называется одной из причин наблюдения неуниверсального скейлингового поведения.

Представлено исследование гетероструктуры с квантовой ямой InAlAs/InGaAs/InAlAs [3]. Продольная ρxx и холловская ρxy компоненты тензора сопротивления (рис. 1) снимались одновременно в магнитных полях до 9 Тл и температурах от 1.8 К до 30 К. Характерной чертой КЭХ является появление узких пиков на продольном сопротивлении ρxx,

Page 15: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Фундаментальные аспекты наногетероструктурной сверхвысокочастотной электроники

Мокеровские чтения. 8-я Международная научно-практическая конференция 15

разделенных минимумами (рис. 1), связанными с областями плато КЭХ, которые возникают благодаря локализации электронных состояний на уровне Ферми, когда он расположен между УЛ. На рис. 2 представлены зависимости ширины пиков ρxx, Δ, для переходов между плато 3→4, 4→5, 5→6 от температуры, T, и возможность их описания как степенным Δ∝Тĸ, предсказываемым теорией скейлинга, так и линейным Δ∝β+αТ законами. При Т≤3 К экспериментальные точки лучше описываются линейным законом, что позволяет сделать предположение о конечной ширине полосы делокализованных состояний.

Особенностью исследуемых нами структур является наличие спин-орбитального взаимодействия, которое сильно влияет на спиновое рас-щепление УЛ. В [4] был рассмотрен случай, когда для электронной си-стемы с плавным потенциалом беспорядка и сильным спин-орбитальным взаимодействием в режиме КЭХ два уширенных зеемановских УЛ сильно пересекались. Оказалось, что спин-орбитальное взаимодействие является причиной изменения перколяционной сетки, что приводит к увеличению диссипативной проводимости при конечной Т, когда уровень Ферми ле-жит между энергиями двух делокализованных состояний в центрах уши-ренных УЛ.

0 1 2 3 4 5 6 7 8 90,0

0,1

0,2

0,3

ρ xx,

ρ xy,

h/e

2

B, T

T=1.8-10 K

i=6

i=5

i=4

i=3

T

0 1 2 3 4 5 6 7 8 9 100,0

0,2

0,4

0,6

0,8

α=0.036 K-1

α=0.057 K-1

65

5443

Δ, T

T, K

β=0.16 T

κ=0.76β=0.16 Tκ=0.63

β=0.12 Tκ=0.60

α=0.097 Κ−1

Рис. 1. (слева) Зависимости магнитополевые зависимости ρxx (T=1.8÷10 K) и ρxy (T=1.8 K) при фиксированных температурах (стрелка показывает рост Т)

Рис. 2. (справа) Температурные зависимости ширины пиков ρxx для переходов между плато КЭХ 3→4, 4→5 и 5→6. Сплошные линии − Δ∝Тĸ, пунктирные − Δ∝β+αТ

Работа выполнена в рамках государственного задания ФАНО России (тема «Электрон», 01201463326), при поддержке РФФИ 16-32-00725, программы УрО РАН 15-9-2-21.

Литература 1. D. Shahar, et al, Sol.Stat.Comm. 107, 19 (1998). 2. J.T. Chalker, P.D. Coddington, J.Phys. C 21, 2665 (1988); D.-H. Lee, Z. Wang, Phys.Rev.Lett. 76, 4014 (1996). 3. I.S. Vasilevsky, et al, Semiconductors 50, 567 (2016). 4. D.G. Polyakov, M.E. Raikh, Phys.Rev.Lett. 75, 1368(1995); Y. Avishai, Y. Meir, Phys.Rev.Lett. 89, 076602 (2002).

Page 16: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Фундаментальные аспекты наногетероструктурной сверхвысокочастотной электроники

16 Мокеровские чтения. 8-я Международная научно-практическая конференция

СОЗДАНИЕ СВЧ МОНОЛИТНЫХ ИНТЕГРАЛЬНЫХ СХЕМ ММ- ДИАПАЗОНА ДЛИН ВОЛН НА ОСНОВЕ НИТРИДНЫХ ГЕТЕРОСТРУКТУР

*С.А. Гамкрелидзе, П.П. Мальцев, Ю.В. Федоров

Институт сверхвысокочастотной полупроводниковой электроники РАН Россия, 117105, г. Москва, Нагорный проезд, дом 7,

e-mail: [email protected]

DEVELOPMENT OF MICROWAVE MONOLITHIC INTEGRATED CIRCUITS OF MM WAVELENGTH RANGE ON THE BASIS OF NITRIDE HETEROSTRUCTURES

S.A. Gamkrelidze, P.P. Maltsev, Ju.V. Fedorov

Institute of ultra high frequency semiconductor electronics of RAS Russia, 117105 Moscow, Nagornij proezd 7, e-mail: [email protected]

IUHFSE RAS has developed on the basis of nitride heterostructures a set of mono-

lithic integrated circuits intended for application in the receiver-transmitter modules with rigid restrictions for the mass-dimensional characteristics and power consumption, and increased requirements to the resistance to the external and special factors, and op-erating in the frequency range of 57 – 64 GHz. Technical and operational characteristics of the specified products are presented. The developed monolithic integrated circuits can be used in severe service conditions and, due to their functionalities, they can be applied in the telecommunication and control systems.

Приоритетные исследования ИСВЧПЭ РАН связаны с развитием при-

боров на нитридных гетероструктурах, как наиболее перспективного направления СВЧ электроники. Использование наногетероструктур на основе нитрида галлия для создания приборов СВЧ диапазона (транзисто-ры и МИС) позволяет реализовать системы, работающие в значительно более широком диапазоне температур, а также с большей удельной вы-ходной мощностью по сравнению с приборами на основе кремния и арсе-нида галлия. Кроме того, за счет более высоких рабочих напряжений КПД приборов на основе нитрида галлия может превосходить КПД их аналогов на основе кремния и арсенида галлия. Приборам на основе нитрида галлия также присуща высокая радиационная стойкость. Их применение суще-ственно улучшает параметры усилителей, модуляторов, преобразователей напряжения и других ключевых элементов современных радиоэлектрон-ных и телекоммуникационных систем.

В настоящее время ИСВЧПЭ РАН на основе нитридных гетерострук-тур разработан комплект МИС 5 мм диапазона длин волн в бескорпусном исполнении.

Разработанный комплект МИС серии включает малошумящий усили-тель (МШУ) со встроенной антенной на входе (5411УВ01АН) и без ан-

Page 17: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Фундаментальные аспекты наногетероструктурной сверхвысокочастотной электроники

Мокеровские чтения. 8-я Международная научно-практическая конференция 17

тенны (5411УВ01Н), усилитель мощности (УМ) со встроенной антенной на выходе (5411УВ02АН) и без антенны (5411УВ02Н) и преобразователь сигнала 5411НС01Н (ПС).

Следует отметить, что интеграция антенных элементов с усилитель-ными схемами на одном кристалле реализована впервые в отечественной практике. Антенный элемент сформирован в виде монополя сложной формы. Размер антенного элемента вместе с подводящим полоском: 1.0 x 0.72 мм2. В полосе частот 57÷64 ГГц потери составляют минус 10 дБ. Диаграмма направленности близка к кардиоидной (излучение перпенди-кулярно поверхности антенны) [1].

Комплект предназначен для применения в составе приемо-передающих модулей с жесткими ограничениями по массо-габаритным характеристикам и потребляемой мощности, повышенными требованиями по стойкости к внешним и специальным факторам, работающих в частот-ном диапазоне 57÷64 ГГц.

Указанный частотный диапазон обладает следующими преимущества-ми: - позволяет работать в широкой полосе частот и обеспечивает скорость передачи данных до 5 Гбит/с и выше; - характеризуется высокой степенью поглощаемости в атмосфере, что позволяет создавать изолированные каналы связи; - малая длина волны делает возможной интеграцию антенн и целых ан-тенных решеток на одном кристалле.

МИС построены на НЕМТ-транзисторах, формируемых на гетеро-структурах AlGaN/GaN с подложкой сапфира толщиной 340 мкм с техно-логическими нормами 110 нм.

Разработан полный технологический маршрут изготовления МИС на основе нитридных гетероструктур на подложке сапфира, включая этапы формирования приборной «меза» изоляции, формирования невыпрямля-ющего (омического) контакта к гетероструктуре, формирования резисто-ров, нижних обкладок конденсаторов, индуктивностей, формирования выпрямляющего контакта (контакта Шоттки) к гетероструктуре, пассива-ции затвора транзистора, формирования конденсаторного диэлектрика, формирования первого уровня металлизации и верхних обкладок конден-саторов, формирования межэлектрических соединений в виде «воздуш-ных мостов», формирования межэлекрических соединений, обеспечива-ющих общую землю, шлифовки пластины, резки пластины на кристаллы.

Технологический маршрут разработан на основе оптимальных кон-структорско-технологических решений, в том числе создание «заземляю-щей плоскости» над лицевой поверхностью пластины с уже изготовлен-

Page 18: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Фундаментальные аспекты наногетероструктурной сверхвысокочастотной электроники

18 Мокеровские чтения. 8-я Международная научно-практическая конференция

ными активными и пассивными СВЧ элементами поверх слоя полимерно-го диэлектрика толщиной 10÷15 мкм – специального фотолака. При этом заземление соответствующих элементов производится через отверстия в слое фотолака, одновременно выполняющего роль защитной пассивации [2].

Использование технологии формирования невжигаемых омических контактов к гетероструктуре AlGaN/GaN/Al2O3 позволило отказаться от высокотемпературных процессов обработки. Это дало возможность со-хранить высококачественный рельеф контактов для последующих техно-логических операций, а также получать омические контакты с удельным сопротивлением 0.2 Ом·мм, что является результатом мирового уров-ня [3].

ГУН Передающая антенна

Приемная антенна Смеситель

Рис. 1. Однокристальная МИС приемо-передающего модуля со встроенными антеннами

для диапазона частот 57÷64 ГГц на нитриде галлия В ИСВЧПЭ РАН выполняются перспективные разработки высоко ин-

тегрированных МИС приемо-передающих модулей в однокристальном исполнении на основе нитридных гетероструктуры. Топология кристалла показана на рис. 1. Сигнал гетеродина, генерируемый ГУН, через дели-тель мощности подается на передающую антенну и на балансный смеси-тель. В смесителе сигналы гетеродина и высокочастотного сигнала с при-емной антенны преобразуется в сигнал промежуточной частоты.

Page 19: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Фундаментальные аспекты наногетероструктурной сверхвысокочастотной электроники

Мокеровские чтения. 8-я Международная научно-практическая конференция 19

В дальнейшем при массовом выпуске СВЧ МИС возможен переход на кремниевые подложки, обладающие достаточно высокой теплопроводно-стью, более удобные для обработки и потенциально более дешевые по сравнению, например с сапфировыми или карбид кремниевыми подлож-ками. ИСВЧПЭ РАН активно проводит исследования по решению про-блемы освоения производства нитрид галлиевых гетероструктур на крем-нии.

Разработанные базовая технология и конструктивно-схемотехнические решения стали основой для выполнения последующих работ по созданию перспективной СВЧ ЭКБ в интересах создания систем связи и управле-ния, а также минирадаров.

Литература 1. П.П. Мальцев и др. Ракетно-космическое приборостроение и информационные системы, 2, 2016, С. 73-78. 2. Р.Р. Галиев и др. Нано- и Микросистемная техника,2, 2015, С. 21-32. 3. Nidhi et al. Jpn. J. Appl. Phys. 49, 2010, 021005.

Page 20: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Фундаментальные аспекты наногетероструктурной сверхвысокочастотной электроники

20 Мокеровские чтения. 8-я Международная научно-практическая конференция

КВАНТОВО-МЕХАНИЧЕСКАЯ ТЕОРИЯ УДАРНОЙ ИОНИЗАЦИИ В A3B5 ПРЯМОЗОННЫХ ПОЛУПРОВОДНИКАХ И КВАНТОВЫХ ЯМАХ

А.Н. Афанасьев, *А.А. Грешнов, Г.Г. Зегря

ФТИ им. А.Ф. Иоффе Россия, 194021, Санкт-Петербург, Политехническая ул. 26, e-mail: [email protected]

QUANTUM MECHANICAL THEORY OF IMPACT IONIZATION

IN A3B5 DIRECT-GAP SEMICONDUCTORS AND QUANTUM WELLS

A.A. Afanasiev, *A.A. Greshnov, G.G. Zegrya

Ioffe Institute Russia, 194021, St Petersburg, Polytechnicheskaya 26, e-mail: [email protected]

We present quantum-mechanical theory of impact ionization in semiconductors

with the direct band gap in Γ-point. It is shown that energy dependence of the impact ionization rate W(E) near a threshold Eth is given by superposition of the two terms, one of which is strongly anisotropic and quadratic in δE=E-Eth, while another one is iso-tropic and cubic in δE. Explicit form of the coefficients in such representation is derived in the framework of the 14-band k·p model, and we claim the room temperature domina-tion of the cubic contribution for most of the direct-gap materials with Eg up to 1.5 eV. For the case of an extremely narrow and deep quantum well W(E) is shown to be linear in δE and to scale like a fourth power of the inverse quantum well width, alluding to higher intensity of the impact ionization in semiconductor nanostructures with good prospects for device applications.

Ударная ионизация (УИ) играет важную роль в полупроводниковых

приборах, причем в определенной их части - сугубо положительную, ведь на этом явлении основан принцип их работы. К ним относятся лавинно-пролетный диод, лавинный фотодиод [1] и транзистор с полевым контро-лем УИ (I-MOS) [2]. Поскольку для эффективной работы этих приборов желательно максимально интенсифицировать УИ-процессы, а энергетиче-ский порог УИ напрямую определяется шириной запрещенной зоны, наиболее перспективными материалами для их реализации служат прямо-зонные полупроводники A3B5 с шириной запрещенной зоны менее 1 eV. К сожалению, в настоящее время приборные расчеты производятся с ис-пользование подгоночных моделей, имеющих мало общего с теорией УИ и работающих лишь для конкретных материалов и в области параметров, при которых они были «добыты» из эксперимента. Поэтому попытка ис-пользования такой модели за пределами подгоночной области приводит к некорректным результатам, на основании которых проектировать прибо-ры нельзя.

Page 21: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Фундаментальные аспекты наногетероструктурной сверхвысокочастотной электроники

Мокеровские чтения. 8-я Международная научно-практическая конференция 21

Уже более полувека для описания энергетической зависимости темпа УИ используется формула W(E)=C·δEn (δE=E-Eth), в которой степень n=2 можно получить из достаточно простых соображений [3]. Однако для прямозонных полупроводников гораздо лучшее согласие с экспериментом дают показатели вроде 2.5, 4.3 [4], 5.6 [5], а в работе [6] для описания УИ в CdHgTe (Eg=0.1 eV) приведена формула для темпа УИ с n=3. В настоя-щей работе показано [7], что обоснованным приближением является W(E)=A·δE2+B·δE3, и в рамках 14-зонной k·p-модели получены следую-щие выражения для коэффициентов A и B:

* 4 *

2 4 3

/ 24( / ) ( / ), ( / ),

/ 3 2 / 33 18g so g soB B

g so g sog so g soG g

E EQA I k k F E B F E

E EE P E

+ Δ + Δω ω= Δ = Δ+ Δ + Δ

где ωB=mce4/2ħ3ε2 − боровская частота для электронов зоны проводимости

(c), EG − расстояние от валентной зоны (v) до второй зоны проводимости (c'), P и Q − матричные элементы переходов c-v и c'-v при k=0, F(x)≈1. Квадратичный вклад является малым по параметру Eg/EG и сильно анизо-тропным, угловая зависимость коэффициента A показана на рис. 1 в пре-дельных случаях ΔsoEG/Eg

2>>1 (a-c) и ΔsoEG/Eg2<<1 (d-f). В предположе-

нии о классическом виде четной добавки к функции распределения, δf~exp(-E/T*), температура кроссовера между режимами доминирования квадратичного и кубического вкладов, T*=<A>/3B=Q4Eg

3/2P4EG2, оказыва-

ется около 10 K для материала InAs (Eg=0.4 eV), а доминирование кубиче-ского вклада при T*=300 K имеет место для прямозонных полупроводни-ков с Eg<1.5 eV. Также продемонстрировано усиление УИ в узких кванто-вых ямах, где W(E)~δE·a-4 (a − ширина ямы). Литература 1. S.M. Sze, K.K. Ng, Physics of Semiconductor Devices, 3rd Ed., Wiley, 2007. 2. K. Gopalakrishan, P.B. Griffin, J.D. Plummer, IEEE Trans. Electron. Dev. 52, 69 (2005). 3. L.V. Keldysh, Sov. Phys. JETP 10, 509 (1960). 4. K.Y. Choo, D.S. Ong, J. Appl. Phys. 96, 5649 (2004). 5. D. Harrison, R.A. Abram, S. Brand, J. Appl. Phys. 85, 8186 (1999). 6. B. Gelmont, K. Kim, M. Shur, Phys. Rev. Lett. 69, 1280 (1992). 7. 6. A.N. Afanasiev, A.A. Greshnov, G.G. Zegrya, JETP Lett. 105(9) (2017).

Рис. 1. Угловая зависимость коэф. A для сильной (a) и слабой (d) спин-орбиты. b и e показывают сечение плоскостью (100), а c и f - сечение плоскостью (110)

Page 22: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Фундаментальные аспекты наногетероструктурной сверхвысокочастотной электроники

22 Мокеровские чтения. 8-я Международная научно-практическая конференция

ПЕРСПЕКТИВЫ ТРАНЗИСТОРОВ С ПОЛЕВЫМ КОНТРОЛЕМ УДАРНОЙ ИОНИЗАЦИИ (I-MOS) В ВЫЧИСЛИТЕЛЬНЫХ СИСТЕМАХ

С ТАКТОВОЙ ЧАСТОТОЙ 10 ГГЦ И ВЫШЕ

*А.Н. Афанасьев, А.А. Грешнов, Г.Г. Зегря

Физико-технический институт им. А.Ф. Иоффе Россия, 194021, Санкт-Петербург, Политехническая ул., 26, e-mail: [email protected]

PROSPECTS OF THE IMPACT IONIZATION MOS TRANSISTORS (I-MOS)

IN DIGITAL CIRCUITS WITH CLOCK FREQUENCIES ABOVE 10 GHZ

*A.N. Afanasiev, A.A. Greshnov, G.G. Zegrya

Ioffe Institute Russia, 194021, St Petersburg, Polytechnicheskaya 26, e-mail: [email protected]

The progress in development of high speed CPU has saturated during the last dec-

ade with changing to multi-core trend, meaning that calculating power of a standard ALU is not sufficient for modern applications. Such extensive way of evolution imply-ing wasting of materials (including HfO2) is hardly satisfactory, however, state-of-the-art MOSFET technology is unstable above 5 GHz, due to forced increase of voltage and overheating. Two real alternatives for MOSFETs are the tunnel field-effect (TFET) and impact ionization MOS (I-MOS) transistors, both free of 60 meV/dec restriction on a slope of the I-V characteristics. Extremely sharp slopes up to 1 meV/dec were achieved practically on vertical I-MOS at VDS≈2 V, and our proposal is using (relatively) narrow-gap A3B5 compounds to decrease the voltages below 1 V.

В последнее десятилетие развитие центральных процессоров персо-

нальных компьютеров идет по пути наращивания числа независимых ло-гических блоков (ядер) внутри одного кристалла, их число доходит до 10 и больше. Иными словами, вычислительной мощности одного блока тра-диционной архитектуры уже давно недостаточно для удовлетворения да-же индивидуальных потребностей. Однако такой экстенсивный способ развития вряд ли является оптимальным, т.к. он приводит к многократно-му перепотреблению материалов, в том числе HfO2. Альтернативным пу-тем развития могло бы служить увеличение максимальной тактовой ча-стоты вычислительного блока, однако в рамках существующей техноло-гии кремниевых MOSFET попытка разгона выше 5 ГГц приводит к ката-строфическому перегреву, в том числе из-за необходимости существенно-го увеличения напряжения питания транзисторов. Поэтому в настоящее время ведутся активные поиски принципиальной альтернативы MOSFET, в том числе в рамках программы ITRS 2.0 [1].

Наиболее активно в качестве преемников MOSFET обсуждаются тун-нельный полевой транзистор (TFET) [2] и транзистор с полевым контро-

Page 23: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Фундаментальные аспекты наногетероструктурной сверхвысокочастотной электроники

Мокеровские чтения. 8-я Международная научно-практическая конференция 23

лем ударной ионизации (I-MOS) [3], позволяющие преодолеть «термиче-ский порог» наклона ВАХ (60 mV/dec) и (теоретически) работать при напряжениях в десятые и сотые вольта. Первоначально предложенная латеральная схема I-MOS [3] была впервые реализована эксперименталь-но в 2007 г. [4] с крутизной ВАХ на уровне 20 mV/dec, однако достигнута она была при напряжении 18 V, что неприемлемо для применения в вы-числительных схемах. Более эффективной оказалась вертикальная схема I-MOS, позволившая авторам работы [5] продемонстрировать крутизну ВАХ, близкую к 1 mV/dec, при напряжении 2.25 V, что уже сопоставимо с MOSFET (рис. 1). Однако, дальнейшее совершенствование ударно-ионизационных транзисторов в смысле снижения величины питающего напряжения упирается в фундаментальные физические ограничения, свя-занные с электронными свойствами используемых материалов. Ясно, что для запуска ударной ионизации напряжение между истоком и стоком должно быть не меньше пороговой энергии, приблизительно равной ши-рине запрещенной зоны Eg. Также с уменьшением Eg быстро (степенным образом) возрастает обратная масса электронов проводимости, их по-движность и темп ударной ионизации [6], в итоге способствуя увеличе-нию тока транзистора в ударно-ионизационном режиме, что должно по-ложительно сказываться на его быстродействии. Поэтому наиболее пер-спективной в настоящее время представляется возможность конструиро-вания I-MOS на основе полупроводников A3B5 с шириной запрещенной зоны около 0.5 eV, например, InAs или InGaAs.

Литература 1. International Technology Roadmap for Semiconductors (ITRS 2.0), 2014. 2. W.Y. Choi et al., IEEE Electron Dev. Lett. 28, 743 (2007). 3. K. Gopalakrishnan, P.B. Griffin, J.D. Plummer, IEEE Trans. Electron Dev. 52, 69 (2005). 4. F. Mayer et al., Solid-State Electronics 51, 579 (2007). 5. U. Abelein et al., Solid-State Electronics 51, 1405 (2007). 6. A.N. Afanasiev, A.A. Greshnov, G.G. Zegrya, JETP Lett. 105(9) (2017).

Рис. 1. Схема I-MOS (a) и ВАХ при комнатной температуре (b) из работы [5]

Page 24: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Фундаментальные аспекты наногетероструктурной сверхвысокочастотной электроники

24 Мокеровские чтения. 8-я Международная научно-практическая конференция

РАССЕЯНИЕ НА ДЕФОРМАЦИОННОМ ПОТЕНЦИАЛЕ И СПЛАВНОМ ПОТЕНЦИАЛЕ В ДОНОРНО-АКЦЕПТОРНЫХ ГЕТЕРОСТРУКТУРАХ PHEMT

*Д.Ю. Протасов1,2, А.К. Бакаров,1, А.И. Торопов1, К.С. Журавлев1,3

1Институт физики полупроводников им. Академика А.В. Ржанова СО РАН, г. Новосибирск, 630090, пр-т Академика Лаврентьева, 13, e-mail: [email protected]

2Новосибирский государственный технический университет, г. Новосибирск, 630073, пр- т Карла Маркса, 20 3Новосибирский государственный университет,

г. Новосибирск, 630090, ул. Пирогова, д. 2, Россия.

THE DEFORMATION-POTENTIAL SCATTERING AND ALLOY DISORDER SCATTERING IN DONOR-ACCEPTOR PHEMT HETEROSTRUCTURES

*D.Yu. Protasov1,2, A.K. Bakarov1, A.I. Toropov1, K.S. Zhuravlev1,3

1 Rzhanov Institute of Semiconductor Physics, Siberian Branch of Russian Academy of Sciences,

13, Lavrentiev avenue, Novosibirsk, Russia, 630090, e-mail: [email protected] 2 Novosibirsk State Technical University, 20, K.Marx avenue, Novosibirsk, Russia, 630073

3 Novosibirsk State University, 2, Pirogov street, Novosibirsk, Russia, 630090.

The role of deformation potential scattering and alloy disorder scattering was inves-tigated in donor-acceptor pHEMT heterostructures. For this purpose the heterostructure line with various indium mole fractions in the channel and with equal doping level was grown. The measurements of 2DEG mobility temperature dependencies were carried in range of 4.2 ÷ 77 K for study the effect of scattering on deformation potential (and clari-fy values of the deformation potential), as these dependencies mainly defined by this type of scattering.

Использование гетероструктур pHEMT с донорно-акцепторным леги-

рованием (DA-pHEMT) позволяет более чем на 50% увеличить удельную выходную мощность сверхвысокочастотных транзисторов [1]. Вследствие высокой концентрации атомов примеси в донорных δ-слоях таких гетеро-структур (до (7÷8)·1012 см-2), кулоновское рассеяние весьма заметно огра-ничивает подвижность двумерного электронного газа (ДЭГ), являясь вто-рым по интенсивности после рассеяния на полярных оптических фононах при комнатной температуре и доминируя при низких температурах (по-рядка температуры жидкого азота). Для уменьшения влияния этого меха-низма рассеяния каждый из δ-слоёв был разделён на два слоя, отстоящих друг от друга на 2 нм [2]. Ближний к КЯ δ-слой отвечал за формирование ДЭГ, а дальний – за донорно-акцепторное легирование. В результате это-го для концентрации ДЭГ 4·1012 см-2 были получены значения подвижно-сти более 6500 см2В-1с-1 и 21000 см2В-1с-1 для 300 К и 77 К, соответствен-но. С целью дальнейшей оптимизации гетероструктур необходимо иссле-

Page 25: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Фундаментальные аспекты наногетероструктурной сверхвысокочастотной электроники

Мокеровские чтения. 8-я Международная научно-практическая конференция 25

довать следующие по величине механизмы рассеяния на деформационном потенциале и на неоднородностях сплава.

Для разделения вкладов рассеяний на деформационной моде акусти-ческих фононов и на неоднородностях сплава была выращена серия гете-роструктур AlGaAs/InGaAs/AlGaAs с разным содержанием индия y в КЯ (от 18% до 0%) и одинаковым уровнем легирования. Из-за уменьшения глубины КЯ при уменьшении содержания индия концентрация ДЭГ уменьшалась от 4·1012 см-2 до 2.4·1012 см-2 (см. рис. 1а, б).

Подвижность ДЭГ и проводимость гетероструктур при комнатной температуре имеют максимум при y=12%. При Т=77 К максимальную подвижность имеет гетероструктура с y=6%, однако проводимость мак-симальна по прежнему для y=12%. Вклад рассеяния на деформационной моде акустических фононов определялся из анализа температурных зави-симостей подвижности ДЭГ, измеренных в диапазоне 4.2 К ÷ 77 К. По-движность ДЭГ находилась по измерениям эффекта Холла и проводимо-сти на классических холловских мостиках на переменном токе. Измерен-ные температурные зависимости подвижности сравнивались с теоретиче-скими, рассчитанными с учетом внутриподзонного и межподзонного рас-сеяний, что позволило определить величину деформационного потенциа-ла и сплавного потенциала. Литература 1. В.М. Лукашин и др., Письма в ЖТФ, Т. 38(17), с. 84-89 (2012). 2. D.Yu. Protasov and K.S. Zhuravlev, Solid State Electronics, V.129, Pp. 66-72 (2017).

-0.02 0.00 0.02 0.04 0.06 0.08 0.10 0.12 0.14 0.16 0.18 0.2022000

23000

24000

25000

26000

27000

28000

2.0x1012

2.2x1012

2.4x1012

2.6x1012

2.8x1012

3.0x1012

3.2x1012

3.4x1012

3.6x1012

3.8x1012

4.0x1012

Концентрация,

см

-2

Подвижность,

см

2/(В

∗с)

Содержание In

a)

0.00 0.02 0.04 0.06 0.08 0.10 0.12 0.14 0.16 0.18 0.20

6800

6850

6900

6950

7000

7050

7100

7150

2.2x1012

2.4x1012

2.6x1012

2.8x1012

3.0x1012

3.2x1012

3.4x1012

3.6x1012

3.8x1012

4.0x1012

б)

Концентрация

, см

-2

Подвижность,

см

2/(В

∗с)

Содержание In

Рис. 1. Зависимость подвижности и концентрации ДЭГ от мольного содержания

индия при 77 К (а) и 300 К (б)

Page 26: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Фундаментальные аспекты наногетероструктурной сверхвысокочастотной электроники

26 Мокеровские чтения. 8-я Международная научно-практическая конференция

ИССЛЕДОВАНИЕ УРОВНЕЙ РАЗМЕРНОГО КВАНТОВАНИЯ В ОБРАЩЕННЫХ ГЕТЕРОСТРУКТУРАХ С ДОНОРНО-АКЦЕПТОРНЫМ ЛЕГИРОВАНИЕМ

С.И. Новиков1, *А.Б. Пашковский1, В.Г. Лапин1, В.М. Лукашин1

1АО «Научно-производственное предприятие «Исток» им. Шокина»

Россия, 141190, г. Фрязино, Вокзальная, 2а, e-mail: [email protected]

INVESTIGATION OF DIMENSIONAL QUANTIZATION LEVELS IN INVERSE HETEROSTRUCTURES WITH DONOR-ACCEPTOR DOPING

S.I. Novikov1, *A.B. Pashkovskiy1, V.G. Lapin1, V.M. Lukashin1

1JSC «Research and production corporation «Istok» named after Shokin» Russia, 141190, Fryazino, Vokzalnaya 2a, e-mail: [email protected]

The modification of inverse heterostructure by embedding acceptor-doped layer,

creating additional potential barrier, which decreases transverse moving of hot electrons to substrate, is offered. According to calculations such a structure had dimensional quan-tization levels distance, which exceeds gallium arsenide optical phonon energy several times, and raised linearity of transfer function

Обращенные гетероструктуры представляют интерес для создания

HEMT-транзисторов с усиленным эффектом проявления размерного кван-тования. В отличие от традиционных структур в обращенных структурах происходит сужение, а не расширение квантовой ямы при увеличении напряжения на затворе, а, соответственно, увеличение, а не уменьшение расстояния между подзонами размерного квантования. Однако для ис-пользования в высокочастотных полевых транзисторах такая конструкция имеет существенный недостаток. В ней электроны, разогреваясь, из узко-го канала попадают в широкий и ничем не ограниченный слой широ-козонного материала с высокой интенсивностью рассеяния, что должно приводить к заметному падению подвижности в сильных полях. Однако, этот эффект может быть заметно ослаблен формированием дополнитель-ного потенциального барьера методом донорно – акцепторного легирова-ния. Такая конструкция может иметь ряд существенных преимуществ пе-ред обычной структурой, особенно при работе транзисторов в коротко-волновой части мм- и ТГц диапазонов длин волн. Во-первых, она позво-ляет существенно приблизить квантовую яму к затвору, то есть, как уменьшить затворные краевые эффекты, а значит увеличить быстродей-ствие, так и заметно увеличить крутизну транзистора, что, соответствен-но, сильно уменьшит влияние паразитных элементов. Во-вторых, разность энергий между уровнями размерного квантования заметно превышает энергию оптического фонона [1], что приводит к заметному уменьшению

Page 27: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Фундаментальные аспекты наногетероструктурной сверхвысокочастотной электроники

Мокеровские чтения. 8-я Международная научно-практическая конференция 27

вероятности обратного рассеяния горячих электронов. Следствием этого является увеличение всплеска дрейфовой скорости электронов под затво-ром, а, соответственно, увеличение быстродействия и коэффициента уси-ления таких приборов [2]. Для более подробного анализа структуры уров-ней обращённых гетероструктур было проведено их моделирование на основе численного самосогласованного решения уравнений Шредингера и Пуассона:

2

x*

d 1 d (x)q (x) (x) E (x) (x) E (x)

2 dx dxm (x)

Ψ− − ⋅ϕ Ψ + Ψ = Ψ

0

d d (x) (x)(x)

dx dx

ϕ ρ ε = − ε

( ) 2

D A c i ii

(x) q N (x) N (x) (x) q n (x)ρ = − + ρ − Ψ

1

2

c c

0

2 z(x) dz(x) q N (x)

1 exp(z(x) (x))

ρ = − ⋅ ⋅+ − ξπ , cU(x) E (x)

z(x)kT

−=

Главной особенностью обращённых структур оказывается линейность

зависимости удельной скорости изменения заряда электронов в квантовой яме (dQ/dUg) в широком диапазоне изменения напряжений на затворе. Такое поведение также может служить предпосылкой к постоянству кру-тизны транзистора (gm=dId/dUg) на основе таких структур. Постоянство этих двух параметров, в свою очередь, дает существенные преимущества при разработке высокочастотных транзисторов средней и малой мощно-сти с пониженным уровнем амплитудных и фазовых шумов. Ещё одно достоинство такой конструкции, заключается в том, что для увеличения рабочих частот крутизну в ней можно дополнительно увеличивать, уменьшая толщину структуры. Расстояния между квантовыми уровнями в такой гетероструктуре оказываются больше, чем в двойных структурах с донорно – акцепторным легированием [1] даже при больших положитель-ных смещениях на затворе (при сильном обогащении канала электрона-ми).

Литература 1. В.М. Лукашин и др., ФТП. 2014, Т. 48, В. 5, С. 684–692. 2. А.А. Борисов и др., Письма в ЖТФ, 2016, Т. 42, В. 16, С.41 – 47.

Page 28: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Фундаментальные аспекты наногетероструктурной сверхвысокочастотной электроники

28 Мокеровские чтения. 8-я Международная научно-практическая конференция

ЭЛЕКТРОННЫЕ ТРАНСПОРТНЫЕ СВОЙСТВА И МОРФОЛОГИЯ СТРУКТУР С МЕТАМОРФНОЙ КВАНТОВОЙ ЯМОЙ In0.2Al0.8As/In0.2Ga0.8As

*И.С. Васильевский, А.Н. Виниченко, Н.И. Каргин

Национальный исследовательский ядерный университет «МИФИ» 115409, г. Москва, Каширское шоссе, дом 31, e-mail: [email protected]

ELECTRON TRANSPORT PROPERTIES AND MORPHOLOGY OF QUANTUM WELL

STRUCTURES In0.2Al0.8As/In0.2Ga0.8As

*I.S. Vasil’evskii, A.N. Vinichenko, N.I. Kargin

National research nuclear University «MEPhI» Russia, 115409, Moscow, Kashirskoe shosse, 31, e-mail: e-mail: [email protected]

Novel metamorphic InAlAs/InGaAs structure on GaAs substrate with

InAs content 20% is realized and characterized for the first time. The advantage of this MHEMT is deeper QW and stronger confinement even in high electric field. Both steppes and linear metamorphic buffer constructions are tested. Sur-face roughness is rather small (0.6÷0.7 nm RMS). Linear buffer MHEMT shows better electron transport properties.

Преимущества метаморфных структур InxAl1-xAs/InxGa1-xAs с высо-

кой подвижностью электронов (MHEMT) на подложках GaAs в сравнении с традиционными псевдоморфными РНЕМТ структурами Al0.22Al0.78As/In0.2Ga0.8As обусловлены как снижением эффективной массы электронов в квантовой яме, так и увеличением ее глубины. Активно ис-пользуемые в СВЧ электронике МНЕМТ структуры с содержанием InAs 35 ÷ 40% и 50 ÷ 55% позволяют достичь компромиссных частотных и мощностных параметров в Ka и V диапазонах СВЧ частот. Однако одним из недостатков таких структур является достаточно низкие пробивные напряжения, связанные с узкозонным каналом, и развитая шероховатость поверхности, обусловленная метаморфной технологией роста. Поскольку в РНЕМТ структурах ограничение дрейфовой скорости электронов в сильном электрическом поле вызвано, в том числе, переходами горячих электронов в реальном пространстве в широкозонный слой, увеличение высоты барьера КЯ является актуальной задачей.

В настоящем исследовании впервые реализована метаморфная структура с КЯ In0.2Al0.8As/In0.2Ga0.8As, которая обладает большой глуби-ной КЯ за счет высокой мольной доли алюминия в широкозонном барьере и небольшим, в сравнении с другими МНЕМТ, перепадом состава InAs. Структуры изготавливались методом МЛЭ на установке Riber Compact 21 на подложке GaAs (100) с метаморфным буфером (ММБ) двух типов –

Page 29: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Фундаментальные аспекты наногетероструктурной сверхвысокочастотной электроники

Мокеровские чтения. 8-я Международная научно-практическая конференция 29

ступенчатым и линейным. Ступенчатый ММБ выращен при постоянных потоках Al и In, содержал всего 2 ступени по составу InAs в слоях InAlGaAs и InAlAs суммарной толщиной 550 нм, линейный имел ту же толщину и содержал инверсную ступень. КЯ шириной 10.6 нм имела верхнее дельта-легирование кремнием через спейсер толщиной 4.7 нм. Для сравнения также была изготовлена стандартная РНЕМТ КЯ с тем же уровнем легирования кремнием и с толщиной спейсера 5.5 нм.

Небольшое изменение параметра решетки в ММБ относительно под-ложки обусловило очень низкую шероховатость поверхности структуры RMS=0.6÷0.7 нм, определенную методом АСМ.

Измерения электронного транспорта с помощью эффекта Холла по-казали, что структура МНЕМТ с линейным ММБ имеет более высокую концентрацию электронов и максимальную холловскую подвижность µ. Ступенчатый ММБ дает небольшое снижение подвижности электронов в связи с более резким перепадом состава и очевидно, более высокой плот-ностью дислокаций. Несмотря на снижение толщины спейсера в МНЕМТ КЯ, µ в МНЕМТ оказывается сравнимой или даже выше, чем в РНЕМТ КЯ. Кроме того, в МНЕМТ КЯ анизотропия сопротивления в двух основ-ных направлениях по поверхности снизилась до значений <1%, тогда как в РНЕМТ она составляла ~ 13%.

Таблица 1. Электронные транспортные свойства РНЕМТ и МНЕМТ КЯ структур

МНЕМТ КЯ демонстрируют более слабую температурную зависи-

мость концентрации nH и более высокий ток стока, что мы связываем с большой глубиной КЯ МНЕМТ в сравнении с РНЕМТ.

Простота и быстрота выращивания МНЕМТ/GaAs структур с содер-жанием 20÷25% и малая шероховатость поверхности открывают перспек-тивы применения таких структур в СВЧ МИС.

Образец

Т=300 К Т=77 К

nS,

1012 см-2

µ, см2/Вс

nS,

1012 см-2

µ, см2/Вс

РНЕМТ опорный

1.77 7170 1.84 24900

МНЕМТ cтупенчатый

1.39 6910 1.27 21330

МНЕМТ линейный 1.83 7490 1.80 27500

Page 30: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Фундаментальные аспекты наногетероструктурной сверхвысокочастотной электроники

30 Мокеровские чтения. 8-я Международная научно-практическая конференция

ВЛИЯНИЕ ДОНОРНОГО ЛЕГИРОВАНИЯ КРЕМНИЕМ НА ЭЛЕКТРОННЫЙ ТРАНСПОРТ В КВАНТОВЫХ ЯМАХ AlGaAs/InGaAs/GaAs

ПРИ РАЗЛИЧНЫХ ТЕМПЕРАТУРАХ

*Д.А. Сафонов, А.Н. Виниченко, Н.В. Антонова, Н.И. Каргин, И.С. Васильевский

Национальный исследовательский ядерный университет «МИФИ» 115409, г. Москва, Каширское шоссе, дом 31, e-mail: [email protected]

INFLUENCE OF SILICON DONOR DOPING ON ELECTRON TRANSPORT

IN QUANTUM WELLS AlGaAs/InGaAs/GaAs AT DIFFERENT TEMPERATURES

*D.A. Safonov, A.N. Vinichenko, N.V. Antonova, N.I. Kargin, I.S. Vasil’evskii

National research nuclear University «MEPhI» Russia, 115409, Moscow, Kashirskoe shosse, 31, e-mail: [email protected]

Electron transport in single delta-Si doped pseudomorphic quantum wells

with increasing donor concentration analyzed in a temperature range 2÷300 K. Hall effect and Shubnikov-de Haas oscillations studied at low temperatures. Temperature dependences of electron sheet concentration differ: samples with higher doping show significant increase of electron conсentration at high tem-peratures, while for the lightly doped it has a minor temperature sensitivity due to the band peculiarities of donor ionization. Electron mobility increases and then decreases at higher electron concentration, although the second subband remains unpopulated.

В отличие от классических гетероструктур с высокой подвижностью

электронов (НЕМТ) AlGaAs/GaAs, практика прикладного использования псевдоморфных структур (РНЕМТ) опережает развитие фундаментально-го описания электронных процессов при высокой электронной плотности ns > 1.5·1012 см-2. Анализ существующих работ показывает неоднознач-ность зависимости подвижности электронов от концентрации легирования в РНЕМТ квантовых ямах (КЯ) AlGaAs/InGaAs/GaAs [1-3]. В различных работах авторы интерпретируют как рост электронной подвижности, так и ее спад при увеличении легирования в рамках рассеяния на ионизирован-ной примеси. Таким образом, характер зависимости µ(n) в РНЕМТ КЯ при изменении концентрации доноров в широких пределах в настоящее время не имеет однозначного физического обоснования, поэтому задача иссле-дования электрофизических характеристик таких гетероструктур в широ-ком диапазоне концентраций легирующей примеси остается актуальной.

Концентрация электронов в таких структурах может быть увеличена за счет более сильного донорного легирования или перехода к двусторон-нему легированию. Как правило, уже при ns > 2·1012 см-2 в типичных

Page 31: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Фундаментальные аспекты наногетероструктурной сверхвысокочастотной электроники

Мокеровские чтения. 8-я Международная научно-практическая конференция 31

псевдоморфных КЯ начинается заполнение второй подзоны размерного квантования [4], а эффекты кулоновского взаимодействия электронов приводят к значительным изменениям поперечного зонного профиля в структуре. Это, в свою очередь, изменяет структуру электронных состоя-ний и условия рассеяния электронов.

Для исследования особенностей электронного транспорта была выра-щена серия образцов РНЕМТ c КЯ In0.2Ga0.8As шириной 10.5 нм, отлича-ющихся только концентрацией кремния при одностороннем дельта-легировании, изменявшейся в широком диапазоне от 1.64·1012 см2 до 15.8·1012 см-2. Для гальваномагнитных измерений были изготовлены меза-структуры в форме холловских мостов.

Увеличение времени легирования кремнием в дельта-слое приводит к увеличению концентрации электронов (рис. 1, а). При малых значениях ND концентрация носителей заряда в КЯ возрастает резко, однако при сильном легировании наклон зависимости nH(ND) снижается в ~ 10 раз, и при дальнейшем легировании nH увеличивается слабо, несмотря на увели-чение ND более чем в 3 раза. Причиной этого может являться ухудшение эффективности ионизации доноров кремния, связанное как с их встраива-нием в узлы мышьяка в кристаллической решётке, так и с особенностями зонного профиля гетероструктуры.

а) б) Рис. 1. Зависимости холловских концентрации (а) и подвижности (б)

от концентрации кремния в δ-слое

Моделирование зонной структуры показывает, что увеличение кон-центрации легирующей примеси в односторонне-легированных РНЕМТ КЯ в результате возникновения сильного электрического поля формиру-ется квазитреугольный профиль дна КЯ. В отличие от двусторонне-легированных структур, сколько-нибудь значительное заполнение второй

Page 32: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Фундаментальные аспекты наногетероструктурной сверхвысокочастотной электроники

32 Мокеровские чтения. 8-я Международная научно-практическая конференция

подзоны размерного квантования ожидается при концентрации электро-нов в КЯ ns > 2.7·1012 см-2.

При низких температурах во всех образцах наблюдаются осцилляции Шубникова-де Гааза. Во всех образцах наблюдается только одна частота осцилляций, соответствующая одной нижней заполненной подзоне раз-мерного квантования в КЯ. С помощью Фурье-преобразования была определена концентрация носителей в этой подзоне, которая хорошо со-ответствует холловской концентрации электронов. Кроме того, о слабом заполнении верхней подзоны размерного квантования можно судить по отсутствию нелинейности полевой зависимости холловского сопротивле-ния ρxy(B) даже при высоких температурах.

Таким образом, уменьшение подвижности при увеличении концен-трации электронов в КЯ (рис. 1, б) не связано с заполнением следующей подзоны размерного квантования. При относительно низкой концентра-ции электронов (ns < 1.5·1012 см-2) подвижность возрастает в связи с уве-личением импульса Ферми и улучшением экранирования; затем, при уве-личении легирования - убывает из-за перехода к рассеянию на большие углы на ионизированных примесях. Такой механизм обусловлен ростом туннельной прозрачности спейсерного слоя за счет уменьшения энергии дна зоны проводимости в области расположения дельта-слоя при боль-шом увеличении концентрации доноров кремния [5].

Подвижность электронов моно-тонно уменьшается с ростом тем-пературы во всем рассматривае-мом диапазоне (рис. 2), наиболее сильное снижение наблюдается при Т > 60 К в связи с увеличением рассеяния электронов в КЯ на оп-тических фононах. Характер тем-пературной зависимости подвиж-ности электронов одинаков во всех образцах.

Температурные зависимости холловской концентрации элек-тронов имеют сложный характер,

и значительно различаются для разных образцов (рис. 3): при слабом ле-гировании nH практически не изменяется на всём диапазоне температур. В то же время в образцах с ND > 3·1012 см-2 при достаточно высоких темпе-ратурах наблю-дается сильное увеличение nH. При этом переход к возрас-танию nH(T) происходит при различных значениях T и имеет различную

Рис. 2. Температурная зависимость холлов-ской подвижности в образцах с её наиболь-

шим и наименьшим значением

Page 33: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Фундаментальные аспекты наногетероструктурной сверхвысокочастотной электроники

Мокеровские чтения. 8-я Международная научно-практическая конференция 33

величину. В образце с самым низким легированием возрастание начина-ется при Т ~ 200 К и составляет лишь 2%. С увеличением концентрации доноров переход к возрастанию заметен при более низкой температуре, а величина прироста концентрации nH становится выше, достигая 15% в образце с наибольшим легированием при температуре начала роста Т ~ 100 К.

Такой эффект может объяс-няться температурной зависимо-стью ионизации доноров при по-нижении потенциала зоны прово-димости в окрестности δ-слоя. В используемом в наших структу-рах тройном сплаве AlxGa1-xAs с содержанием AlAs x=25% донор-ный уровень кремния и DX-центра находится на ~ 15÷20 мэВ ниже зоны проводимости [6, 7]. При слабом (ns < 2·1012 см-2) леги-ровании уровень доноров распо-ложен значительно выше уровня Ферми, и активация доноров достаточно высокая и не зависит от темпера-туры. С увеличением концентрации доноров дно зоны проводимости в δ-слое начинает снижаться. В структурах с сильным легированием уро-вень DX-центров находится вблизи уровня Ферми, и ионизация доноров заметно снижается. Температура перехода к резкому возрастанию nH(T) уменьшается с ростом легирования, что соответствует приближению уровня доноров к уровню Ферми.

Литература 1. H. Ohno et al. Applied Physics Letters 54, 36 (1989); doi: 10.1063/1.100826. 2. M. G. Greally et al. Journal of Applied Physics 79, 8465 (1996); doi: 10.1063/1.362481. 3. Adam Babiński et al. Applied Physics Letters 77, 999 (2000); doi: 10.1063/1.1289035. 4. M. van der Burgt, V. C. Karavolas, F. M. Peeters et. al. Physical Review B, 52, 16, 1995. 5. А.Н. Виниченко et al. Физика и техника полупроводников, 2014, том 48, вып. 12. 6. Shubert E and Ploog K 1984 Phys. Rev. B 30 7021. 7. Mooney P M 1990 J. Apl. Phys. 67 R1.

Рис. 3. Температурная зависимость холлов-ской концентрации в образцах с наименьшей

и наибольшей концентрацией доноров

Page 34: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Фундаментальные аспекты наногетероструктурной сверхвысокочастотной электроники

34 Мокеровские чтения. 8-я Международная научно-практическая конференция

ВЗАИМОСВЯЗЬ ДИЗАЙНА МЕТАМОРФНЫХ СТРУКТУР С КЯ InAs/In0.75Ga0.25As/In0.75Al0.25As, ВЫРАЩЕННЫХ МЕТОДОМ МПЭ НА GaAs,

С ИХ ЭЛЕКТРИЧЕСКИМИ И ОПТИЧЕСКИМИ СВОЙСТВАМИ

*М.Ю. Чернов, Т.А. Комиссарова, В.А. Соловьев, Б.Я. Мельцер, П.С. Копьев, С.В. Иванов

ФТИ им. А.Ф. Иоффе Россия, 194021, г. Санкт-Петербург, Политехническая ул., дом 26,

e-mail: [email protected]

INTERRELATION BETWEEN DESIGN OF METAMORPHIC InAs/In0.75Ga0.25As/In0.75Al0.25As QW HETEROSTRUCTURES GROWN BY MBE ON GaAs

AND THEIR ELECTRICAL AND OPTICAL PROPERTIES

*M.Yu. Chernov, T.A. Komissarova, V.A. Solov’ev, B.Ya. Meltser, P.S. Kop’ev, S.V. Ivanov

Ioffe Institute Russia, 194021 St. Petersburg, Politekhnicheskaya 26, e-mail: [email protected]

Electrical and optical properties of InAs/In0.75Ga0.25As/In0.75Al0.25As QW

heterostructures grown by MBE on GaAs wafers by using an optimized con-vexgraded InxAl1-xAs (x=0.05÷0.83) metamorphic buffer layer were studied as dependent on structure design (QW width, doping level, position of δ(Te)-layer). Effect of persistent photoconductivity as well as coexistence of different conductivity channels corresponding to the conductivity of the QW regions near the bottom and upper InGaAs/InAlAs interface (or/and surface layer) are discussed.

Гетероструктуры на основе InAs вызывают особый интерес благодаря их

уникальным фундаментальным свойствам (малая эффективная масса и боль-шой g-фактор электрона), которые открывают перспективы исследования в них различных спиновых явлений [1]. Структуры с широкими КЯ типа InAs/InxGa1-xAs/InyAl1-yAs и InxGa1-xAs/InyAl1-yAs (x,y = 0.65÷0.8) с двумерным электронным газом высокой подвижности перспективны для изготовления HEMT-транзисторов [2]. Одной из основных сложностей, возникающих при росте данных структур, является отсутствие полуизолирующих решеточно-согласованных подложек. Для решения данной проблемы проводились разра-ботки роста структур с КЯ InAs/InGaAs/InAlAs методом молекулярно-пучковой эпитаксии (МПЭ) на подложках GaAs, имеющих рассогласование постоянной решетки с InAs ~ 7%, с использованием метаморфных буферных слоев (МБС) различного дизайна: ступенчатый, линейный и корневой («convex») [3].

Данная работа посвящена исследованию электрических и оптических свойств гетероструктур с КЯ InAs/In0.75Ga0.25As/In0.75Al0.25As, выращенных методом МПЭ на подложках GaAs с использованием оптимизированного

Page 35: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Фундаментальные аспекты наногетероструктурной сверхвысокочастотной электроники

Мокеровские чтения. 8-я Международная научно-практическая конференция 35

МБС InxAl1-xAs (x=0.05÷0.83) с корневым профилем изменения состава (типа «convex») [4]. Были исследованы серии гетероструктур с модулировано-легированными КЯ InAs/InGaAs/InAlAs с различным уровнем легирования и шириной КЯ (15÷45 нм), а также с δ-слоем Te, расположенным в нижнем или верхнем барьерном слое InAlAs на разном расстоянии от КЯ. Плотность про-тяженных дефектов в активной области исследованных структур составила (3÷5)·107 см-2, шероховатость поверхности (RMS) – (2.5÷3.7) нм.

Электрические измерения проводились в стандартной холловской гео-метрии с использованием индиевых контактов в темноте и при подсветке ми-ниатюрной лампочкой накаливания. Были проведены измерения температур-ных зависимостей коэффициента Холла и удельного сопротивления в диапа-зоне температур 77÷300 К в магнитном поле 400 Э. Также были измерены спектры фотолюминесценции при 300 К и 77 К. Лучшие значения подвижно-сти электронов для исследованных структур составили 17500 см2/В·с (300K) при концентрации электронов n = 7.4·1011 см-2 и 85200 см2/В·с (77K) при n = 7.1·1011 см-2.

В исследованных гетероструктурах был обнаружен эффект задержанной фотопроводимости. С помощью измерений кинетики фотопроводимости в зависимости от дизайна структур и условий роста было показано, что данный эффект обусловлен локализацией носителей заряда в In-обогащенных обла-стях барьерного слоя InAlAs, выращиваемого при высокой температуре ~500 °C и отношении потоков As/III близким к единичному, и последующей фотоактивацией носителей. Уменьшение толщины нижнего высокотемпера-турного барьера InAlAs, снижение температуры роста и оптимизация отноше-ния As/III приводит к существенному подавлению фотопроводимости. Иссле-довано влияние δ-слоя Te на искривление зон КЯ InAs/InGaAs/InAlAs и InGaAs/InAlAs. Обнаружено, что в исследованных гетероструктурах суще-ствует два канала проводимости, связанных с областями КЯ вблизи нижнего и верхнего интерфейса InGaAs/InAlAs (и/или поверхностного слоя в последнем случае). Преобладание одного из этих каналов зависит, в основном, от распо-ложения δ-слоя Te и соответствующего искривления зон в КЯ. Показано, что основными механизмами рассеяния двумерных электронов в обоих каналах проводимости являются рассеяние на флуктуациях состава барьерных слоев и на ионах Te. Предлагаются пути оптимизации структур.

Данная работа поддержана Российским Научным Фондом (проект #15-12-30022). Литература 1. W. Desrat et al., Phys. Rev. B 69, 245324 (2004). 2. S. Gozu et al., J. Cryst. Growth 201/202, 749 (1999). 3. H. Choi et al., J. Cryst. Growth 311 (4), 1091-1095 (2009). 4. M.Yu. Chernov et al., J. Crystal Growth, in print (2017).

Page 36: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Полупроводниковые СВЧ приборы и устройства: производство, технологии и свойства

36 Мокеровские чтения. 8-я Международная научно-практическая конференция

НИЗКОЧАСТОТНЫЕ ФАЗОВЫЕ ШУМЫ СВЧ ГЕНЕРАТОРОВ И ЕМКОСТНЫЕ ПАРАМЕТРЫ AlGaN/GaN HEMT-ТРАНЗИСТОРОВ

* К.Л. Енишерлова, Ю.В. Колковский, В.С. Зиневич

АО «НПП «Пульсар» Россия, 105187, Москва, Окружной проезд, дом 27,

e-mail: [email protected]

THE LOW-FREQUENCY PHAFE NOISE OF MICROWAVE OSCILLATORS AND CAPACITIVE PARAMETERS OF THE ALGAN/GAN HEMT TRANSISTORS

* K.L. Enisherlova, Yu.V. Kolkovsky, V.S. Zinevich

J&C «S&PE «Pulsar», Russia,105187, Moscow, Okruzhnoy proezd, 27,

e-mail: [email protected]

C-V characteristics of the power AlGaN/GaN/SiC HEMT transistors of the X-range using in microwave generators were analyzed. A clear correlation is established between the level of low-frequency noise of microwave generators and the instability degree of the transistor C-V characteristics.

Целью работы был анализ наличия корреляции между емкостными

параметрами активных элементов AlGaN/GaN/SiC-транзисторов и вели-чинами низкочастотных фазовых шумов СВЧ генераторов на базе этих транзисторов.

Анализировались кристаллы мощных 6-пальцевых AlGaN/GaN/SiC СВЧ транзисторов Х-диапазона с длиной затвора 0.25 мкм. В качестве исходных использовались гетероструктуры с верхним нелегированным слоем GaN; в качестве барьерного слоя − нелегированный слой AlGaN толщиной 150÷200 Å. Предварительно на кристаллах приборов (выборка 10 штук) проводились измерения вольт-фарадных характеристик барьеров Шоттки (БШ) системы затвор–сток и затвор-исток в диапазоне частот от 1 кГц до 1 МГц. Емкостные зависимости снимались по последовательной (индекс-s) схеме замещения. Затем кристаллы сажались в корпус таким образом, что контакт к области истока был с обратной стороны гетеро-структуры (непосредственно на корпус), а контакты к областям стока и затвора распаивались. Измерения спектральной плотности фазового шума данной выборки кристаллов СВЧ транзисторов проводились в составе СВЧ генератора, стабилизированного резонатором, куда испытуемый транзистор подключался в качестве активного элемента; конкретно сни-

ПОЛУПРОВОДНИКОВЫЕ СВЧ ПРИБОРЫ И УСТРОЙСТВА: ПРОИЗВОДСТВО, ТЕХНОЛОГИИ И СВОЙСТВА

Page 37: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Полупроводниковые СВЧ приборы и устройства: производство, технологии и свойства

Мокеровские чтения. 8-я Международная научно-практическая конференция 37

мались спектральные плотности мощности фазовых флуктуаций на поло-сах частот 10 кГц ÷ 1 МГц в единицах дБн/Гц.

В результате исследований было выявлено, что практически для всех исследуемых кристаллов транзисторов свойственно появление на С-V–характеристиках при работе на частотах f < 500 кГц характерного пика возрастания емкости в области перехода от обогащения к обедне-нию; с уменьшением частоты измерений высота пика возрастала. При этом высоты пика нестабильности емкости Сmax для разных кристаллов в выборке значительно отличались друг от друга при измерении на одной и той же частоте. В результате сопоставления для одних и тех же частот результатов емкостных измерений на кристаллах транзисторов и резуль-татов измерения уровня шумов СВЧ генераторов на этих же транзисторах была выявлена четкая корреляция между уровнями низкочастотных шу-мов СВЧ генератора и величинами возрастания емкости на С-V-харак-теристиках для кристаллов транзистора, используемых в качестве актив-ного элемента СВЧ генератора (рис. 1).

Рис. 1. а) диаграмма уровня шумов при частотах f=10 кГц, f=50 кГц, f=200 кГц СВЧ генера-тора при использовании указанных кристаллов транзисторов; б) усредненная высота пика возрастания емкости на C-V-кривых при тех же частотах для этих кристаллов транзисторов

Page 38: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Полупроводниковые СВЧ приборы и устройства: производство, технологии и свойства

38 Мокеровские чтения. 8-я Международная научно-практическая конференция

ТЕМПЕРАТУРНЫЕ ЗАВИСИМОСТИ ШУМОВЫХ ПАРАМЕТРОВ МОЩНОГО AlGaN/GaN HEMT L- И S-ДИАПАЗОНОВ

*Н.А. Торхов1,2, Л.И. Бабак2, Е.А. Сыщенко2, Л.В. Осокина3

1АО «Научно-Исследовательский институт полупроводниковых приборов»

Россия, 634034, Томск, Красноармейская 99 А, e-mail: [email protected] 2Томский университет систем управления и радиоэлектроники

Россия, Томск, 634050, Ленина 40 3ФГАОУ ВО «НИТГУ» Россия, 634050, Томск, Ленина, 36

TEMPERATURE DEPENDENCES OF NOISE PARAMETERS

OF POWER AlGaN/GaN HEMT IN L- AND S-BANDS

*N.A. Torkhov1,2, L.I. Babac2, E.A. Syschenko2, L.V. Osokina3

1Scientific- Research Institute of Semiconductors

Russia, 634034, Tomsk, Krasnoarmeiskaya Str. 99A, e-mail: [email protected] 2Tomsk State University of Control Systems and Radioelectronics

Russia, 634050, Tomsk, Lenin Ave. 40 3Тomsk State University, Russia, 634050, Tomsk, Lenina Av. 36

This paper investigates noise parameters of the high-power AlGaN/GaN L- and S-

band HEMT with channel width of 1.2 mm and Z-gate Field-plate length of 0.5 um. The thermal stability point with minimal noise ratio has been found out, at which the value of noise ratio Kn,min(f=4.5 GHz)≈2 dB stays constant in temperature range from -65 to +85 °С. The transistor under investigation can be used to produce broadband MICs of low noise L- and S-band amplifiers tolerant to extensive microwave influence of more than 10 W.

Исследовался мощный AlGaN/GaN HEMT L- и S-диапазонов на полу-изолирующей 4H-SiC0001 подложке из карбида кремния с полной ши-риной канала 200×6=1200 мкм, длиной «Field-plate» Z-затвора L=0.5 мкм и активным модулирующим полевым электродом в [1-3]. В [1] было пока-зано, что уровень выходной мощности Pвых(4 ГГц) при 3-дБ компрессии в рабочей точке UDS=28 В, IDS=375 мА, UGS=-5 В составляет 4.1 Вт (коэф-фициент усиления Kу=12,5 дБ, РАЕ=31 %) в непрерывном режиме и 5.2 Вт (плотность мощности 4.3 Вт/мм, Kу=15 дБ, РАЕ=33 %) – в импуль-сном режиме. Максимальное значение КПД η в импульсном режиме со-ставило 52% (Pвых=1.51 Вт, Ку=14 дБ, PAE=50%,). Все это указывает на то, что результаты измерений можно интерпретировать с использованием известных физических представлений о работе AlGaN/GaN HEMT.

В настоящей работе измерены частотные зависимости минимального коэффициента шума KШ,мин(f), нормированного шумового сопротивления RШ(f) и максимального коэффициента усиления по мощности Gmax(f) в

Page 39: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Полупроводниковые СВЧ приборы и устройства: производство, технологии и свойства

Мокеровские чтения. 8-я Международная научно-практическая конференция 39

малошумящих режимах работы транзистора (Uds=20 В, Ugs=-5 В) в темпе-ратурном интервале от -65 до +85 °С (Рис. 1). Видно, что при понижении температуры T подложки уменьшается наклон линейных зависимостей KШ,мин(f). При этом все прямые KШ,мин(f,T) пересекаются в области часто-ты f≈4.5 ГГц. Это указывает на существование термостабильной точки «A» по этому параметру (рис. 1а, прямые 1–5), в ней значение KШ,мин (f=4.5 ГГц)≈2 дБ остается практически постоянным во всем иссле-дуемом диапазоне температур.

а) б) в) Рисунок 1. Частотные зависимости шумовых параметров KШ,мин(f), RШ(f) и G(f) от темпе-ратуры T при UgS=-5 В, UdS=+20 В: 1) - T=+85 °C, IdS=-129 мкА; 2) - T=+50 °C, IdS=-68 мкА;

3) - T=+23 °C, IdS=-61 мкА; 4) - T=-30 °C, IdS=-55 мкА; 5) - T=-65 °C, IdS=-51 мкА

Понижение температуры сопровождается также уменьшением ответ-ственного за шум тока затвора Igd, а минимальные значения RШ(f,T) соот-ветствуют минимальным значениям KШ,мин(f,T), что укладывается в рамки известных представлений о физических механизмах работы полевых транзисторов. Согласно физической модели исследуемых HEMT, умень-шение значений Igs связано с уменьшением плотности электронов в 2D-канале в управляемом транзисторе. Полученные данные указывают на возможность использования данного транзистора для проектирования широкополосных МИС малошумящих усилителей L- и S-диапазонов, устойчивых к внешним мощным радиочастотным воздействиям, значи-тельно превышающим 10 Вт.

Авторы выражают благодарность коллективу АО «НПП «ИСТОК» имени Шокина за активную помощь в проведении температурных СВЧ-измерений.

Литература 1. Н.А. Торхов, Л.И. Бабак. Шумовые характеристики СВЧ AlGaN/GaN HEMT L- и S-диа-пазонов. «Нитриды галлия, индия и алюминия – структуры и приборы». 11-ая Всероссийская конференция. Тезисы докладов. 1-3 февраля, Москва. МГУ им. Ломоносова. 2017, 112-113.

Page 40: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Полупроводниковые СВЧ приборы и устройства: производство, технологии и свойства

40 Мокеровские чтения. 8-я Международная научно-практическая конференция

ЧАСТОТНЫЕ ХАРАКТЕРИСТИКИ НИТРИД-ГАЛЛИЕВЫХ ПОЛЕВЫХ ТРАНЗИСТОРОВ СО СТРУКТУРНОЙ РЕЛАКСАЦИЕЙ БАРЬЕРНОГО СЛОЯ

*О.А. Рубан1, А.Н. Алешин1, Д.С. Пономарев1, Н.В. Зенченко1, П.П. Мальцев1

1Институт сверхвысокочастотной полупроводниковой электроники РАН Россия, 117105, г.Москва, Нагорный проезд, дом 7, стр.5, e-mail: [email protected]

FREQUENCY CHARACTERISTICS OF NITRID-GALLIUM FIELD TRANSISTORS

WITH STRUCTURAL RELAXATION OF THE BARRIER LAYER

*O.A. Ruban1, A.N. Aleshin1, D.S. Ponomarev1, N.V. Zenchenko1, P.P. Maltsev1

1Institute of ultra high frequency semiconductor electronics of RAS

Russia, 117105 Moscow, Nagorniy proezd 7, e-mail: [email protected]

The distribution of the electron density in the heterostructure was calculated and the profiles of this distribution were constructed. Electronic traps were found whose elec-tron concentration reaches 1019 cm-3. Microwave characteristics of transistors created on the investigated heterostructures were measured. The observed scatter in the values of the working frequency fT and the transmission coefficient of the transistor S21, indicates that the state of the barrier layers in these heterostructures is not identical. Correlations between the distribution profiles of electron density and microwave characteristics were also found.

Был проведен расчет распределения плотности электронов в гетеро-

структуре и построены профили этого распределения. Были обнаружены электронные ловушки, концентрация электронов в которых достигает 1019 см-3. Были измерены СВЧ характеристики транзисторов, созданных на исследуемых гетероструктурах. Обнаруженный разброс в значениях рабочей частоты fT и коэффициента передачи транзистора S21, свидетель-ствует о неидентичности состояния барьерных слоев в этих гетерострук-турах. Также были обнаружены корреляции между профилями распреде-ления электронной плотности и СВЧ характеристиками.

Современные тенденции направлены на утонение барьерных слоев для уменьшения подзатворной емкости, чтобы обеспечить более высокие рабочие частоты транзисторов. Вследствие этого одним из основных фак-торов, влияющих на частотные параметры транзисторов, является дефек-ты в барьерных слоях, например, электронные ловушки. Целью работы являлось уточнения модели расчета частотных характеристик HEMT с учетом паразитных эффектов вызванных структурной релаксацией барь-ерного слоя AlGaN.

Исследуемые AlGaN/GaN гетероструктуры были выращены с помо-щью MOCVD эпитаксии на подложках из Al2O3. В подобных гетерострук-турах спонтанная и пьезоэлектрическая составляющие в барьерном слое

Page 41: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Полупроводниковые СВЧ приборы и устройства: производство, технологии и свойства

Мокеровские чтения. 8-я Международная научно-практическая конференция 41

совпадают по своему направлению, усиливая встроенное электростатиче-ское поле [1, 2], порождаемое поляризацией, тем самым создавая большое количество электронов в КЯ ~ 1020÷1021 см3 [3]. Из-за присутствия заря-женных ловушек увеличивается подзатворная емкость СВЧ транзистора и создаётся область пространственного заряда. Все эти факторы крайне негативно сказываются на частотных характеристиках транзистора. Полу-ченные в результате моделирования СВЧ характеристик значения fT вме-сте с измеренными рабочими частотами GaN-HEMT приведены на рис. 1.

10 20 30 40 50 60 70 80

10

fT=27GHz

Классическая модель Fujii

(без учета дефектов)

Модифицированная модельFujii

(с учетом дефектов)

GaN-HEMT (эксперимент)

H21

, dB

Frequency (GHz)

fT=45 GHz

fT=30GHz

Рис. 1. Коэффициенты передачи по току (H21) смоделированные с использованием про-

граммного пакета AWR и одно из измеренных

значений

Было показано, что на этапе входного контроля гетероструктур с по-

мощью измерений и предложенных моделей, возможно, внести изменения в конструкцию GaN-HEMT, чтобы компенсировать влияние дефектов в барьерном слое AlGaN на рабочую частоту транзистора.

Работа выполнена при финансовой поддержке Минобрнауки РФ (со-глашение о предоставлении субсидии 14.607.21.0124, уникальный идентификатор проекта RFMEFI60715X0124). Литература 1. O. Ambacher. J. Phys. D: Appl. Phys., 31, 2653 (1998). 2. О.А. Рубан. Нано- и микросистемная техника, 11, 675 (2016). 3. A.J. Berlinsky. Rep. Prog. Phys., 42, 1244 (1979).

Page 42: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Полупроводниковые СВЧ приборы и устройства: производство, технологии и свойства

42 Мокеровские чтения. 8-я Международная научно-практическая конференция

КОМПАКТНАЯ МОДЕЛЬ GaN ПОЛЕВОГО ТРАНЗИСТОРА

В.В. Орлов, *Г.И. Зебрев

Национальный исследовательский ядерный университет «МИФИ» Россия, 115409, г. Москва, Каширское шоссе, 31, e-mail: [email protected]

GALLIUM NITRIDE FET COMPACT MODEL

V.V. Orlov, *G.I. Zebrev

Department of Micro- and Nanoelectronics, National Research Nuclear University MEPHI, Moscow, 115409, e-mail: [email protected]

Wide-bandgap III-Nitrides have become one of the most important semi-

conductor materials systems, with applications in visible-UV light emitting devices (LEDs) and laser diodes (LDs), highpower/high frequency transistors and power rectifiers. Gallium-Nitride-based high electron mobility transistors (GaN HEMTs) are considered as a prospective candidate for high voltage and high frequency applications. A new compact model of GaN HEMT operation is considered in this report.

Широкозонные полупроводники типа нитрида галлия становятся в настоящее время одними из самых перспективных материалов для созда-ния новых приборов силовой (высоковольтные мощные выпрямители), и высокочастотной электроники, а также элементов оптоэлектроники (све-тодиоды и лазерные диоды). Предметом данной работы является полевой транзистор с высокой подвижностью электронов на (GaN HEMTs) [1]. Несмотря на общий принцип работы, полевые транзисторы на основе нитрида галлия имеют некоторые особенности, которые отличают их, например, от кремниевых МОП транзисторов. В частности, такие транзи-сторы имеют области доступа которые не контролируется затвором и иг-рают роль практически постоянного последовательного сопротивления. Наличие такого сопротивления приводит к дополнительной нелинейности ВАХ и необходимости рассматривать отдельно модель внутреннего тран-зистора и всей транзисторной структуры в целом. В работе рассматрива-ется новая компактная модель GaN HEMT, позволяющая в аналитической форме учесть, в частности, влияние сопротивления областей доступа на вид вольтамперной характеристики.

При построении модели GaN полевого транзистора, мы основываемся на общем физически последовательном подходе, включающим аналити-ческое решение уравнения непрерывности для плотности диффузионно-дрейфового тока в канале. Это ключевой элемент модели позволяет полу-чить выражение для распределения электрического поля, электрческого и

Page 43: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Полупроводниковые СВЧ приборы и устройства: производство, технологии и свойства

Мокеровские чтения. 8-я Международная научно-практическая конференция 43

химического потенциала вдоль канала с учетом соотношения дифффузи-онного и дрейфового тока, диктуемого условием локальной электроней-тральности в приближении плавного канала. Все это позволяет самосогла-сованным образом записать замкнутое выражение для тока в канале, еди-ным образом описывающее все режимы работы полевого транзистора, от подпорогового до надпорогового, а также от линейного до режима насы-щения. В зависимости от соотношения параметров, режим насыщения соответсвует либо электростатическому запиранию канала, либо насыще-нию дрейфовой скорости при сильных продольных электрических полях. Все параметры модели представляются как функции концентрации заряда в канале. В свою очередь, концентрация заряда в канале как функция за-творного напряжения определяется электростатической моделью, специ-фичной для каждой технологической и геометрической конфигурации прибора. Такая схема моделированием использовалась ранее авторами для моделирования МОП транзисторов разных конфигураций (bulk, SOI, double-gate), а также транзисторов на основе графена и молибденита MoS2.

Литература 1. Z. Ch. Feng. III-Nitride Devices and NanoEngineering, Imperial College Press, 2008.

Page 44: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Полупроводниковые СВЧ приборы и устройства: производство, технологии и свойства

44 Мокеровские чтения. 8-я Международная научно-практическая конференция

ОСОБЕННОСТИ ПОДПОРОГОВОЙ ПРОВОДИМОСТИ МОП-ТРАНЗИСТОРОВ ПРИ НИЗКИХ ТЕМПЕРАТУРАХ

В.В. Орлов, В.А. Фелицын,*Г.И. Зебрев

Национальный исследовательский ядерный университет «МИФИ»

Россия, 115409, г. Москва, Каширское шоссе, 31, e-mail: [email protected]

LOW TEMPERATURE PECULIARITES IN CONDUCTANCE CHARACTERISTIC OF SILICON MOSFETs

V.V. Orlov, V.A. Felitsyn, *G.I. Zebrev

Department of Micro- and Nanoelectronics,

National Research Nuclear University MEPHI, Moscow, 115409, e-mail: [email protected]

The post-irradiation response of MOS transistors has been studied as a func-tion of measurement temperatures. The low-temperature peculiarity has been revealed in subthreshold behavior of irradiated devices.

Исследовалось температурное поведение подпорогового наклона в n-

канальном МОП транзисторе до и после облучения в широком темпера-турном диапазоне (80÷400 K) [1]. Согласно простой, но общей теории, основыванной только на больцмановской статистике, подпороговый раз-мах тока МОП-транзистора должен быть пропорционален температуре

BS k T q∝ в очень широком

диапазоне температур. Именно так обстоит дело в необлученных МОП-тран-зисторах (см. эксперимен-тальные данные (кружки) на рис.1). После облучения на этой зависимости появляет-ся плато, что затрудняет описание поведения МОПТ при низких температурах.

Наклон температурной зависимости подпорогового размаха зависит от диффе-ренциальной плотности по-

верхностных состояний на границе раздела Si-SiO2. Обычно предполаша-ется, что поверхностные состояния – это химически дефекты вблизи гра-ницы раздела (Pb-центры, E’-центры). Спектр энергетических уровней

Рис.1. Температурные зависимости подпорогового наклона S (В/декада) до (кружки) и после (квадраты)

облучения в n-канальном МОП-транзисторе.

Page 45: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Полупроводниковые СВЧ приборы и устройства: производство, технологии и свойства

Мокеровские чтения. 8-я Международная научно-практическая конференция 45

таких дефектов можно приближенно считать равномерным по запрещен-ной зоне кремния. Необходимым условием существования перезаряжае-мой граничной ловушки является расположение уровней перезарядки та-кой ловушки в пределах изменения уровня Ферми. Для комнатных и по-вышенных темпеператур наклон подпорогового размаха определяется, главным, образом, равномерным спектром химических ловушек. При низ-котемпературных измерениях тока энергетическое «окно чувствительно-сти» смещается в область, непосредственно примыкающую к краю зоны проводимости кремния. Эта энергетическая область соостветсвует мелким потенциальным ямам, которые образуются за счет радиационно-индуцированного заряда в окисле вблизи границы раздела Si-SiO2. Такие потенциальные ямы могут локализовать подвижные носители из инверси-онного слоя МОП транзистора, играя роль поверхностных состояний с энергетическим спектром вблизи зоны проводимости кремния. Заряд, ло-кализованный в таких потенциальных ямах, нельзя назвать невырожден-ным, особенно при низких температурах. Поэтому место температуры в формуле для подпорогового размаха занимает характерная величина по-тенциальных флуктуаций внешнего радиационного заряда. В пользу такой трактовки результатов на рис.1 свидетельствует также то, что в p-канальном транзисторе с изначально очень большой плотностью поверх-ностных состояний, плато независимости от температуры присутствует уже до облучения и не меняется после него. Литература 1. A.S. Bakerenkov, V.A. Felitsyn, A.S. Rodin, V.V. Orlov, G.I. Zebrev. Total Dose Radiation Response of n-Channel Enhancement Mode Field Effect Transistors over Wide Operation Temper-ature Range. IEEE Nuclear & Space Radiation Effects Conference, New Orleans, 2017.

Page 46: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Полупроводниковые СВЧ приборы и устройства: производство, технологии и свойства

46 Мокеровские чтения. 8-я Международная научно-практическая конференция

ИССЛЕДОВАНИЕ ОМИЧЕСКИХ КОНТАКТОВ К НАНОГЕТЕРОСТРУКТУРАМ НА ОСНОВЕ АРСЕНИДА ГАЛЛИИЯ

*А.В. Неженцев, В.Е. Земляков, В.И. Егоркин, В.И. Гармаш

Национальный исследовательский университет «МИЭТ» Россия, 124498, г. Москва, г. Зленоград, площадь Шокина, дом 1,

e-mail: [email protected]

INVESTIGATION OF OHMIC CONTACTS TO GAN-BASED NANOHETEROSTRUCTURES

*A.V. Nezhentsev, V.E. Zemlyakov, V.I. Egorkin, V.I. Garmash

National Research University of Electronics Technology - MIET Russia, 124498, Moscow, Zelenograd, Shokin, Square Bld. 1,

e-mail: [email protected]

A contact fabrication technology has been developed to achieve a low spe-cific contact resistance to AlGaN/GaN heterostructures. The contact consisted of a Ti/Al/Ni/Au metal stack and was deposited by e-beam evaporation on sem-iconductor surface followed by rapid thermal annealing (RTA) in nitrogen. A specific contact resistance as low as 0.2 Ω·mm at 870 °C. This low value was obtained by the optimization of Ti film thickness and annealing temperatures. Optimized contact has a good reproducibility and a smooth surface morpholo-gy.

Омические контакты являются неотъемлемой частью устройств СВЧ-

электроники. Для достижения наилучших характеристик, омические кон-такты должны соответствовать некоторым требованиям:

1) контактное сопротивление Rc, должно быть как можно меньше, чтобы обеспечить высокую плотность тока.

2) контакты должны иметь гладкую морфологию поверхности, чтобы облегчить дальнейшие шаги фотолитографии.

3) контакты должны быть механически достаточно прочными, чтобы не разрушаться во время измерений.

Полупроводниковые нитриды группы III химически инертны, что де-лает реализацию омического контакта к нему весьма сложной задачей. Вопрос получения надежных контактов с низким сопротивлением и глад-кой морфологией стал наиболее заметным с появлением транзисторов на основе двумерного электронного газа (ДЭГ) в AlGaN/GaN гетерострукту-рах. [1, 2, 3].

Все эксперименты проводились с использованием гетероструктур не-легированного нитрида галлия. Контактная металлизация изготавливалась

Page 47: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Полупроводниковые СВЧ приборы и устройства: производство, технологии и свойства

Мокеровские чтения. 8-я Международная научно-практическая конференция 47

путем послойного осаждения металлов в вакууме, испарённых электрон-ным лучом. Исследовалось два вида образцов, в обоих случаях состав слоев был одинаковый (Ti/Al/Ni/Au). Толщины слоя титана были разны-ми, это было сделано с целью определения его влияния на контактные характеристики. Толщины первой системы составляют 18/100/40/40 нм, второй 22/100/40/40 нм. Образцы были подвергнуты термической обра-ботки в диапазоне температур от 830 до 910 °С в течение 30 секунд. Мы использовали специальный тип термообработки, заключающийся в быст-ром нагреве, выдержке при определённой температуре и быстром охла-ждение. Такая термообработка называется вжиганием. Она необходима для создания сильнолегированного слоя в приконтактной области.

В ходе данного исследования оптимизирован производственный процесс омических контактов для наногетероструктур на основе нитрида галлия. Оптимизация параметров процесса и состава металлизации позво-лила уменьшить уровень контактного сопротивления до 0.2÷0.3 Ом·мм. Найден диапазон температур (850÷890 °С), в котором вариация парамет-ров, таких как толщина, оказывает незначительное влияние на уровень контактного сопротивления и сопротивления полупроводника. Литература 1. Khan M. A. et al. High electron mobility transistor based on a GaN/AlGa1N heterojunction, Applied Physics Letters. Т. 63. . 9. (1993). 2. Mishra U. K. et al. AlGaN/GaN HEMTs-an overview of device operation and applications, PROCEEDINGS-IEEE. . Т 90. . 6. (2002). 3. Shur M. S. GaN based transistors for high power applications, Solid-State Electronics. Т. 42. . 12. (1998).

0

0,1

0,2

0,3

0,4

0,5

0,6

0,7

820 830 840 850 860 870 880 890 900 910 920

Температура, °С

Rк,

Ом

*мм

Al/Ti = 5,6

Al/Ti = 4,5

Рис. 1. Зависимость контактного сопротивления от температуры вжигания

Page 48: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Полупроводниковые СВЧ приборы и устройства: производство, технологии и свойства

48 Мокеровские чтения. 8-я Международная научно-практическая конференция

ИОННО-ЛЕГИРОВАННЫЕ СЛОИ НА НИТРИДЕ ГАЛЛИЯ

Д.Г. Федоров 1*, А.С. Ионов1, А.В. Петров1, Б.И.Селезнев2

1ОАО «ОКБ-Планета» Россия, 173004, г. Великий Новгород, ул. Федоровский ручей, 2/13,

e-mail: [email protected]; 2 Новгородский государственный университет им. Ярослава Мудрого,

Россия, 173003, г. Великий Новгород, Б. С.-Петербургская, 41 e-mail: [email protected]

THE ION-DOPED LAYERS ON GALLIUM NITRIDE

D.G. Fedorov1*, A.S. Ionov1, A.V. Petrov1, B.I.Seleznev2

1 JSC «ОCB - Planet», Russia, 173004, Velikiy Novgorod ul. Fedorovskiy ruchey, 2/13, e-mail: [email protected];

2 Novgorod State University, Russia, 173003, Velikiy Novgorod. ul Bolshaya St.Petersburgskaya, 41. e-mail: [email protected]

The analysis of the formation conditions of the ion-implanted layers on ba-

sis of gallium nitride by the silicon ion implantation with the application of the photon annealing and the protective dielectric coating of silicon dioxide has been carried out. The conditions of the formation of the ion-implanted layers with high dopant activation have been detected.

Электрические и оптические характеристики имплантированных

кремнием слоев нитрида галлия исследованы в ряде работ зарубежных авторов. Ионы 28Si+ имплантировались дозами D= 1·1013÷5·1015 см-2 с энергией ионов E=200 кэВ. Имплантированные образцы отжигались при температурах 1050÷1350 °С в среде азота с применением покрытий AlN. 100%-ая степень активации достигнута при температуре отжига 1350 °С.

В настоящей работе исследованы характеристики имплантированных ионами 28Si+ слоев нитрида галлия, подвергнутых высокотемпературному фотонному отжигу в среде азота с применением защитных покрытий SiO2

и Si3N4. Выбор в качестве защитных покрытий при отжиге ионно-легированных слоев GaN низкотемпературных пленок SiO2 и Si3N4 обу-словлен высокой воспроизводимостью их получения, широким использо-ванием при создании различных микроэлектронных приборов, в том чис-ле диодных структур на нитриде галлия [1]. Кроме того, при использова-нии фотонного отжига появляется возможность управления структурой низкотемпературных пленок SiO2, в том числе устранение дефицита по кислороду. Исследуемые образцы GaN были выращены методом MOCVD на сапфировой подложке диаметром 2 дюйма. Толщина высокоомного активного слоя GaN составляет 2.5 мкм, буферного слоя – 1.5 мкм. Ис-

Page 49: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Полупроводниковые СВЧ приборы и устройства: производство, технологии и свойства

Мокеровские чтения. 8-я Международная научно-практическая конференция 49

пользуемые в настоящей работе пленки SiO2 и Si3N4 формировались мето-дом плазмохимического осаждения в индуктивно-связанной плазме на установке Sentech SI 500 D.

Имплантация ионов Si+ в GaN проводилась в различных режимах. До-зы имплантации составляют 1014÷1015 см-2, энергии внедряемых ионов– 50÷100 кэВ. Для предотвращения эффекта каналирования образцы GaN располагаются под углом 7° к нормали падающего пучка. Для активации примеси используется установка высокотемпературного фотонного отжи-га As-One. Отжиг проводится в течении 1 минуты в диапазоне температур от 1100 до 1350 °С в среде азота. Для измерения электрофизических па-раметров ионно-легированных слоев GaN используется установка изме-рения эффекта Холла HMS-5000/0/55 T.

В силу дефектности исходных подложек нитрида галлия высокотемпе-ратурные отжиги нелегированных структур приводят к значительному изменению поверхностной концентрации (при температуре отжига 1250 °С до величин ~5.8·1015 см-2) и при дозе имплантации 1014см-2 вклад подложки может быть решающим. Поэтому практический интерес пред-ставляют данные для доз имплантации, больших 1015см-2.

При отжиге с защитным покрытием SiO2 наблюдается высокая степень активации примеси: от 46 до 80 % при температуре отжига 1200 °С и ~100% при температуре отжига 1250 °С. При температурах отжига, боль-ших 1300 °С, происходит разрушение диэлектрических покрытий. При этом по данным атомно-силовой микроскопии на поверхности наблюда-ются скопления галлия.

Наиболее стабильными в диапазоне температур 80÷360 К оказываются поверхностная концентрация для образцов, подвергнутых отжигу при температуре 1250 °С и характеризующихся наиболее высокой степенью активации примеси. Так как температура окружающей среды оказывает слабое влияние на параметры полупроводника, то можно предположить, что и приборные структуры будут стабильны в данном температурном диапазоне.

Публикация подготовлена при финансовой поддержке Минобрнауки России в рамках проектной части государственного задания, проект 3.3572.2017/ПЧ.

Литература 1. Селезнев Б.И., Москалев Г.Я., Федоров Д.Г., ФТП, т.50, вып.6, с.848-853 (2016).

Page 50: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Полупроводниковые СВЧ приборы и устройства: производство, технологии и свойства

50 Мокеровские чтения. 8-я Международная научно-практическая конференция

ВЛИЯНИЕ ТРАВЛЕНИЯ НА ХАРАКТЕРИСТИКИ СТРУКТУР ДИОДОВ ШОТТКИ НА НИТРИДЕ ГАЛЛИЯ

*А.В. Желаннов1, А.С. Ионов1, А.В. Петров1, Б.И. Селезнев

1ОАО «ОКБ-Планета» Россия, 173004, г. Великий Новгород, ул. Федоровский ручей, 2/13, e-mail:

[email protected] 2Новгородский государственный университет им. Ярослава Мудрого,

Россия, 173003, г. Великий Новгород, Б. С.-Петербургская, 41

INFLUENCE ON THE CHARACTERISTICS OF ETCHING STRUCTURES FOR GaN SCHOTTKY DIODES

A.V. Zhelannov1*, A.S. Ionov1, A.V. Petrov1, B.I. Seleznev2

1JSC «ОCB - Planet», Russia, 173004, Velikiy Novgorod, ul. Fedorovskiy ruchey, 2/13, e-mail [email protected]

2Novgorod State University, Russia, 173003, Velikiy Novgorod, ul. Bolshaya St.Petersburgskaya, 41

The work shows the influence of etching on the characteristics of the struc-

tures of Schottky diodes based on gallium nitride. The characteristics of diode structures, depending on the impact of ICP power plasma chemical etching in a chlorine-containing environment.

Структуры с барьером Шоттки на основе нитрида галлия представля-

ют большой интерес как перспективные компоненты для создания прибо-ров силовой электроники, мощных СВЧ транзисторов и монолитных ин-тегральных схем, инжекционных лазеров и светодиодов в коротковолно-вой области спектра.

Одной из важных операций в технологии приборов на нитриде галлия является травление материала для формирования межэлементной изоля-ции и углублений под затвор для транзисторов с высокой подвижностью электронов.

В данной работе, являющейся продолжением [1], представлены ре-зультаты исследований влияния травления на параметры структур диодов Шоттки.

В качестве исходного материала использовались эпитаксиальные структуры следующего вида: нелегированный слой n-GaN толщиной 50 нм, n--GaN толщиной 5.0 мкм, слой n+-GaN толщиной 1.0 мкм и буфер-ный слой. Непосредственно в ростовой камере структуры пассивирова-лись слоем нитрида кремния толщиной 1.7 нм. Эпитаксиальные структу-ры выращивались на подложках сапфира методом MOCVD. На структу-рах формировались меза-изоляция и вскрытие окон до слоя n+-GaN путем

Page 51: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Полупроводниковые СВЧ приборы и устройства: производство, технологии и свойства

Мокеровские чтения. 8-я Международная научно-практическая конференция 51

травления в индуктивно-связанной плазме (ИСП) в хлорсодержащей сре-де. При этом глубина травления составляла величину 7.6 мкм и 5.6 мкм, соответственно.

К открытым областям n+-GaN формировались омические контакты напылением многослойной металлизации Si/Ti/Al/Ni/Au с последующим отжигом при температуре 600 °С в течении 45 секунд в атмосфере азота. В результате получены контакты с удельным контактным сопротивлением ~ 2·10-6 Ом·см2.

В качестве барьерной металлизации использовалась система Ni/Au, формируемая с помощью взрывной фотолитографии. Перед формирова-нием барьерного контакта проводилось травление в следующих режимах: соотношение газовых потоков BCl3:Cl2:Ar − 20:60:10 см3/мин, давление в камере – 1.2 Па, ВЧ мощность составляла 20 Вт при изменении ИСП мощности от 50 Вт до 400 Вт.

Качество барьеров Шоттки оценивалась по величине высоты барьера (φb) и коэффициента неидеальности (n), определяемых из вольт-амперных характеристик. Также оценивалось падение напряжение (Uпр, В) при ве-личине плотности тока 100 А/см2 и обратное напряжение (Uобр, В) по уровню обратного тока 1 мА. Параметры барьерных структур представле-ны в табл. 1.

Таблица 1. Влияние травления на параметры барьера Шоттки

Как следует из таблицы 1, наилучшие результаты получены при мощ-

ности индуктивно-связанной плазмы 100 Вт. При этом высота барьера составляет 0.87 эВ, коэффициент неидеальности – 1.0, обратное напряже-ние − > 100 В.

Публикация подготовлена при финансовой поддержке Минобрнауки России в рамках проектной части государственного задания, проект 3.3572.2017/ПЧ.

Литература 1. А.В. Желаннов, Д.Г. Федоров, Б.И. Селезнев. Разработка технологии травления нитрида галлия в хлорсодержащей среде // Мокеровские чтения: 7-я Международная научно-практическая конференция по физике и технологии наногетероструктурной СВЧ-электроники. – М.: 25 мая 2016 г. – С. 57-58.

ИСП мощность, Вт

φb, эВ

n Uпр, В

Uобр, В

ИСП мощность, Вт

φb, эВ

n Uпр, В

Uобр, В

Без травления 0.68 2.0 4.25 78 200 0.75 1.1 1.18 95

400 0.62 1.5 1.35 92 100 0.87 1.0 1.55 > 100

300 0.64 1.5 1.15 80 50 0.82 1.2 2.95 92

Page 52: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Полупроводниковые СВЧ приборы и устройства: производство, технологии и свойства

52 Мокеровские чтения. 8-я Международная научно-практическая конференция

ЭЛЕКТРОХИМИЧЕСКАЯ ТЕХНОЛОГИЯ ФОРМИРОВАНИЯ ОПТИЧЕСКИ ПРОЗРАЧНЫХ НАНОСТРУКТУРИРОВАННЫХ АЛЮМИНИЕВЫХ ПОКРЫТИЙ

ДЛЯ УПРАВЛЯЮЩИХ ЭЛЕКТРОДОВ ЖИДКОКРИСТАЛЛИЧЕСКИХ УСТРОЙСТВ

*В.В. Жилинский1, В.С. Безбородов1, Ю.А. Егорова1, А.А. Черник1, А.Г. Смирнов2, И.М. Жарский1

1Белорусский государственный технологический университет

Беларусь, 220006, г. Минск, ул. Свердлова, дом 13а, e-mail: [email protected] 2Белорусский государственный университет информатики и радиоэлектроники Беларусь, 220013, г. Минск, ул. П. Бровки, дом 6, e-mail: [email protected]

ELECTROCHEMICAL TECHNOLOGY OF FORMATION OF OPTICAL

TRANSPARENT NANOSTRUCTURED ALUMINUM COATINGS FOR DISPLAY ELECTRODES OF LIQUID CRYSTAL DEVISES

*V.V. Zhylinskiy1, V.S. Bezborodov1, Yu.A. Egorova1, A.A. Chernik1, A.G. Smirnov2,

I.M. Zharski1

1Belarusian State Technological University

Belarus, 220006 Minsk, Sverdlova Str. 13а, e-mail: [email protected] 2Belarusian State University of Informatics and Radioelectronics

Belarus, 220013 Minsk, P. Brovki Str. 6, e-mail: [email protected]

The design and application of nanostructured anisotropic materials, conductive and alignment coatings for displays and photonic devices are described. A glass substrate with 200nm aluminum layer was used for the transparent conductive electrodes (TCE) fabrication. For the formation of nanoporous alumina layers on glass substrate we used the electrochemical anodization of Al layers at voltage of 40÷60 V in oxalic acid solu-tion. We found optimal conditions of the anodization, which allow preparing nanostruc-tured surfaces with a good optical transparency and conductivity. Using trade-off be-tween the transparency and conductance of the layers we obtained 10÷20 Ω/sq sheet resistance for holes (sphere) radios 60÷70 nm and interholes distance 100 nm. The cells, which have been prepared using the LC materials with negative dielectric anisotropy and the nanoporous alumina layers on glass substrate (alignment conditions) have sever-al advantages in comparison with the cells containing commercial LC and alignment materials and possess switching time less than 3ms.

В работах [1, 2] широко использовался электрохимической метод,

снованный на анодировании алюминиевых пленок на стеклянной подлож-ке, как технологическое решение по исключению индия из производства управляющих электродов жидкокристаллических (ЖК) устройств. Пред-лагаемый электрохимический метод [1, 2] обеспечивает высокую оптиче-скую прозрачность, низкое удельное электросопротивление и хорошие выравнивающие свойства для молекул жидких кристаллов на поверхности электродов.

Page 53: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Полупроводниковые СВЧ приборы и устройства: производство, технологии и свойства

Мокеровские чтения. 8-я Международная научно-практическая конференция 53

Целью настоящей работы являлось разработка электрохимической технологии производства проводящих выравнивающих покрытий из алю-миния для дисплейных устройств. Для изготовления прозрачных электро-проводных электродов (ПЭЭ) была использована стеклянная подложка с алюминиевым слоем толщиной 200 нм [1]. Для формирования слоев нанопористого оксида алюминия на стеклянной подложке использовалось электрохимическое анодирование Al слоев при напряжении 40÷60 В в 0.1÷1.7М растворе щавелевой кислоты.

Следует отметить, что непродолжительное по времени анодирование при 20 °С в 0.1М растворе щавелевой кислоты не позволяет получать вы-сококачественные прозрачные слои из нанопористого оксида алюминия. Увеличение времени анодирования при понижении температуры электро-лита до 0 °С в 1.7М растворе щавелевой кислоты приводит к образованию проводящих слоев с высоким удельным электрическим сопротивлением. Учитывая оптимальное соотношение между прозрачностью и проводимо-стью ПЭЭ были подобраны условия анодирования алюминия, которые позволили получить ПЭЭ с сопротивлением 10÷20 Ом на квадрат, коэф-фициентом прозрачности 70%. При этом параметры нанострутурирован-ной гексагональной сетки из алюминия составляли: диаметр лунки 60÷70 нм, расстояние между порами 100 нм.

Исследуемые в циклах влечения-выключения дисплейные ячейки, из-готовленные из ПЭЭ с полученной наноструктурированной гексагональ-ной сеткой из алюминия и ЖК материалов с отрицательной диэлектриче-ской анизотропией, обладают временем переключения менее 3 мс, что сопоставимо со временем включения промышленно выпускаемых диспле-ев с индий-оксидными электродами.

Таким образом, результаты наших исследований показали, что слои нанопористого оксида алюминия на стеклянной подложке могут обеспе-чить хорошие выравнивающие свойства для молекул ЖК на поверхности подложки и могут быть одним из перспективных решений для проектиро-вания и производства дисплеев следующих поколений. Найдены опти-мальные условия анодирования, позволяющие получать наноструктури-рованные поверхности с хорошей оптической прозрачностью и проводи-мостью, а также с хорошими выравнивающими свойствами по отноше-нию к ЖК молекул. Литература 1. P. Jaguiro et al., Semicond. Phys., Quan. Electron. & Optoelectron. 13, 305 (2010). 2. Q.G. Du et al., Appl. Phys. Lett. 100, 181 (2012).

Page 54: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Полупроводниковые СВЧ приборы и устройства: производство, технологии и свойства

54 Мокеровские чтения. 8-я Международная научно-практическая конференция

СИНТЕЗ НАНОСТРУКТУРИРОВАННЫХ МУЛЬТИКОМПОНЕНТНЫХ МЕТАЛЛООКСИДОВ В МАТРИЦАХ АНОДНОГО ОКСИДА АЛЮМИНИЯ

Г.Г. Горох1, А.И. Захлебаева1, *В.В. Жилинский2, Н.В. Богомазова2

1Белорусский государственный университет информатики и радиоэлектроники

Беларусь, 220013, г. Минск, ул. П.Бровки, дом 6, e-mail: [email protected] 2Белорусский государственный технологический университет

Беларусь, 220006, г. Минск, ул. Свердлова, дом 13a, e-mail: [email protected]

SYNTHESIS OF NANOSTRUCTURED MULTICOMPONENT METALOXIDES IN ANODIC ALUMINA MATRIXES

G.G. Gorokh1, A.I. Zakhlebayeva1, *V.V. Zhylinskiy2, N.V. Bogomazova2

1Belarusian State University of Informatics and Radioelectronics

Belarus, 220013, Minsk, P.Brovki str., 6, e-mail: [email protected] 2 Belarusian State Technological University

Belarus, 220006, Minsk, Sverdlova str., 13a, e-mail: [email protected]

Low-profile anodic alumina matrixes for synthesis of multicomponent metaloxides films were formed by two-step anodizing of Al layers on Si substrates. SnxMoyOz and SnxWyOz films were synthesized by layer-by-layer deposition of Sn(OH)4 and Mo(OH)3 as well as of Sn(OH)4 and W(OH)6 from water solutions. The formed films uniformly fill the pores of the anodic alumina. The absorption bands 960, 876, 710 and 540 cm-1, there found on IR spectra of the structures, imply formation of chemical bonds of Mo-O, Mo-O-Mo and Sn-O-Sn. Absorption bands in the 900÷970 cm-1, 850÷800 cm-1 and 700÷600 cm-1 ranges are characterized for formation of WO4 tetrahedra and WO6 octa-hedra. The variation in synthesis conditions of films and configuration of the anodic alumina matrixes allows for change in the phase composition of synthesized metaloxide structures. Formed multicomponent films can be used as chemosensitive layers of chem-ical sensors and sensor microsystems.

Формирование мультикомпонентных металлооксидных соединений,

содержащих атомы различных металлов, и их структурирование с помо-щью матриц анодного оксида алюминия (АОА), позволяет уменьшить размеры зерен формируемых пленок, улучшить их селективность и сфор-мировать структуры с контролируемым аспектным отношением площади активной поверхности к объему [1].

В работе представлены результаты синтеза наноструктурированных мультикомпонентных пленок SnxMoyOz и SnxWyOz в матрицах АОА и ис-следования состава и структуры сформированных матричных систем.

Низкопрофильные матрицы АОА для синтеза мультикомпонентных пленок толщиной 1 мкм и диаметрами пор 200 нм изготавливали методом двухстадийного анодирования напыленной на Si подложку пленки Al в 0.2М водном растворе винной кислоты при напряжении 220 В и плотно-

Page 55: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Полупроводниковые СВЧ приборы и устройства: производство, технологии и свойства

Мокеровские чтения. 8-я Международная научно-практическая конференция 55

сти тока анодирования не более 4 мА/см2. Синтез мультикомпонентных металлооксидных пленок проводили послойным осаждением с чередова-нием слоев из гидроксидов олова и молибдена. Электрофоретическое осаждение слоя Sn(OH)4 проводили из раствора 0.01М К2[Sn(ОН)4] / 0.01М К2SO4 при анодной поляризации электрода. Полученный слой отжигали в течение 60 мин в смеси O2/N2 при T=750 °С для перехода Sn2+ в Sn4+. Осаждение слоя Mo(OH)3 проводили из раствора 0.01М (NH4)2МоО3 при катодной поляризации электрода. Об-разовавшийся слой отжигали в O2/N2 при T=750 °С в течение 120 мин для формирования соединения SnхMoyOz. Повторением перечисленных тех-нологических операций были сформированы структуры, содержащие от 10 до 30 слоев молибдата олова [2]. Синтез пленок SnхWyOz проводили по аналогичной методике, осаждение слоев W(OH)6 осуществляли из 0.01М (NH4)2WО4.

Электронно-микроскопические исследования сформированных струк-тур показали равномерное заполнение пор и распределение сформирован-ных пленок по поверхности АОА. Полосы поглощения 960, 876, 710 и 540 см-1, обнаруженные на ИК-спектрах сформированных пленок SnхMoyOz, соответствуют валентным колебаниям групп Мo−О в Мо=О и Мо−О−Мо, а также Sn−O−Sn [3]. Наличие в ИК-спектрах пленок SnxWyOz полос поглощения в диапазонах 850÷800 см-1 и 700÷600 см-1 свидетель-ствует о симметричных и асимметричных валентных колебаниях октаэд-ров WO6. Полосы 900÷970 см-1 и 800÷850 см-1 характерны для тетраэдри-ческих оксидов WO4 [4].

Таким образом, варьирование качественным и количественным соста-вом растворов, режимами термообработки, конфигурацией и микрогео-метрией матриц АОА, позволяет осуществлять направленное изменение фазового состава синтезируемых наноразмерных металлооксидных струк-тур. Сформированные мультикомпонентные пленки могут найти широкое применение в качестве хемочувствительных слоев газовых сенсоров и сенсорных микросистем. Литература 1. Г.Г. Горох, А.И. Захлебаева, А.В. Пянко, В.В.Жилинский, Н.В. Богомазова, Матер. V МНК «Наноструктурные материалы–2016: Беларусь–Россия–Украина», 275 (2016). 2. Г.Г. Горох, А.И. Захлебаева, В.В. Жилинский, А.В. Пянко, Матер. 26-й Междунар. Крым-ской конф. «СВЧ-техника и телекоммуникационные технологии», 1478 (2016). 3. L.B. Gulina and V.P. Tolstoy, Thin solid films 440, 74 (2003). 4. Е.В. Колобкова, Е.В. Сохович, В.С. Земко, Известия СПбГТУ 19, 3 (2013).

Page 56: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Полупроводниковые СВЧ приборы и устройства: производство, технологии и свойства

56 Мокеровские чтения. 8-я Международная научно-практическая конференция

ФОРМИРОВАНИЕ МАТРИЦ РЕГУЛЯРНЫХ ОТВЕРСТИЙ В Si ПОДЛОЖКАХ ДЛЯ СЕЛЕКТИВНОГО СИНТЕЗА ТРИНИТРИДНЫХ НАНОСТРУКТУР

*Г.Г. Горох1, N. Biyikli2, П.В. Деминский3,4, A. Haider4, А.А. Лозовенко1, Н.Н. Ляхова3,

В.И. Осинский3

1Белорусский государственный университет информатики и радиоэлектроники БГУИР, Беларусь, 220013, Минск, П. Бровки, д. 6; e-mail: [email protected]

2Utah State University, Logan, UT 84322 U.S.A. 3ГП «НИИ микроприборов» НТК «Институт Монокристаллов» НАН Украины, Украина,

Киев, ул. Пивнично-Сирецька, 3; e-mail: [email protected] 4Institute of Materials Science and Nanotechnology, Bilkent University, Ankara 06800, Turkey

FORMATION OF REGULAR HOLES MATRICES IN Si SUBSTANCES

FOR SELECTIVE TRINITRIDE NANOSTRUCTURES SYNTHESIS

*G.G. Gorokh1, N. Biyikli2, P.V. Deminskiy3,4, A. Haider4, A.A. Lozovenko1, N.N. Laykhova3, V.I. Osinskiy3

1Belarusian State University of Informatics and Radioelectronics Belarus, 220013, Minsk, Brovki Str. 6, e-mail: [email protected]

2Utah State University, Logan, UT 84322 U.S.A. 3State Enterprise Research Institute of Microdevices STS «Institute for Single Crystals» of NAS of the Ukraine, Kiev, Pivnichna-Syretska str., 3; e-mail: [email protected]

4Institute of Materials Science and Nanotechnology, Bilkent University, Ankara 06800, Turkey

The work aim is to build on silicon substrates the nanostructured template-buffer systems, which is to via the matrix of anodic alumina to create the regu-lar array of holes in the coated with silicon substrate and then fill them with trinitride nanostructures. The methodology consists of a sequence of several operations - forming of anodic alumina matrix without barrier layer on the Si wafer surface, etching the nanoholes in silicon wafer through anodic alumina.

Для получения качественных пленок нитрида галлия на кремниевых

подложках необходимо использовать промежуточные буферные слои, назначение которых состоит в компенсации механических напряжений, обусловленных рассогласованием решеток и разницей ТКР. Темплетно-буферные слои предлагается формировать путем вытравливания отвер-стий в поверхностном слое кремниевых подложек через тонкие маски из пористого анодного оксида алюминия (ПАОА) и последующим заполне-нием отверстий наноразмерными столбиками нитридов соединений III группы (GaN, AlN, InN). В настоящей работе исследованы особенности формирования отверстий на поверхности кремниевых подложек требуе-мых размеров и глубины методом плазмохимического травления через маски ПАОА.

Page 57: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Полупроводниковые СВЧ приборы и устройства: производство, технологии и свойства

Мокеровские чтения. 8-я Международная научно-практическая конференция 57

Тонкие маски из ПАОА получали анодированием пленок алюминия, напыленных непосредственно на Si подложки, в растворах 0.4 М раство-рах щавелевой (47, 55, 63В) и малоновой (78, 87В) кислот с последующей модификацией пористой структуры [1]. В результате проведенных техно-логической обработки на поверхности Si были сформированы матричные маски из АОА со следующими размерами пор и расстояниями между ни-ми: 50х70; 50х90; 70х60; 90х70, 70х130; 90х130. Травление кремния осу-ществляли методом ПХТ в среде SF6, CHF3, в среде Ar, варьируя концен-трациями активного газа и газа носителя, а также мощностью и временем травления. Результаты процесса травления оценивали по электронно-микроскопическим снимкам поверхности обработанных образцов. На ри-сунке 1, приведены снимки поверхности Si подложек, полученных в оп-тимизированных электрохимических условиях.

а) б) в)

Рис.1. Cнимки поверхности Si подложек, полученных после ПХТ в среде SF6+ CHF3 (5 мин.) (а), после ПХТ в среде SF6+ CHF3 (15 мин.) (б); ПХТ в среде SF6+ CHF3+Ar (20 мин.) (в)

Сформированные темплейты обладают размерами пор от 50 до

130 нм, с шагом от 120 до 220 нм. Полученные матрицы отверстий отве-чают требованиям для локального синтеза бездефектных наноструктур GaN, которые способны обеспечить согласование решеток для последу-ющего эпитаксиального наращивания пленок нитрида галлия [2]. Приме-нение темплетно-буферных слоев позволит обеспечить необходимую для коалесценции зародышей поверхностную подвижность атомов без угрозы разложения материала. Литература 1. А.И. Захлебаева, Г.Г. Горох, Наноструктурированные оксидные пленки и покрытия, 20 (2014) 2. Г.Г. Горох, В.И. Осинский, А.А. Лозовенко, Мокеровские чтения. 7-я Международная научно-практическая конференция, 7 (2016)

Page 58: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Полупроводниковые СВЧ приборы и устройства: производство, технологии и свойства

58 Мокеровские чтения. 8-я Международная научно-практическая конференция

ОПТИМИЗАЦИЯ МЕТОДОВ ПЕРЕНОСА ГРАФЕНА, СИНТЕЗИРОВАННОГО МЕТОДОМ ХПО, НА ЦЕЛЕВУЮ ПОДЛОЖКУ

*К.А. Нигериш1,2, Н.Г. Ковальчук1, А.В. Аврамчук1,2, *И.В. Комиссаров1,2

1Белорусский государственный университет информатики и радиоэлектроники

Беларусь, 220013, г. Минск, улица П. Бровки, дом 6, e-mail: [email protected] [email protected]

2Национальный исследовательский ядерный университет «МИФИ» Россия, 115409, г. Москва, Каширское ш., дом 31

OPTIMIZATION OF TRANSFER METHODS OF GRAPHENE SYNTHESIZED

BY CVD ON TARGET SUBSTRATE

*K.A. Nigerish1,2, N.G. Kovalchuk1, A.V. Avramchuk1,2, *I.V. Komissarov1,2

1Belarusian State University of Informatics and Radioelectronics

Republic of Belarus, 220013 Minsk, P. Brovka str. 6, e-mail: [email protected] [email protected]

2National Research Nuclear University MEPHI Russia, 115409 Moscow, Kashirskoe shosse 31

In this work we propose the modified method for transfer of CVD-

graphene and polymer removing. Two polymers (PMMA and LOR) were used for graphene transfer. In our method we apply RIE with subsequent deep UV treatment of the polymers. After the treatment polymers were dissolved in IPA/MIBK/acetone solution. The quality of the transferred films was evaluated using atomic force microscopy. The study showed the presence of the polymers residuals. Applying addition acetone treatment caused significant reduction of RMS roughness.

Использование полимера является необходимым при переносе графе-

на, синтезированного методом химического парофазного осаждения, с катализатора на поверхность целевой подложки. Для дальнейшего ис-пользования графена необходимо удалять поддерживающий слой поли-мера, что представляет собой сложную задачу. В данной работе исследо-вался процесс переноса ХПО-графена на поверхность Si/SiO2, синтезиро-ванного на медной фольге, с применением двух различных полимеров: PMMA и LOR, а также модифицированный метод их удаления. Схемати-чески данный метод представлен на рис. 1. Предварительно (рис. 1а) по-лимер был разрыхлен с использованием реактивного ионного травления в кислородной плазме, а также была проведена засветка в глубоком ультра-фиолете для разрыва химических связей в структуре полимера. Слой по-лимера был удален путем химического травления в следующем растворе: изопропиловый спирт / метилизобутилкетон / ацетон (1:1:1) в течение 5

Page 59: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Полупроводниковые СВЧ приборы и устройства: производство, технологии и свойства

Мокеровские чтения. 8-я Международная научно-практическая конференция 59

часов. Анализ поверхности методом АСМ (рис. 2а, 2б) показал наличие остатков полимера. Для их удаления образцы подверглись дополнитель-ному травлению в ацетоне в течение 2 часов (рис. 1б). При этом наблюда-лось уменьшение среднеквадратичной шероховатости поверхности (RMS roughness), что связано с уменьшением количества остатков полимера (рис. 3а, 3б).

Рис. 1. Схема двух этапов процесса переноса графена с использованием различных полимеров: РММА и LOR

Рис. 2. Морфология пленок графена после первого этапа переноса, полученная методом АСМ: а) с PMMA(RMS roughness- 14.1 нм), б) с LOR (RMS roughness 28.8 нм), в) образец,

перенесенный без полимера (RMS roughness 9.2 нм)

Рис. 3. Морфология пленок графена после 2 этапа переноса, полученная методом АСМ: а) с РММА (RMS roughness 12 нм), б) с LOR (RMS roughness 11.3 нм)

а) б)

a) б) в)

а) б)

Page 60: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Полупроводниковые СВЧ приборы и устройства: производство, технологии и свойства

60 Мокеровские чтения. 8-я Международная научно-практическая конференция

ИССЛЕДОВАНИЕ ТЕХНИКИ ТЕРМИЧЕСКОГО ОПЛАВЛЕНИЯ ФОТОРЕЗИСТА

*К.А. Нигериш1,2, Н.В. Антонова2, А.В. Аврамчук2, И.С. Васильевский2

1Белорусский государственный университет информатики и радиоэлектроники Беларусь, 220013, г. Минск, улица П. Бровки, дом 6, e-mail: [email protected]

2Национальный исследовательский ядерный университет «МИФИ» Россия, 115409, г. Москва, Каширское ш., дом 31, e-mail: [email protected]

INVESTIGATION OF THERMALLY REFLOWED RESIST TECHNIQUE

*K.A. Nigerish1,2, N.V. Antonova2, A.V. Avramchuk2, I.S. Vasil’evskii2

1Belarusian State University of Informatics and Radioelectronics

Republic of Belarus, 220013 Minsk, P. Brovka str. 6, e-mail: [email protected] 2National Research Nuclear University MEPHI

Russia, 115409 Moscow, Kashirskoe shosse 31, e-mail: [email protected] In this work we present the evaluation capabilities of process based on resolution

enhancement to obtain a pattern smaller than allows using of exposure tools of photo-lithographic equipment. The experiment presents results of resolution enhancement of T-shaped gate patterns using thermal reflow method. These patterns were formed in S-1805 photoresist with 500 nm thickness using lithographic process. Adjustment of the time and temperature during treatment of thermal reflow process was precisely con-trolled. The experimental results were investigated by atomic force microscopy. The study showed decrease of the length of the T-gate up to 200 nm after thermal reflow process.

Для повышения частотной характеристики в СВЧ-промышленности

при сохранении низкого сопротивления затвора обычно используется Т-образный затвор, который одновременно имеет малую длину и относи-тельно большую площадь поперечного сечения. С помощью оптической литографии невозможно изготавливать затворы длиной 50÷200 нм из-за ограничения длины волны экспонирования. Сформировать структуру Т-затвора можно используя технику термического оплавления фоторезиста. Этот метод позволяет, используя ультрафиолетовую фотолитографию, добиться нанометровых размеров длины затвора в конечном результате. Такой косвенный способ формирования субмикронного затвора позволяет уменьшить топологическую норму затвора от 800÷1000 нм до значений менее 200 нм.

В данной работе для проведения экспериментов использовались пла-стины Si/SiO2, на которые методом центрифугирования был нанесен фо-торезист марки S-1805. Толщина фоторезиста составляла 500 нм. Прово-дилась оптическая фотолитография с использованием контактного фото-

Page 61: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Полупроводниковые СВЧ приборы и устройства: производство, технологии и свойства

Мокеровские чтения. 8-я Международная научно-практическая конференция 61

шаблона, длина затворной линии составила 0.9 мкм (рис 1). Измерения проводились с помощью оптического микроскопа.

Рис. 1. Структура с длиной затворной линии 0,9 мкм

Затем фоторезист был оплавлен с использованием термической плит-ки, на поверхности которой обеспечивается равномерное распределение тепла. Оплавление фоторезиста производилось в диапазоне температур от 60 °С до 180 °С. Длина затворной линии была измерена методом атомно-силовой микроскопии. На рис. 1, 2 представлены АСМ изображения и профили поверхности образцов до и после оплавления в течение 1 мин при температуре 80 °C. При температурах свыше 125 °С наблюдался об-ратный эффект: окно в фоторезисте под действием температуры увеличи-валось.

Рис. 2. а) АСМ изображение поверхности образца до оплавления фоторезиста, б) профиль поверхности до оплавления фоторезиста, длина затворной линии составляет 900 нм

Рис. 3. а) АСМ изображение поверхности образца после оплавления фоторезиста, б) профиль

поверхности после оплавления фоторезиста, длина затворной линии составила 200 нм

а) б)

а) б)

Page 62: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Полупроводниковые СВЧ приборы и устройства: производство, технологии и свойства

62 Мокеровские чтения. 8-я Международная научно-практическая конференция

МОДЕЛИРОВАНИЕ ОСАЖДЕНИЯ ЛЕГИРОВАННЫХ ПЛЕНОК ПОЛИКРИСТАЛЛИЧЕСКОГО КРЕМНИЯ И САМООРГАНИЗАЦИИ

НАНОКЛАСТЕРОВ В LPCVD-ПРОЦЕССЕ

*А.С. Строгова1, А.А. Ковалевский1

1Белорусский государственный университет информатики и радиоэлектроники

Республика Беларусь, 220013, г. Минск, ул. П. Бровки, дом 6, e-mail: [email protected]

MODELLING OF DEPOSITION OF ALLOYED POLYCRYSTALLINE SILICON FILMS AND SELF-ORGANIZATION OF NANOCLUSTERS IN LPCVD PROCESS

*A.S. Strogova1, A.A. Kovalevskii1

1Belarusian State University of Informatics and Radioelectronics

Belarus, 220013, Minsk, St. Browki, 6, [email protected] The physical models, mathematical methods and algorithms for design of technolo-

gy of deposition of polycrystalline silicon films in LPCVD process, taking into account the self-organization and evolution of nanoclusters on a planar (flat) and non-planar (relief) surface of a substrate with a simultaneous alloying are developed. It confirms the predominating role of physical and chemical interaction of components. The prevailing mechanism of nanoclusters growth in polycrystalline silicon films during the heat treat-ment is the diffusion process of the climbing of dislocations due to vacancies which concentration depends on the germanium doping levels. The physical model and the numerical scheme of the solution of the one-dimensional equation of surface diffusion with constant sources is defined for calculation of a profile of the dopant impurity in the polycrystalline silicon film deposited onto a relief surface of a substrate in LPCVD pro-cess. It proves the importance of an initial surface on the processes of formation and self-organization of nanoclusters.

При осаждении пленки поликристаллического кремния на дефектную

(непланарную) поверхность, например, при осаждении на диэлектриче-ские слои, при заполнении рельефных канавок, обычно не наблюдается конформного воспроизведения рельефа нанокластерами на подложке, т.е. толщина пленки на стенках и дне рельефной канавки отличается от тол-щины пленки на планарной поверхности подложки. Это обусловлено тем, что имеет место отличие в скорости падения молекул газа на монокри-сталлическую и диэлектрическую поверхности от скорости их осаждения на планарную поверхность пластины вследствие эффекта «тени» от боко-вых стенок дефектов. По этой причине на боковой стенке дефекта созда-ется значительный градиент концентрации адсорбированных молекул газа. Моделированию процесса заполнения пор в диэлектрике в LPCVD-процессе осаждения поликристаллического кремния посвящена работа [1].

Page 63: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Полупроводниковые СВЧ приборы и устройства: производство, технологии и свойства

Мокеровские чтения. 8-я Международная научно-практическая конференция 63

Однако, несмотря на удовлетворительное согласие полученных ре-зультатов расчетов с экспериментальными данными, модельные пред-

ставления этой работы не содержат целый ряд важных физических со-ставляющих. Так, в этой модели не учитывается эффект поверхностной диффузии атомов кремния, обу-словленный градиентом их концен-трации между поверхностной обла-стью и областями стенки и дна пор и раковин. Кроме того, реализация статистического метода Монте-Карло требует больших временных

ресурсов при расчетах. В связи с этим возникает необходимость создания модели с большим физическим наполнением и требующей разумные вре-

мена расчетов для проведения се-рии вычислений при оптимизации рассматриваемого технологическо-го процесса. Типичные результаты расчетов представлены на рис. 1–2 [2–4].

Установлено, что поверхност-ная диффузия в этом процессе объ-ясняется градиентом концентрации осаждаемых атомов кремния вслед-ствие различия в скорости осажде-ния молекул моносилана на пла-нарную часть поверхности в зави-

симости от кристаллографической ориентации исходной подложки. Чис-ленное решение уравнения поверхностной диффузии в таком случае осу-ществляется методом конечных разностей. Литература 1. В.В. Нелаев, В.Р. Степницкий. Основы САПР в МЭ. Минск. БГУИР. 220 С. 2. А.А. Ковалевский, Н.В. Бабушкина, А.С. Строгова, Д.В. Микроэлектроника, 39(3), 210 (2010). 3. A.A. Kovalevsky, A.S. Strogova, and D.V. Plyakin. Russian Microelectronics, 39(2), 118 (2010). 4. А.A. Kovalevskii, А.S. Strogova, О.М. Komar, V.V. Tzybul'skii. American Jour. of Phys. Chem. and Chem. Phys. 1(1), 6 (2016).

Рис. 1. Заполнение нанокластерами по-верхности подложки в LPCVD-процессе:

исх. пар. t = 45 мин, T = 600 °С, Vp = 0.015 мкм/мин, h=150 нм, d=15 нм

Рис. 2. Заполнение нанокластерами по-верхности подложки в LPCVD-процессе:

исх. пар. t = 60 мин, T = 600 ºС, Vp = 0.015 мкм/мин, h = 150 нм, d = 15 нм. Результат – смыкание стенок канавки

Page 64: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Полупроводниковые СВЧ приборы и устройства: производство, технологии и свойства

64 Мокеровские чтения. 8-я Международная научно-практическая конференция

МНОГОКОМПОНЕНТНЫЕ ГЕТЕРОСТРУКТУРЫ A3B5 НА Si-ПОДЛОЖКАХ ДЛЯ ФОТОПРЕОБРАЗОВАТЕЛЕЙ

*Л.С. Лунин1,2, Д.А. Арустамян2, Е.Г. Мунтяну2

1Южный научный центр РАН

Россия, 344006 Ростов-на-Дону, ул. Чехова 41, e-mail: [email protected] 2Южно-Российский государственный политехнический

университет (НПИ) имени М.И. Платова Россия, 346428 Новочеркасск, ул. Просвещения 132, e-mail: [email protected]

MULTICOMPONENT A3B5 HETEROSTRUCTURES ON Si-SUBSTRATES

FOR PHOTOVOLTAIC CONVERTERS

*L.S. Lunin1,2, D.A. Arustamyan2, E.G. Muntianu2

1Southern Scientific Center Russian Academy of Sciences,

Russia, 3464006, Rostov-on-Don, Chekhova str. 41, e-mail: [email protected] 2Platov South-Russian State Polytechnic University (NPI)

Russia, 346428, Prosveshcheniya str., 132, Novocherkassk, e-mail: [email protected]

Thermodynamic analysis and experimental verification of the possibility of growing five and six-component solid solutions of A3B5 compounds on silicon substrates were carried out. The parameters and spinodal decay regions of five and six-component A3B5 heterostructures on silicon substrates are calculated. Proposed three cascade solar cells based on silicon wafers and five-component solid solutions.

Развитие солнечной энергетики сдерживается отсутствием дешевых и

достаточно эффективных преобразователей солнечной энергии в электри-ческую. Одним из путей решения этой задачи представляется создание гетероструктур на основе достаточно дешевого кремния и более эффек-тивных соединений AIIIBV. Имеется ряд работа, в которых относительно совершенные слои GaAs получены на Si-подложках методом молекуляр-но-лучевой или МОС-гидридной эпитаксии. Однако практически отсут-ствуют работы по кристаллизации совершенных эпитаксиальных слоев твердых растворов соединений AIIIBV на Si-подложках методом жидко-фазной эпитаксии.

Из элементов третьей и пятой групп периодической системы (Al, Ga, In, P, Sb, As) можно составить шесть пятикомпонентных твердых раство-ров (ПТР) с замещением в обеих решетках (I): InAlGaPAs, AlGaInAsSb, AlGaInPSb, AlGaSbPAs, GaInPAsSb, AlInPAsSb. Для шестикомпонентных твердых растворов имеется только один твердый раствор (типа 2), вклю-чающий все перечисленный элементы [1]. Для семи возможных гетеро-структур рассчитывались составы твердых растворов, решеточно согласо-ванных с подложкой при Т = 293 К, их ширины запрещенной зоны и обла-

Page 65: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Полупроводниковые СВЧ приборы и устройства: производство, технологии и свойства

Мокеровские чтения. 8-я Международная научно-практическая конференция 65

сти термодинамической неустойчивости. Необходимые эксперименталь-ные коэффициенты взяты из работы [2].

Анализ показал, что в случае ПТР и ШТР имеется множество составов, решёточно-согласованных с под-ложкой (δа ~ 0.1%, δα ~ 20%) и име-ющих требуемую ширину запре-щенной зоны. Вследствие этого су-ществует, такой состав твердого рас-твора, который помимо прочих условий имел бы требуемый КТР или коэффициент преломления. Среди возможных растворов с опре-деленной Eg выбирается тот, кото-рый технологически легче получить (температура роста, коэффициенты распределения в фазовом равновесии жидкая – твердая фазы, склонность к спинодальному распаду и т. д.).

Анализ данных, приведенных в таблице, показал, что на основе ПТР легко могут быть созданы трехкас-

кадные элементы на базе кремниевых пластин. На основе кремниевой пластины формируется фотопреобразующая структура на средний диапа-зон длин волн солнечного излучения [3]. Одна из поверхностей может использоваться для наращивания непосредственно или через переходной слой фоточувствительной структуры на коротковолновый диапазон спек-тра, а противоположная поверхность – для наращивания структуры на длинноволновую часть спектра. Подобные структуры нуждаются в опти-мизации, а технология их изготовления – в отработке.

Работа выполнена при финансовой поддержке Российского фонда фундаментальных исследований (грант 17-08-01206 А), а также в рам-ках госзадания 16.4757.2017/БЧ. Литература 1. В.Н. Лозовский, Л.С. Лунин, Т.А. Аскарян. Изв. вузов. Физика. 1. С. 59–64 (1989). 2. Д.Л. Алфимова, Л.С. Лунин, М.Л. Лунина, А.С. Пащенко, С.Н. Чеботарев. Кристаллогра-фия. Т. 62. 1. С. 137-142 (2017). 3. Л.С. Лунин, Б.М. Середин, Л.М. Середин. Поверхность. Рентгеновские, синхротронные и нейтронные исследования. 12. С. 91-99 (2015).

Рис. 1. Схематическое изображение трех-каскадного фотоэлемента: I – первый каскад с Eg=1.6÷1.86 эВ, x=0.0÷0.3,

y=0.2÷0.36, z=0.2÷0.5; II – второй каскад с Eg=1.12 эВ; III – третий каскад с

Eg=0.94÷1.2 эВ, x=0.1÷0.25, y=0.1÷0.5, z=0.0÷0.5

Page 66: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Полупроводниковые СВЧ приборы и устройства: производство, технологии и свойства

66 Мокеровские чтения. 8-я Международная научно-практическая конференция

ЗАКОНОМЕРНОСТИ ВРЕМЕННОЙ УСТОЙЧИВОСТИ И ПРОСТРАНСТВЕННОЙ НАПРАВЛЕННОСТИ ИОННО-ЛУЧЕВОГО РАСПЫЛЕНИЯ InAs И GaAs, ИСПОЛЬЗУЕМОГО ДЛЯ ПОЛУЧЕНИЯ

ОПТОЭЛЕКТРОННЫХ НАНОСТРУКТУР

*С.Н. Чеботаре1,2, Г.А. Еримеев1, А.А.А. Мохамед1, Л.М. Гончарова1

1Южно-Российский государственный политехнический университет (НПИ) им. М.И. Платова

346428, г. Новочеркасск, ул. Просвещения, дом 132 2 Южный научный центр РАН, 344006, г. Ростов-на-Дону, улица Чехова, дом 41,

e-mail: [email protected]

TIME-STABILITY AND SPATIAL DISTRIBUTION DURING ION-BEAM SPUTTERING OF InAs AND GaAs FOR PRODUCING

OF OPTOELECTRONIC NANOSTRUCTURES

S.N. Chebotarev 1,2, G.A. Erimeev 1, A.A.A. Mohamed 1, L.M. Goncharova 1

1Platov South-Russian State Polytechnic University (NPI) Russia, 346428, Novocherkassk, Prosvechsheniya str. 132 2Southern Scientific Centre, Russian Academy of Sciences

Russia, 344006, Rostov-on-Don, Chekhova str. 41, e-mail: [email protected]

The time-stability and spatial distribution during ion-beam sputtering of InAs and GaAs were investigated. Using Auger spectroscopy it was shown that the sputtering of two-component substrate has exponential time-decay.

Наноструктуры InAs-QD/GaAs с пространственным ограничением транспорта носителей заряда представляют интерес ввиду значительного потенциала их применения в оптоэлектронных устройствах: светодиодах, лазерах и фотоэлектрических преобразователях [1]. Для выращивания таких наногетероструктур применяется молекулярно-лучевая эпитаксия, газофазное осаждение и альтернативный метод ионно-лучевого распыле-ния [2-4].

Управление ростовым процессом предполагает возможность контроля ростового потока и временную стабильность осаждения, что невозможно без определения дифференциальных угловых коэффициентов. Пример проведенного измерения таких коэффициентов для арсенида индия при угле падения 60° показан на рис. 1. Установлено, что пространственное распределение ростового потока достаточно узкое и локализовано вблизи направления падения ионного пучка. Увеличение энергии ионов приводит к росту дифференциального коэффициента распыления для всех углов падения пучка. Угловая зависимость ближе к зеркальному закону, что отличает эти материалы от однокомпонентных – кремния и германия.

Page 67: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Полупроводниковые СВЧ приборы и устройства: производство, технологии и свойства

Мокеровские чтения. 8-я Международная научно-практическая конференция 67

Впрочем, зеркальный закон также не полностью выполняется. Исследова-ние временной стабильности показало, что в процессе травления форми-руется аморфизированная поверхность, переходящая в устойчивую вол-нообразную структуру, упорядоченную перпендикулярно направлению падения ионного пучка, как это показано на рис. 2.

Исследования изменения соста-ва приповерхностной области двух-компонентных мишеней проводили в режиме in-situ методом Оже-спектроскопии. Оценку мольных долей рассчитывали по пикам Оже-спектроскопии, имеющим макси-мальную интенсивность [5]. Оже-спектроскопические исследования бомбардировки поверхности GaAs и InAs аргоновым пучком энергией 500 эВ и током 200 нА, показали, что распыление двухкомпонентных мишеней GaAs и InAs имеет экспо-ненциально затухающую во време-ни стехиометрию состава.

Таким образом, установлено, что для получения равномерного по составу массопотока GaAs, необхо-димо проводить предварительное распыление в течение 3 минут, для InAs в течение 5 минут при экрани-рованных подложках.

Рис.1. Дифференциальные угловые коэффициенты распыления InAs

при =60°

Рис. 2. Поверхность InAs после травления ионным пучком в течение 1 мин

Работа выполнена при финансовой поддержке Совета по грантам Пре-зидента РФ (грант МК-5115.2016.8) и Российского фонда фундаменталь-ных исследований (грант 15-08-08263-а). Литература 1. I.S. Vasil’evskii et al., Semiconductors. 50, 559 (2016). 2. S.N. Chebotarev et al., Beilstein Journal of Nanotechnology. 8, 12 (2017). 3. S.N. Chebotarev et al., Journal of Nanotechnology. 5340218 (2016). 4. S.N. Chebotarev et al., Tech. Phys. Lett. 41, 661 (2015). 5. S. Ichimura et al., Surface Science. 115, 259 (1982).

Page 68: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Полупроводниковые СВЧ приборы и устройства: производство, технологии и свойства

68 Мокеровские чтения. 8-я Международная научно-практическая конференция

ОСОБЕННОСТИ ЛЕГИРОВАНИЯ КРЕМНИЯ МЕТОДОМ ТЕРМОМИГРАЦИИ

*Б.М. Середин, А.Н. Заиченко, М.Б. Середина

Южно-Российский государственный политехнический университет (НПИ) им. М.И. Платова

Россия, 346400, г. Новочеркасск, ул. Просвещения, дом 132, e-mail: [email protected]

THE FEATURES OF DOPING OF SILICON BY MEANS OF THERMOMIGRATION

*B.M. Seredin, A.N. Zaichenko, M.B. Seredina

1 Platov South-Russian State Polytechnic University (NPI) Russia, 123456 Novocherkassk, str. Prosveshcheniya 132, e-mail: [email protected]

Investigated thermodynamic and kinetic features of thermomigration of liquid

zones based on Al-Ga and Al-Sn melts in obtaining the silicon epitaxial layers and channels. Set the threshold temperature of the beginning of the movement zones of dif-ferent composition. It is shown that with increasing concentration of Ga or Sn in the liquid phase the temperature of the start of migration monotonically increases, and the rate of migration decreases. The use of ternary zones, significantly expands the range of the concentration of acceptor impurities, which simplifies the practical use of the meth-od of TM in technologies of creation of power electronics devices.

В технологии получения структур силовой кремниевой электроники

доминирует, как наиболее универсальный и отработанный, метод диффу-зионного легирования. При легировании этим методом распределение примеси крайне неоднородно: её концентрация довольно быстро убывает вглубь кремния. Легирование в процессе роста позволяет получать более совершенные слои и соответствующие им структуры [1, 2]. Метод тер-момиграции (далее ТМ) является ростовым методом легирования. Метод ТМ заключается в перемещении жидкой зоны в кристалле под действием градиента температуры [3]. В простейшем случае зона может быть плос-кой, линейной или точечной. В более сложных случаях она представляет собой комбинацию простейших, например, сетку линейных зон, ансамбль точечных и т. д. Вдоль траектории движения зоны образуется перекри-сталлизованная область, форма и свойства которой задаются условиями проведения ТМ. В частности, верхний предел легирования кремния алю-минием определяется соответствующей линией солидуса величиной ∼2⋅1019 см-3.

В докладе приводятся результаты системного анализа возможности расширения концентрационных пределов термомиграционного легирова-ния кремния акцепторной примесью при технологически приемлемых

Page 69: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Полупроводниковые СВЧ приборы и устройства: производство, технологии и свойства

Мокеровские чтения. 8-я Международная научно-практическая конференция 69

значениях скорости устойчивого движения жидкой зоны без изменения ее формы.

Показана возможность использования для локального легирования кремния трехкомпонентных зон, в которых, разбавляя основной легиру-ющий элемент двухкомпонентной жидкой фазы третьим компонентом, уровень легирования кремния увеличивается до предела растворимости добавки или снижается до уровня фоновых примесей. В качестве добавки в жидкую Al-Si зону предлагается использовать Ga или Sn, введение ко-торых расширяет диапазон концентрации электрически активной примеси в кремнии соответственно в области больших (до 4⋅1019 см-3) и меньших (до 2⋅1017 см-3) значений.

Изменение состава трехкомпонентной жидкой зоны монотонно влияет на скорость ТМ. Диапазон скоростей перекристаллизации кремния лежит в пределах от 50 до 1500 мкм в час при температуре из диапазона от 1100 до 1500 К. Этот важный для полупроводниковой технологии факт позволяет сделать вывод о том, что в исследуемых тройных системах не образуются химические соединения. Для системы Si-Al-Ga при темпера-турах ниже 1473 K с ростом концентрации Ga в зоне происходит умень-шение скорости за счет уменьшения растворимости Si в расплаве. При температурах выше 1473 K зоны с большим содержанием Ga движутся быстрее. Для системы Si-Al-Sn рост концентрации Sn в зоне вызывает уменьшение растворимости Si в расплаве, кривые на температурной зави-симости скорости для оловосодержащей системы не пересекаются в ис-следуемом интервале температур.

Использование метода ТМ вместо диффузионного позволяет созда-вать более эффективную технологию локального легирования полупро-водниковых кристаллов. Данное преимущество метода ТМ ярко выражено для достаточно протяженных областей легирования и теряется при глуби-нах легирования примерно менее 10 мкм. Литература 1. В.Н. Лозовский Особенности получения силовых кремниевых приборов методом тер-момиграции. /В.Н. Лозовский, Л.С. Лунин, Б.М. Середин // Электронная техника. Сер.2. Полупроводниковые приборы. 2015. 2-3 (236-237). С.105−115. 2. В.Н. Лозовский Сравнение диффузионных и эпитаксиальных методов получения радиаци-онно-стойких структур силовых полупроводниковых приборов / В.Н. Лозовский, В.П. Попов Б.М. Середин // Вопросы атомной науки и техники. Серия: Физика радиационного воздей-ствия на радиоэлектронную аппаратуру. 2015. 3. С.57–61. 3. В.Н. Лозовский Зонная перекристаллизация градиентом температуры полупроводниковых материалов / В.Н. Лозовский, Л.С. Лунин, В.П. Попов // М.: Металлургия, 1987. − 232 с.

Page 70: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Полупроводниковые СВЧ приборы и устройства: производство, технологии и свойства

70 Мокеровские чтения. 8-я Международная научно-практическая конференция

СОЕДИНЕНИЕ ДИЭЛЕКТРИЧЕСКИХ МАТЕРИАЛОВ ПОД ДЕЙСТВИЕМ ЭЛЕКТРИЧЕСКОГО ПОЛЯ

*Н.С. Смирницкий

Национальный исследовательский ядерный университет «МИФИ» Россия, 115409, г. Москва, Каширское шоссе, дом 31, e-mail: [email protected]

DIELECTRIC MATERIALS BONDING UNDER THE INFLUENCE

OF ELECTRIC FIELD

*N.S. Smirnitskiy

National Research Nuclear University MEPhI (Moscow Engineering Physics Institute) Russia, 115409 Moscow, Kashirskoe shosse 31, e-mail: [email protected]

This paper is devoted to the method of dielectric wafers bonding under the influ-

ence of strong electric field (>5 kW). The method based on a hypothesis of intermediate metal layer diffusion into dielectric samples. The intermediate layer is depositing on the surface of one of the samples. The experimental unit is a vacuum chamber which allows heating the samples, applying pressure and strong electric field on them. The main ad-vantages of the method are the highest reliability of the connection, low-temperature of the formation process and availability of group manufacturing.

В последние годы устойчиво сохраняется тенденция к минимизации

размеров микроэлектромеханических систем (МЕМС) и предъявляются все более высокие требования к надежности соединения диэлектрических материалов, используемых при компоновке и сборке МЕМС изделий. В настоящее время существуют различные способы соединения диэлектри-ческих материалов: механическое соединение, электростатическое соеди-нение, эвтектическое соединение, прямое сращивание, соединение стек-лосплавом, диффузионная сварка [1-3]. Однако, несмотря на большое ко-личество существующих способов, для них характерны следующие недо-статки: высокая температура процесса, большое давление на сращивае-мые образцы, образование значительных напряжений в структуре соеди-няемых материалов [4]. В некоторых случаях, подбором параметров про-цесса или использованием специальной оснастки, удается избежать влия-ния перечисленных факторов на конечный продукт. Однако существую-щие способы не является групповыми, значительно усложняют процесс изготовления устройств и увеличивают стоимость продукта.

Альтернативой может стать соединение диэлектриков под действием сильного электрического поля. Суть данного способа сводится к напыле-нию на поверхность одного из соединяемых диэлектрических материалов промежуточного металлического слоя и дальнейшему воздействию на образцы сильным (>5 кВ) переменным электрическим полем. Под дей-

Page 71: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Полупроводниковые СВЧ приборы и устройства: производство, технологии и свойства

Мокеровские чтения. 8-я Международная научно-практическая конференция 71

ствием поля происходит диффузия металлического слоя поочередно в оба соединяемых образца.

В ходе исследования было выявлено, что предложенный способ отли-чается простотой и высокой воспроизводимостью результатов. Также для способа характерна сравнительно низкая температура процесса и прикла-дываемое к образцам давление. Кроме того, способ является групповым.

Рис. 1. График зависимости напряжения на разрыв образцов от тепловой площади Надежность соединения данным способом обусловлена диффузией

промежуточного слоя внутрь диэлектрических образцов, что подтвержда-ется экспериментальной зависимостью, которая носит линейный характер и позволяет судить о перспективности данного метода.

Литература 1. Г.А. Николаев. Сварка в машиностроении. // М.: Книга по Требованию, 2012.Том 1, глава 9 «Специальные виды сварки: Сварка в микроэлектронике», 495 с. 2. S. Pargfrieder. New developments in wafer bonding for 3D integration. // Proceedings of 25th International VLSI Multilevel Interconnection Conference 2008, pp. 349−354. 3. Сборник трудов XIХ международная телекоммуникационная конференция студентов и молодых ученых «молодежь и наука». 2015. C. 181−182. 4. Л.Я. Березин. Некоторые особенности процесса сварки в электростатическом поле ди-электриков с металлами и между собой // Автоматическая сварка. 2001. 8. С. 45–48.

Page 72: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Гетероструктуры и свехрешетки, двумерные, одномерные и нульмерные структуры

72 Мокеровские чтения. 8-я Международная научно-практическая конференция

ЭЛЕКТРОННЫЕ ТРАНСПОРТНЫЕ И ОПТИЧЕСКИЕ СВОЙСТВА

ГЕТЕРОСТРУКТУР С ЛЕГИРОВАННЫМИ СЛОЯМИ КВАНТОВЫХ КОЛЕЦ GaAs/AlGaAs

*Ю.Д. Сибирмовский1, А.Н. Виниченко1, И.С. Еремин1, О.С. Коленцова1, Д.А. Сафонов1, Д.М. Жигунов2, Н.И. Каргин1, И.С. Васильевский1

1 Национальный исследовательский ядерный университет «МИФИ»,

Россия, 115409, г. Москва, Каширское шоссе, дом 31, e-mail: [email protected] 2 Московский государственный университет имени М.В. Ломоносова,

Россия, 119991, г. Москва, Ленинские горы, д. 1

ELECTRON TRANSPORT AND OPTICAL PROPERTIES OF HETEROSTRUCTURES WITH DOPED GaAs/AlGaAs QUANTUM RING ARRAYS

*Yu.D. Sibirmovsky1, A.N. Vinichenko1, I.S. Eremin1, O.S. Kolentsova1, D.A. Safonov1, D.M. Zhigunov2, N.I. Kargin1, I.S. Vasil’evskii1

1 National Research Nuclear University «MEPhI»,

Russia, 115409 Moscow, Kashirskoe shosse 31, e-mail: [email protected] 2 Lomonosov Moscow State University,

Russia, 119991 Moscow, Leninskie gory 1

In this work, we study the electron transport and optical properties of a hybrid sys-tem, consisting of Al0.3Ga0.7As/GaAs/ Al0.3Ga0.7As quantum well and an array of GaAs quantum rings grown either on top of it or near it separated by spacer. In the first case δ-Si doping was used, in the second case the QR layer was doped. Hall measurements, as well as low temperature magnetotransport measurements and photoluminescence spectra of the samples were compared to reference samples without QR.

Semiconductor quantum rings promise a wide range of applications, espe-

cially for optoelectronics, such as lasers, solar cells and photodetectors [1]. They could also be used as a low mobility layer in velocity-modulation transis-tors [2]. These cases make it necessary to study the lateral transport in the ar-rays of doped QRs. There are few investigations into the properties of the doped QRs. In such cases, the dopant (usually Si) is introduced directly into the QRs [1]. However, the fact that Ga and As are deposited separately during QRs growth [3] influences Si incorporation, and could even change the doping type from n to p [4]. Thus, it is prudent to investigate δ-Si doped QR layers. Howev-er, especially for velocity-modulation transistor applications, we also need to study a parallel conduction in a system of doped QRs and quantum well, sepa-rated by spacer layer.

We have grown samples with δ-Si doped QRs on top of the QW (339, 343, 344), as well as Si doped QRs separated by spacer from the QW (385, 386, 423). Different QR growth conditions (Fig. 1a) and QW thickness were used,

ГЕТЕРОСТРУКТУРЫ И СВЕРХРЕШЕТКИ, ДВУМЕРНЫЕ, ОДНОМЕРНЫЕ И НУЛЬМЕРНЫЕ СТРУКТУРЫ

Page 73: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Гетероструктуры и свехрешетки, двумерные, одномерные и нульмерные структуры

Мокеровские чтения. 8-я Международная научно-практическая конференция 73

which can explain PL line shift (Fig. 1b) as well as the fact that some samples are insulating (343, 344, 385 and 423). Temperature dependence of hall mobili-ty and concentration for conducting samples (339, 386) is shown at Fig. 2. Both parameters strongly depend on illumination and show anomalous behavior, such as electron concentration decrease and mobility increase with growing temperature for sample 386.

Fig. 1. (а) Growth conditions and AFM scans, (b) PL spectra of the samples

(321 is a reference sample without QRs)

Fig. 2. Concentration and mobility for 339 and 386 in darkness and under illumination

This work was supported by the Russian Foundation for Basic Research

(RFBR): grant 16-32-00897.

References 1. Wu, J. et al. Appl. Phys. Lett. 94, 171102 (2009). 2. Sakaki, H. Jpn. J. Appl. Phys. 21, L381–L383 (1982). 3. Lee, C.-D. et al. Appl. Phys. Lett. 73, 2615–2617 (1998). 4. Lamas T.E. et al. Thin Solid Films 474, 25–30 (2005).

Page 74: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Гетероструктуры и свехрешетки, двумерные, одномерные и нульмерные структуры

74 Мокеровские чтения. 8-я Международная научно-практическая конференция

ФОРМИРОВАНИЕ ОТРИЦАТЕЛЬНОГО ДИФФЕРЕНЦИАЛЬНОГО СОПРОТИВЛЕНИЯ МУЛЬТИБАРЬЕРНЫХ ГЕТЕРОСТРУКТУР

И ДИНАМИЧЕСКИЕ ОСОБЕННОСТИ ИХ ФУНКЦИОНИРОВАНИЯ В РЕЖИМЕ ИМПУЛЬСНОГО ПИТАНИЯ

*В.А. Гергель, Н.М. Горшкова, В.С. Минкин, В.В. Павловский, О.Г. Волков

Федеральное государственное бюджетное учреждение науки Институт радиотехники и электроники им. В.А. Котельникова РАН

Россия, 125009, г. Москва, ул. Моховая, корпус 7, e-mail: [email protected]

FORMING OF NEGATIVE DIFFERENTIAL RESISTANCE OF MULTIBARE HETEROSTRUCTURES AND DYNAMIC FEATURES OF THEIR FUNCTIONING

IN THE PULSE SUPPLY MODE

*V.A. Gergel, N.M. Gorshkova, V.S. Minkin, V.V. Pavlovskij, O.G. Volkov

Institution of Russian academy of sciences Kotelnikov Institute of radio engineering and Electronics of RAS

Russia, 125009, Moscow, Mohovaya st., 7, e-mail: [email protected]

The results of measurements of the quasistatic current-voltage characteristics of a multibarrier heterodiode GaAs/Al0.25Ga0.75As are obtained. The heterodiode is a cylin-drical mesostructure with an area of 3·10-6 cm2. The mesostructure is obtained by liquid etching of a heterostructure, which contains seven pairs of alternating layers of narrow-band doped and wide-gap barrier material 50 nm in thickness. The pairs were obtained by molecular beam epitaxy on substrates from heavily doped GaAs. A series of qua-sistatic current-voltage characteristics obtained by excitation of a heterodiode with rec-tangular current pulses of different duration 0.5·10-6÷5.0·10-6 s is presented. The calcu-lation procedure is described; the results of calculations of the method for calculating the current-voltage characteristics of multibarrier heterodiodes are given, taking into ac-count the transitivity of the formation of negative differential resistance.

В предшествующих работах [1, 2] в рамках упрощенной теоретиче-

ской модели было показано, что ожидаемая электрическая неустойчиво-сти мультибарьерных приборных структур, проявляющаяся как область отрицательного сопротивления (ОДС), локализована при плотности тока 105 А/см2 и напряжениях в несколько вольт. Было экспериментально установлено, что при высоких значениях плотности тока джоулев термо-разогрев решетки кристалла делает невозможным использование мульти-барьерных приборных структур с ОДС S-образных вольт-амперных ха-рактеристик (ВАХ) для генерации терагерцового излучения в непрерыв-ном режиме. Локальный джоулев разогрев кристаллической решетки мо-жет достигать нескольких тысяч градусов. Однако последнее не исключа-ет возможности практического использования мультибарьерных структур в режиме питания миросекундными токовыми импульсами с тысячекрат-

Page 75: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Гетероструктуры и свехрешетки, двумерные, одномерные и нульмерные структуры

Мокеровские чтения. 8-я Международная научно-практическая конференция 75

ной скважностью. В этом случае локальный терморазогрев решетки не будет превышать несколько десятков градусов, что не нарушит принципы функционирования прибора. Это подтверждают результаты измерений квазистатических ВАХ, возбуждаемых токовыми импульсами различной длительности и амплитуды. В работе показано, что наблюдаемый релак-сационный процесс и сама форма результирующей ВАХ существенным образом определяется транзитивным характером надбарьерной термоин-жекции. Термин транзитивность используется, чтобы подчеркнуть, что в рамках термоинжекционного механизма надбарьерный электронный ток определяется электрическим полем в отдельном i-м барьере, и, темпера-турой электронного потока перед этим барьером, которая является функ-цией напряжения на предыдущем i-1-барьере. Алгоритм расчета заключа-ется в последовательном вычислении парциальных напряжений на каж-дом барьере, начиная с первого, и результирующего суммирования этих напряжений вместе с общим омическим падением напряжения на всех сильнолегированных контактных и промежуточных слоях GaAs. Реализа-цию предложенного алгоритма вычислений начинаем с определения пар-циальной ВАХ первого барьера, граничащего непосредственно с контакт-ным слоем, где в силу его сравнительно большой протяженности (0.3÷0.5мкм) поток электронной температуры у границы с барьером имеет только конвективную составляющую. Достоинством предложенного ал-горитма расчета результирующих ВАХ мультибарьерных гетеродиодов, что он позволяет рассматривать структуры с различающимися друг от друга размерами функциональных слоев и высотой соответствующих энергетических барьеров.

Исследование выполнено при финансовой поддержке РФФИ в рамках научных проектов 15-07-05912 а и 15-07-06032 а.

Литература 1. В.А. Гергель, И.В. Алтухов, А.В. Верховцева, Г.Г. Галиев, Н.М. Горшкова, С.С. Жигаль-цов, А.П. Зеленый, Э.А. Ильичев, В.C. Минкин, С.К. Папроцкий, М.Н. Якупов. ФТП, 48(4), 481-486 (2014). 2. В.А. Гергель, И.В. Алтухов, А.В. Верховцева, Г.Б. Галиев, Н.М.Горшкова, А.П. Зеленый, Э.А. Ильичев, В.С. Минкин, С.К. Папроцкий. ЖТФ, 85 (7), 83-86 (2015).

Page 76: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Гетероструктуры и свехрешетки, двумерные, одномерные и нульмерные структуры

76 Мокеровские чтения. 8-я Международная научно-практическая конференция

ПРОВОДИМОСТЬ СВЕРХРЕШЕТОК GaAs/AlAs С ЭЛЕКТРИЧЕСКИМИ ДОМЕНАМИ

И.В. Алтухов1, С.Е. Дижур1, М.С. Каган1, *С.К. Папроцкий1, Н.Е. Хвальковский1, И.С. Васильевский2, А.Н. Виниченко2

1Институт радиотехники и электроники им. В.А. Котельникова РАН, Россия, 125009, г. Москва, Моховая ул., дом 11/7, e-mail: [email protected]

2Национальный исследовательский ядерный университет «МИФИ», Россия, 115409, г. Москва, Каширское ш., 31

CONDUCTIVITY OF SUPERLATTICES GaAs/AlAs WITH ELECTRICAL DOMAINS

I.V. Altukhov1, S.E. Dizhur1, M.S. Kagan1, N.A. Khvalkovskiy1, *S.K. Paprotskiy1, I.S. Vasil'evskii2, A.N. Vinichenko2

1V.A. Kotel’nikov Institute of Radio Engineering and Electronics, Russian Ac. Sci., Russia, 125009, Moscow, Mokhovaya, 11/7, e-mail: [email protected]

2National research nuclear university MEPhI, Russia, 115409, Moscow, Kashirskoe shosse, 31

Conductivity of short-period GaAs/AlAs superlattices at the electrical do-main formation was studied at room and nitrogen temperatures. The evolution of tunneling current at sweep-up and sweep-down of the bias was investigated. The step-like decrease in current at some threshold voltage was referred to moving domain formation. The current hysteresis and periodic maximums was observed in the electric domain regime. The hysteresis was explained by the changes in electrical domain regimes, as well as with boundary conditions. The origin of the current maximums is discussed.

Изучение проводимости полупроводниковых сверхрешеток (СР) вы-

зывает большой интерес исследователей, в основном, в связи с предска-занным усилением блоховских электронных волн, что делает возможным создание перестраиваемых источников ТГц излучения [1]. Основным пре-пятствием в разработке таких источников является образование электри-ческих доменов, возникающих из-за отрицательной дифференциальной проводимости СР, которое уничтожает усиление [2]. С другой стороны, по аналогии с объемными материалами типа диодов Ганна, действитель-ная часть импеданса образцов с доменами может стать отрицательной в некотором диапазоне частот [3-6] и может возбуждать высокочастотную генерацию в соответствующем резонаторе.

В настоящей работе исследована эволюция тока в СР GaAs/AlAs с электрическими доменами. СР, выращенные с помощью молекулярно-лучевой эпитаксии, содержали 100 периодов GaAs(4 нм)/AlAs(2 нм) меж-ду сильно легированными (~1019 cm-3) покрывающим слоем и подложкой. Квантовые ямы GaAs были легированы Si в концентрации ~2·1017 cm-3.

Page 77: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Гетероструктуры и свехрешетки, двумерные, одномерные и нульмерные структуры

Мокеровские чтения. 8-я Международная научно-практическая конференция 77

Металлические контакты к вытравленным меза-структурам диаметром 10÷15 мкм имели форму кольца, образуя распределенный резонатор на длины волн в свободном пространстве от 110 до 160 мкм. Измерения про-водились при комнатной и азотной температурах.

Вольтамперные характеристики (ВАХ), определенные из зависимостей напряжения и тока от времени, показаны на рис. 1 для разных пиковых напряжений. Резкое уменьшение тока при некотором пороговом напряже-нии связано с образованием движущихся доменов. При напряжениях вы-

ше порогового наблюдаются гистерезис при прямой и об-ратной развертке напряжения и периодические максимумы в токе. Гистерезис связан с пе-рестройкой доменных режи-мов. В частности, при макси-мальном напряжении, когда ток достигает пикового значе-ния на ВАХ, наблюдается насыщение тока, вызванное образованием статического домена. Периодические мак-симумы по-видимому связаны с переходами между уровнями Ванье-Штарка, усиленными из-за наличия резонатора.

Работа выполнена при поддержке РФФИ (гранты 14-02-01062, 16-29-09626, 16-29-03135) и программ РАН «Наноструктуры: физика, хи-мия, биология, основы технологий» и «Новые источники миллиметрового и терагерцового излучения и их перспективные приложения».

Литература 1. A. Wacker, Phys. Rep. 357, 1 (2002). 2. F. Klappenberger, K.N. Alekseev, K.F. Renk, R. Scheuerer, E. Schomburg, S.J. Allen, G.R. Ramian, J.S.S. Scott, A. Kovsh, V. Ustinov and A. Zhukov, Eur. Phys. J. B 39, 483 (2004). 3. H. W. Thim, IEEE Trans. Electron. Dev. 14, 517 (1967). 4. B. W. Hakki, J. Appl. Phys. 38, 808 (1967). 5. N.G.Zhdanova, M.S.Kagan, S.G.Kalashnikov, Sov. Phys. Semicond., 8(9), 1121;1126, (1974). 6. I.V. Altukhov et. al., Sov. Phys. Semicond. 13, 1148 (1979).

Рис. 1. Вольтамперные характеристики СР

GaAs/AlAs при прямой и обратной развертке напряжения. T=300 K. Кривые смещены по верти-

кали для наглядности

0 2 4 6 8 10 12

11.7 V

7.6 V

5.8 V

I, m

A

U, V

3.9 V

6

4

2

0

0

0

0

Page 78: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Гетероструктуры и свехрешетки, двумерные, одномерные и нульмерные структуры

78 Мокеровские чтения. 8-я Международная научно-практическая конференция

ТЕОРЕТИЧЕСКОЕ ОБОСНОВАНИЕ И ПРАКТИЧЕСКОЕ ИСПОЛЬЗОВАНИЕ КОНЦЕПЦИИ ЗОННОГО ИНЖИНИРИНГА ПРИ ПРОЕКТИРОВАНИИ УСТРОЙСТВ ГЕНЕРАЦИИ ЭЛЕКТРОМАГНИТНОГО ИЗЛУЧЕНИЯ НА ОСНОВЕ МУЛЬТИБАРЬЕРНЫХ ГЕТЕРОСТРУКТУР GaAs/AlGaAs

В.А. Гергель, *Н.М. Горшкова, В.С. Минкин

Федеральное государственное бюджетное учреждение науки Институт радиотехники и электроники им. В.А. Котельникова РАН

Россия, 125009, г. Москва, ул. Моховая, корпус 7, e-mail: [email protected]

THEORETICAL SUBSTANTIATION AND PRACTICAL USE OF THE CONCEPT OF BAND ENGINEERING IN DESIGNING DEVICES FOR GENERATION

OF ELECTROMAGNETIC RADIATION ON THE BASIS OF MULTI-BARRIER HETEROSTRUCTURES OF GaAs/AlGaAs

V.A. Gergel, N.M. *Gorshkova, V.S. Minkin

Institution of Russian academy of sciences Kotelnikov Institute of radio engineering and Electronics of RAS

Russia, 125009, Moscow, Mohovaya st., 7, e-mail: [email protected]

A method has been developed for constructing a band structure in multibar-rier tunnel-opaque heterostructures, for which the theory of quasi-hydrodynamic electron drift is applicable. This algorithm made it possible to identify the conditions for the appearance of a sharp peak in the I-V characteris-tic of a single cell, depending on the properties of the previous I-V characteris-tics. This method successfully allows you to get rid of the excess power allo-cated to individual links of the structure by redistributing these powers to the remaining elementary cells.

Целью представленной работы является расчет характеристик гетеро-

структур, способных генерировать субтерагерцовые электромагнитные колебания, с последующим их проектированием и внедрением в микро-электронные устройства.

В рамках квазигидродинамической модели электронного дрейфа в мультибарьерных гетероструктурах с разновысокими барьерами рассчи-тываются пространственные распределения электронной температуры и электрического потенциала как функции плотности электронного потока [1, 2]. Мультибарьерная гетероструктура рассматривается как последова-тельная совокупность элементарных ячеек, состоящих из узкозонного (легированного) и прилегающего к нему широкозонного (нелегированно-го) слоя. Причем в различных ячейках структуры значения соответству-ющих физических параметров могут существенно отличаться. Используя методологию зонного инжиниринга, последовательно рассчитываются

Page 79: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Гетероструктуры и свехрешетки, двумерные, одномерные и нульмерные структуры

Мокеровские чтения. 8-я Международная научно-практическая конференция 79

распределения потенциала на каждом из барьеров, начиная с первого из них, контактирующего с легированным подслоем GaAs. Выполненные расчеты позволяют спроектировать соответствующие тестовые мультиба-рьерные структуры так, чтобы на каждом из барьеров падающее напряже-ние не превышало величины порядка одного вольта. Превышение этой величины на одном барьере критически сказывается на возможности воз-никновения области отрицательного дифференциального сопротивления, необходимой для возбуждения электромагнитных колебаний в области субтерагерцовых частот в соответствующих резонансных микроэлектрон-ных устройствах.

В будущем данный алгоритм будет использован при построении и анализе двумерной модели квазигидродинамического дрейфа электронов в данных структурах. Данный подход позволяет путем тонкого подбора получать расчетные ВАХ с необходимыми для конкретной цели свой-ствами.

Исследование выполнено при финансовой поддержке РФФИ в рамках научных проектов 15-07-05912 а и 15-07-06032 а.

Литература 1. В.А. Гергель, А.П. Зеленый, М.Н. Якупов, ФТП, 48(3), 325-330 (2007). 2. В.А. Гергель, А.П. Зеленый, М.Н. Якупов, Радиотехника и электроника 52(7), 862-868 (2007).

Page 80: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Гетероструктуры и свехрешетки, двумерные, одномерные и нульмерные структуры

80 Мокеровские чтения. 8-я Международная научно-практическая конференция

РАСПРЕДЕЛЕНИЕ ЭЛЕКТРОНОВ В КВАЗИОДНОМЕРНЫХ НАНОСТРУКТУРАХ НА ОСНОВЕ ДЕЛЬТА-ЛЕГИРОВАННЫХ КВАНТОВЫХ ЯМ InGaAs/InAlAs

*А.Н. Клочков

Институт сверхвысокочастотной полупроводниковой электроники РАН,

Россия, 117105, г. Москва, Нагорный проезд, д. 7, стр. 5, e-mail: [email protected]

ELECTRON SPATIAL DISTRIBUTION IN QUASI-ONE-DIMENSIONAL NANOSTRUCTURES BASED ON DELTA-DOPED QUANTUM WELLS InGaAs/InAlAs

*A.N. Klochkov

Institute of Ultra High Frequency Semiconductor Electronics of RAS Russia, 117105, Moscow, Nagornyi proezd 7, 5, e-mail: [email protected]

The electron spatial distribution in quasi-one-dimensional nanostructures

based on delta-doped quantum wells InGaAs/InAlAs is investigated theoretical-ly. The electron density dependence on nanostrip width and height were deter-mined. The electron depletion on external negative voltage applied to tri-gate is investigated.

Полевые транзисторы с трехмерной архитектурой затвора и канала на

основе InGaAs/InAlAs широко исследуются и разрабатываются в послед-ние годы [1-3]. В отличие от планарной геометрии, затвор в таких транзи-сторах с нескольких сторон окружает проводящий канал. Это приводит к повышению управляемости проводимости канала затворным напряжени-ем и предотвращению коротко-канальных эффектов. Канал, представля-ющий собой совокупность параллельных полос из InGaAs высотой и ши-риной порядка нескольких десятков нанометров, получают методами су-хого или жидкостного травления. Известно, что на поверхности полупро-водников InGaAs и InAlAs наблюдается высокая плотность поверхност-ных состояний, которые захватывают свободные электроны из припо-верхностной области. Поэтому вертикальные стенки полос снижают плотность электронов в нанополосах InGaAs по сравнению с плотностью электронов в гетероструктуре до травления. Концентрация и распределе-ние электронов в полосе зависят от её высоты и ширины, которые связаны с толщиной слоев гетероструктуры.

В данной работе методами численного моделирования исследовано распределение электронов в квазиодномерных структурах InGaAs/InAlAs в зависимости от высоты и ширины, а также при приложении к структу-рам отрицательного затворного напряжения. Моделирование проводилось в программе Nextnano на основе самосогласованного решения системы уравнений Шредингера и Пуассона. Рассчитывались энергии и волновые

Page 81: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Гетероструктуры и свехрешетки, двумерные, одномерные и нульмерные структуры

Мокеровские чтения. 8-я Международная научно-практическая конференция 81

функций квазиодномерных электронных подзон в наноструктурах, кон-центрации и распределения электронной плотности для заполненных под-зон.

В качестве модельной гетероструктуры была выбрана дельта-легированная (плотность примесей 5·1012 см-2) квантовая яма In0.53Ga0.47As/In0.52Al0.48As толщиной 12 нм. Благодаря модулированному легированию нанополоса, полученная из такой гетероструктуры, содер-жит электронный газ. Электроны пространственно локализованы в двух направлениях: в вертикальном (потенциалом гетеропереходов In-GaAs/InAlAs) и в горизонтальном (стенками полосы). Электроны делока-лизованы вдоль оси полосы. Из-за поверхностных состояний на верти-кальных стенках плотность электронов монотонно снижается с уменьше-нием ширины полос. При ширине полос менее 15 нм квантово-размерные эффекты в латеральном направлении начинает влиять на концентрацию и распределение электронов в нанополосах.

Проведены расчеты распределе-ния электронов в нанополосах при приложении отрицательного напря-жения к металлическому затвору, окружающему наноструктуры с трех сторон. Пороговое напряжение, при котором концентрация электронов в квантовой яме близка к нулю, для случая наноструктур существенно меньше, чем для планарных гетеро-структур InGaAs/InAlAs. Пороговое напряжение является функцией ши-рины нанополосы. Для узких нано-полос (менее 15 нм) при рассмотре-нии эффектов затворного напряже-ния нужно учитывать не только из-

менение плотности электронов, но и изменение пространственного рас-пределения электронов в нанополосе в латеральном направлении.

Работа выполнена при поддержке Совета по грантам Президента РФ (проект МК-2342.2017.2). Литература 1. J.A. Del Alamo et al. J. of the Electron Devise Society 4, 205 (2016). 2. R. Oxland et al. IEEE El. Dev. Lett. 37, 261 (2016). 3. V. Djara et al. Solid State Electronics 115 (B), 103 (2016).

Рис. 1. Распределение электронов в нано-полосе шириной 15 нм

Page 82: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Гетероструктуры и свехрешетки, двумерные, одномерные и нульмерные структуры

82 Мокеровские чтения. 8-я Международная научно-практическая конференция

ИНТЕРКАЛИРОВАННЫЙ СИЛИЦЕН: НОВЫЕ ПОЛИМОРФЫ SrSi2 И EuSi2

*Д.В. Аверьянов1, И.А. Каратеев1, А.М. Токмачёв1, О.Е. Парфёнов1, А.Н. Талденков1, О.А. Кондратьев1, А.Ф. Королева1, В.Г. Сторчак1

1НИЦ «Курчатовский институт»

Россия, 123182, г. Москва, пл. Академика Курчатова, дом 1, e-mail: [email protected]

INTERCALATED SILICENE: NEW POLYMORPHS OF SrSi2 AND EuSi2

*D.V. Averyanov1, I.A. Karateev1, A.M. Tokmachev1, O.E. Parfenov1, A.N. Taldenkov1, O.A. Kondratev1, A.F. Koroleva1, V.G. Storchak1

1National Research Centre «Kurchatov Institute»

Russia, 123182, Moscow, Kurchatov Sq. 1, e-mail: [email protected]

Silicene, 2D honeycomb lattice of silicon atoms similar to graphene, is considered to be promising material for future nanoelectronic and spintronic devices. Theoretical studies predict charge carriers in silicene to behave as massless Dirac fermions; the ma-terial is expected to exhibit a quantum anomalous Hall effect, spin Hall effect, a giant magnetoresistance etc.

However, unlike graphene, free-standing silicene is difficult to stabilize. The per-spective way to overcome the problem is to use special polymorphs of silicides, contain-ing intercalated silicene as a structural element. Topochemical deintercalation of such silicides into 2D silicene-based van der Waals materials could allow mechanical exfolia-tion of single silicene layers.

Here we present novel silicene intercalation polymorphs of SrSi2 and EuSi2, MBE-grown on Si(001) and Si(111). Crystalline quality of the films is characterized with RHEED, XRD and analytical electron microscopy. This new polymorph of EuSi2 is the first magnetic silicene-based compound as confirmed by magnetization and transport measurements.

В последние годы двумерные материалы привлекают к себе все боль-

шее внимание исследователей как системы, обладающие широким спек-тром уникальных свойств. Силицен – подобное графену двумерное со-единение атомов кремния – несомненно, принадлежит к числу таких мно-гообещающих материалов. Согласно теоретическим предсказаниям носи-тели заряда в силицене должны являться безмассовыми дираковскими фермионами, материал должен проявлять квантовый аномальный эффект Холла, квантовый спиновый эффект Холла, гигантское магнетосопротив-ление и т.д. Кроме того, в контакте с ферромагнитными металлами сили-цен представляется перспективным материалом для спинтроники.

Однако, в отличие от графена, свободный силицен сложно стабилизи-ровать. Хотя слои силицена и были получены на множестве металличе-

Page 83: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Гетероструктуры и свехрешетки, двумерные, одномерные и нульмерные структуры

Мокеровские чтения. 8-я Международная научно-практическая конференция 83

ских пластин [1], их сильная гибридизация с подложками приводила к значительному изменению электронной структуры и деградации свойств.

Иной перспективный вариант создания силицена заключается в ис-пользовании в качестве основы материалов, содержащих его интеркали-рованные слои в виде элементов структуры, последующем создании из них путем топохимической деинтеркаляции Ван-дер-Ваальсовских мате-риалов и их механическом расслоении [2].

В данной работе приводятся результаты исследования впервые синте-зированных силицидов SrSi2 и EuSi2 обладающих такой структурой. Их синтез производился методом молекулярно-лучевой эпитаксии. Пленки SrSi2 формировались путем осаждения атомов Sr на нагретую подложку Si(111) или Si(001), пленки EuSi2 – атомов Eu на предварительно сформи-рованный буферный слой SrSi2, необходимый для стабилизации нужной фазы EuSi2.

Совместный анализ картин дифракции быстрых электронов, реги-стрируемых на протяжении всего процесса роста, и результатов рентге-новской дифрактометрии говорит о формировании монокристаллических пленок при использовании подложек Si(111) и поликристаллических пле-нок, состоящих из кристаллитов двух типов, ориентационно фиксирован-ных подложкой и латерально развернутых друг относительно друга на угол 90º, в случае Si(001); какие-либо посторонние фазы не обнаружива-ются. Вместе с тем, устойчивый рост EuSi2 наблюдается только до толщин < 7 нм, после чего происходит срыв стабилизированной изоморфной фазы с последующим формированием тетрагонального EuSi2.

Отсутствие посторонних фаз в объеме пленок, резкость границ разде-ла гетероструктуры EuSi2/SrSi2/Si, а также наличие в сформированных соединениях силиценовых слоев подтверждаются изображениями просве-чивающей электронной микроскопии высокого разрешения.

Полученные данные СКВИД-магнитометрии свидетельствуют о наличии в пленке EuSi2 магнитных упорядочений при T < 82 К, что также доказывается транспортными измерениями по появлению особенности в точке магнитного перехода на кривой температурной зависимости сопро-тивления и возникновению необычного поведения магнетосопротивления у образцов EuSi2/SrSi2/Si(001). Литература 1. P. Vogt et al., Phys. Rev. Lett. 108, 155501 (2012). 2. H. Nakano et al., Angew. Chem., Int. Ed. 45, 6303 (2006).

Page 84: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Гетероструктуры и свехрешетки, двумерные, одномерные и нульмерные структуры

84 Мокеровские чтения. 8-я Международная научно-практическая конференция

ПЕРЕХОД МЕТАЛЛ–ИЗОЛЯТОР В ТОНКОПЛЕНОЧНЫХ СТРУКТУРАХ НА ОСНОВЕ EuO

*А.Ф. Королева1,2, Д.В. Аверьянов1, О.Е. Парфёнов1, И.А. Каратеев1, А.Н. Талденков1, О.А. Кондратьев1, А.М. Токмачёв1, В.Г. Сторчак1

1НИЦ «Курчатовский институт» Россия, 123182, г. Москва, пл. Академика Курчатова, дом 1, e-mail: [email protected]

2Московский технологический университет «МИРЭА» Россия, 119454, г. Москва, Проспект Вернадского, дом 78

METAL-INSULATOR TRANSITION IN THIN FILM STRUCTURES BASED ON EuO

*A.F. Koroleva1,2, D.V. Averyanov1, O.E. Parfenov1, I.A. Karateev1, A.N. Taldenkov1, O.A. Kondratev1, А.M. Tokmachev1, V.G. Storchak1

1National Research Centre «Kurchatov Institute» Russia, 123182 Moscow, Kurchatov Sq. 1, e-mail: [email protected]

2Moscow Technological University MIREA Russia, 119454 Moscow, Vernadsky Avenue 78

Magnetic semiconductor EuO is one of the most promising materials for the

fabrication of spintronic devices due to its outstanding magnetic and transport properties including metal-insulator transition (MIT) accompanied by a 13 or-ders of magnitude change in resistivity [1]. The scale of MIT substantially de-pends on doping level [2].

We managed to produce EuO films with wide range of Gd concentrations particularly focusing on small doping concentrations. A series of 50 nm thick EuGdxO films have been grown in molecular-beam epitaxy (MBE) machine on YSZ (100) substrates under ultra-high vacuum in adsorption-controlled condi-tions at a temperature of 370 °C. The Gd flux was varied to achieve different doping level x.

Due to precise monitoring of doping level we found that films with a dis-tinction of a couple of hundredths are qualitatively different. Record MIT is observed in epitaxial films of EuO with little concentrations of Gd.

Ферромагнитный полупроводник EuO является одним из наиболее

перспективных материалов для изготовления спинтронных устройств бла-годаря своим уникальным магнитным и транспортным свойствам, вклю-чая переход металл-изолятор (ПМИ), который сопровождается изменени-ем сопротивления на 13 порядков [1]. Характер ПМИ существенно зави-сит от концентрации легирующих примесей. Известны многие работы по изучению транспортных свойств оксида европия, однако область малых концентраций Gd изучена плохо [2].

Page 85: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Гетероструктуры и свехрешетки, двумерные, одномерные и нульмерные структуры

Мокеровские чтения. 8-я Международная научно-практическая конференция 85

Нам удалось создать пленки с большим диапазоном изменения содер-жания легирующей примеси с упором на малые концентрации. Выращена серия образцов EuGdxO толщиной 50 нм в установке молекулярно-лучевой эпитаксии (МЛЭ) на подложках YSZ (100) в условиях сверхвысо-кого вакуума. Рост пленок происходит в режиме дистилляции по европию при температуре 370 °С. Поток Gd изменяется для достижения требуемо-го уровня легирования (x).

В качестве инструмента контроля in situ используется дифракция быстрых электронов (ДБЭ). В процессе роста наблюдаются осцилляции интенсивности, что указывает на послойный рост.

Исследование полученных образцов с использованием рентгеновской дифрактометрии и аналитической электронной микроскопии показывает высокое кристаллическое качество пленок. Никаких следов нежелатель-ных фаз не обнаружено.

Исследована температурная зависимость транспортных и магнитных свойств.

Благодаря прецизионному контролю уровня легирования мы обнару-жили, что пленки с разницей легирования в несколько сотых процента качественно отличаются.

Транспортные измерения показывают, что температурная зависимость сопротивления сильно изменяется с увеличением уровня легирования. В эпитаксиальных пленках EuO наблюдается рекордный для тонких пленок ПМИ из-за малых концентраций вакансий. Литература 1. P. Sinjukow and W. Nolting, Phys. Rev. B 68, 125107 (2003). 2. T. Chen et al., Nature Materials 15, 299-303 (2016).

Page 86: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Гетероструктуры и свехрешетки, двумерные, одномерные и нульмерные структуры

86 Мокеровские чтения. 8-я Международная научно-практическая конференция

ФОТОТОК В ПЛЕНКАХ ТИТАНАТА СТРОНЦИЯ НА КРЕМНИИ

*П.А. Холов1, Н.Д. Монархович1, Н.В. Гапоненко1,3, Х. Сохраби Анараки1, М.В. Руденко1, Д.А. Голосов1, В.А. Иванов2, Н.И. Каргин3

1Белорусский государственный университет информатики и радиоэлектроники Беларусь, 220013, г. Минск, П. Бровки, 6, [email protected]

2Научно-практический центр НАН Беларуси по материаловедению, Минск, Беларусь, 220072, г. Минск, П. Бровки 19

3 Национальный исследовательский ядерный университет «МИФИ» Россия, 115409, г. Москва, Каширское ш., 31

PHOTOCURRENT IN STRONTIUM TITANATE FILMS ON SILICON

*P.A. Kholov.1, N.D. Monarkhovich1, *N.V. Gaponenko1,3, H. Sokhrabi Anaraki1, M.V. Rudenko1, D.A. Golosov1, V.A. Ivanov2, N.I. Kargin3

1Belarusian State University of Informatics and Radioelectronics Belarus, 220013, Minsk, St. Browki, 6, [email protected]

2 Scientific and Practical Materials Research Centre of NAS of Belarus Belarus, 220072, Minsk, St. Browki, 19

3National Research Nuclear University MEPhI Russia, 115409, Moscow, Kashirskoye sh., 31

In this work we report on current–voltage characteristics of the structure

silicon/strontium titanate/nickel. SrTiO3 films were fabricated using either sol-gel method or rf marnetron sputtering. The fabricated structures demonstrate photocurrent under illumination with visible light source.

Пленочные структуры на основе титаната стронция разрабатываются

для применения в качестве конденсаторов, мемристоров и фоточувстви-тельных элементов. В данной работе мы исследовали фототок в пленках титаната стронция, сформированных двумя различными методами - ВЧ магнетронным распылением и золь-гель методом.

В качестве исходных компонентов для твердофазного синтеза мишени из титаната стронция для магнетронного распыления были использованы промышленные порошки карбоната стронция и оксида титана квалифика-ции «Особо чистый». Для золь-гель метода использовался разработанный нами ранее коллоидный раствор, содержащий тетраизопропоксид титана, ацетат стронция, уксусную кислоту и монометиловый эфир этиленглико-ля, золи наносились центрифугированием [1, 2]. В качестве подложек ис-пользовался кремний p-типа. Пленки титаната стронция толщиной 250÷350 нм, сформированные указанными методами, подвергались окон-чательной термообработке при температуре 750 °С, после чего на их по-верхности формировались контакты из никеля размером 0.8×0.8 мм2. В

Page 87: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Гетероструктуры и свехрешетки, двумерные, одномерные и нульмерные структуры

Мокеровские чтения. 8-я Международная научно-практическая конференция 87

качестве источника освещения использовалась галогенная лампа с интен-сивностью 57 мВт/см2.

Полученные вольт-амперные характеристики (ВАХ) приведены на рис 1. ВАХ для пленки, сформированной ВЧ магнетронным распылением, соответствует варисторной структуре, сформированной золь-гель мето-дом – структуре с диодом Шоттки. Обе структуры фоточувствительны.

-4 -3 -2 -1 0 1 2 3

-2000

-1500

-1000

-500

0

500

1000

1500

2000

2

1

I, μΑ

U, V

а

-10 -5 0 5 10

-200

-100

0

100

200

300

400

500

2

1

I, μA

U, V

б

Рис. 1. ВАХ структуры SrTiO3/Ni на кремнии p-типа c пленкой SrTiO3, cформированной различными методами:

а) ВЧ магнетронным распылением б) золь-гель методом; 1 - измерения с освещением, 2 - измерения без освещения

Для пленок SrTiO3, полученных золь-гель методом, фототок более

значителен, чем для пленки, сформированной ВЧ магнетронным распы-лением. Для пленок сформированных золь-гель методом, при прямом смещении 5 В без освещения получен ток 10 мкА, а при освещении – 174 мкА; при обратном смещении 5 В без освещения – 0.6 мкА, при осве-щении – 100 мкА.

Литература 1. Х. Сохраби Анараки и др., ФТП 48, 140 (2014). 2. Х. Сохраби Анараки и др., ЖПС 82, 800 (2015).

Page 88: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Гетероструктуры и свехрешетки, двумерные, одномерные и нульмерные структуры

88 Мокеровские чтения. 8-я Международная научно-практическая конференция

ЛЮМИНЕСЦЕНЦИЯ И ОПТИЧЕСКИЕ СВОЙСТВА ЛЕГИРОВАННЫХ ЭРБИЕМ ТОНКИХ ПЛЕНОК ТИТАНАТА СТРОНЦИЯ

*М.В. Руденко1, Л.С. Хорошко1, *Н.В. Гапоненко1, A.В. Мудрый2, Т.Ф. Райченок3

1Белорусский государственный университет информатики и радиоэлектроники Беларусь, 220013, г. Минск, П. Бровки, 6, [email protected]

2Научно-практический центр НАН Беларуси по материаловедению Беларусь, 220072, г. Минск, П. Бровки, 19

3Институт физики им. Б.И. Степанова Беларусь, 220072, г. Минск, пр.-т Независимости, 68

LUMINESCENCE AND OPTICAL PROPERTIES OF ERBIUM DOPED STRONTIUM

TITANATE THIN FILMS

*M.V. Rudenko1, L.S. Khoroshko1, N.V. Gaponenko1, A.V. Mudryi2, T.F. Raichenok3

1Belarusian State University of Informatics and Radioelectronics Belarus, 220013, Minsk, St. Browki, 6, [email protected]

2National Academy of Sciences of Belarus, Scientific and Practical Materials Research Center Belarus, 220072, Minsk, St. Browki, 19

3B.I. Stepanov Institute of Physics Belarus, 220072, Minsk, Avenue Nezavisimosti, 68

In this note we describe fabrication of thin sol-gel derived strontium titanate films

doped with erbium on sapphire and monocrystalline silicon. Erbium-doped films reveal room temperature photoluminescence (PL) with maximum at 1546 nm after annealing at 1000 °C.

Титанат стронция, являясь радиационно-стойким широкозонным по-

лупроводником, представляет интерес как матрица для исследования фо-толюминесценции (ФЛ) лантаноидов. ФЛ эрбия в тонких пленках пер-спективна для оптоэлектроники и солнечной энергетики. В данной работе представлены результаты исследования пропускания и ФЛ тонких пленок легированного эрбием титаната стронция, SrTiO3:Er, сформированного золь-гель методом (ксерогеля).

Для синтеза ксерогелей использовали изопропоксид титана, нитраты стронция и эрбия, монометиловый эфир этиленгликоля в качестве раство-рителя, ацетилацетон в качестве стабилизатора. Соотношение металлов составляло Ti:Sr:Er=10:10:1. Пленки формировались центрифугированием золей с последующей термообработкой.

В спектрах ФЛ ксерогеля SrTiO3:Er наблюдаются характерные для пе-рехода между первым возбужденным состоянием 4I13/2 и основным состо-янием 4I15/2 трехвалентного эрбия [2] полосы ФЛ с максимумом 1.546 мкм (рис.1). Полоса ФЛ с максимумом 1.14 мкм (1.08 эВ) связана с межзонной рекомбинацией в кремниевых подложках. Увеличение толщины покрытия

Page 89: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Гетероструктуры и свехрешетки, двумерные, одномерные и нульмерные структуры

Мокеровские чтения. 8-я Международная научно-практическая конференция 89

наращиванием от 1 до 5 слоев ксерогеля в меньшей степени оказывает влияние на интенсивность полосы ФЛ эрбия, тогда как интенсивность полосы ФЛ с максимумом 1.14 мкм заметно убывает. Длина волны воз-буждения составляла 532 нм.

Спектры пропускания ксерогеля SrTiO3:Er, сформированного на сап-фировой подложке, приведены на рисунке 2.

500 1000 1500 2000 25000

20

40

60

80

100T%

Длина волны, нм

сапфир

SrTiO3:Er

500 1000 1500 2000 25000

20

40

60

80

100

SrTiO3:Er

T%

Длина волны, нм

а б Рис. 2. Спектр пропускания легированного эрбием ксерогеля титаната стронция, сформи-рованного на сапфировой подложке без сапфировой подложки в канале (а) и с сапфировой

подложкой в канале (б)

Как видно из спектров пропускания, покрытие ксерогеля SrTiO3:Er, сформированного на сапфировой подложке (рис. 2), обеспечивает улуч-шение пропускания.

Таким образом, в ксерогелях титаната стронция наблюдается доста-точно интенсивная ФЛ эрбия, и разработанные покрытия на сапфировых подложках улучшают пропускание.

Литература 1. V.B. Sreedhar et al., Journal of Molecular Structure 1130, 1001 (2017). 2. N.V. Gaponenko et al., Optical Materials 28, 688 (2006).

1300 1400 1500 1600 1700 1800

0,004

0,005

0,006

0,007

0,008

0,009

0,010

0,011

Интенсивность

, отн

. ед.

Длина волны, нм

1000 1200 1400 1600

0,0

0,1

0,2

0,3

0,4

0,5

Интенсивность

, отн

.ед.

Длина волны, нм

5 слоев

1 слой

а б Рис. 1. Спектры ФЛ ксерогеля SrTiO3:Er, сформированного на сапфире (а) и монокристал-

лическом кремнии (б)

Page 90: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Гетероструктуры и свехрешетки, двумерные, одномерные и нульмерные структуры

90 Мокеровские чтения. 8-я Международная научно-практическая конференция

ЛЮМИНЕСЦЕНЦИЯ ЕВРОПИЯ В АЛЮМОИТТРИЕВЫХ ПЛЕНКАХ

*Х.К. Вильегас Брито1, Н.В. Гапоненко1,3, К.С. Сукалин1, Т.Ф. Райченок2, С.А. Тихомиров2, Н.И. Каргин3

1Белорусский государственный университет информатики и радиоэлектроники Беларусь, 220013, г. Минск, П. Бровки, 6, [email protected], [email protected]

2Институт физики им. Б.И. Степанова Беларусь, 220072, г. Минск, пр.-т Независимости,68

3 Национальный исследовательский ядерный университет «МИФИ» Россия, 115409, г. Москва, Каширское ш., 31

EUROPIUM LUMINESCENCE FROM YTTRIUM ALUMINA FILMS

*J.C. Villegas Brito1, N.V. Gaponenko1,3, K.S. Sukalin1, T.F. Raichenok 2, S.A. Tikhomirov2, N.I. Kargin3

1Belarusian State University of Informatics and Radioelectronics Belarus, 220013, Minsk, St. Browki, 6, [email protected], [email protected]

2 B.I. Stepanov Institute of Physics Belarus, 220072, Minsk, Avenue Nezavisimosti, 68

3National Research Nuclear University MEPhI Russia, 115409, Moscow, Kashirskoye sh., 31

We investigated photoluminescence of sol-gel derived films corresponding

to yttrium alumina garnet (YAG:Eu) doped with trivalent europium with three concentrations of europium 0.02; 0.025; 0.03 M. The films 210÷220 nm thick were deposited on fused silica substrates by spinning from the corresponding sols followed by drying and high temperature annealing at 1000 °С for 30 min. All of the fabricated samples revealed room-temperature visible luminescence corresponding to 5D0 → 7Fj (j=0,..,4) transitions of Eu3+ under UV irradiation. After heat treatment at 1000 °C the films are amorphous, whereas the powders formed from the sols are in the crystalline phase Y3Al5O12.

Использование редкоземельных элементов в качестве легирующих

примесей представляет интерес для светоизлучающих структур и преоб-разователей для солнечных элементов. В данной работе исследовалась фотолюминесценция (ФЛ) европия в алюмоиттриевых пленках и порош-ках, полученных золь-гель методом, с концентрацией Eu3+ в трех золях 0.02; 0.025 и 0.03 моль/л (М).

Пленки наносились методом центрифугирования на кварцевые под-ложки. После термообработки при температуре 1000 °С все пленки оказа-лись рентгеноаморфными. На рис. 1. представлена дифрактограмма по-рошка алюмоиттриевого композита с концентрацией европия 0.02 М по-сле термообработки при температуре 1000 °С длительностью 30 мин, под-тверждающая структуру алюмоиттриевого граната Y3Al5O12 (YAG). На

Page 91: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Гетероструктуры и свехрешетки, двумерные, одномерные и нульмерные структуры

Мокеровские чтения. 8-я Международная научно-практическая конференция 91

рис. 2. представлены спектры ФЛ однослойных алюмоиттриевых пленок с концентрацией европия 0.03 М, сформированных центрифугированием на кварцевых подложках, после термообработки при температурах 200÷1200 °С длительностью 30 мин для длины волны возбуждения 260 нм. Для всех температур термообработки в спектрах присутствуют полосы, обусловленные переходами между термами трехвалентных ионов европия 5D0 → 7F1 (585÷600) нм; 5D0 → 7F2 (610÷630) нм; D0 → 7F3 (640÷660) нм; 5D0 → 7F4 (680÷710) нм [1, 2]. Наиболее интенсивная полоса ФЛ 5D0→

7F4 относительно других полос реализуется при температуре термообработки 1000 °С, тогда как для других температур доминирующая полоса соответствует переходу 5D0→

7F2. Легированные европием аморф-ные прозрачные пленки представляют интерес в качестве покрытий для кремниевых солнечных элементов, преобразующих УФ излучение в ви-димое, а также как компоненты микрорезонаторов и других структур с анизотропией плотности фотонных состояний [3-5].

Рис. 1. Дифрактограмма порошка

YAG:Eu3+

Рис. 2. Спектры ФЛ однослойной пленки YAG:Eu3+

Литература 1. N.V. Gaponenko et al., Sensors and Act. 99, 71 (2002). 2. I.S. Molchan, et al., J. Electrochem. Soc. 151, 16 (2004). 3. J. Bellessa, et al Appl. Phys. Lett.79, 2142 (2001). 4. A.A. Lutich, et al., Nano Lett. 4, 1755 (2004). 5. N.V. Gaponenko, Acta Physica Polonica 112, 737 (2007).

Page 92: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Гетероструктуры и свехрешетки, двумерные, одномерные и нульмерные структуры

92 Мокеровские чтения. 8-я Международная научно-практическая конференция

ПЬЕЗОЭЛЕКТРИЧЕСКИЕ СВОЙСТВА КВАЗИДВУМЕРНЫХ НАНОПЛАСТИНОК SnS

*А.И. Лебедев

1Московский государственный университет им. М.В. Ломоносова

Россия, 119991, г. Москва, Ленинские горы, д. 1, e-mail: [email protected]

PIEZOELECTRIC PROPERTIES OF QUASI-TWO-DIMENSIONAL SnS NANOPLATELETS

*A.I. Lebedev

Lomonosov Moscow State University

Russia, 119991 Moscow, Leninskie gory 1, e-mail: [email protected]

Quasi-two-dimensional nanoplatelets of semiconductor group IV chalco-genides with orthorhombic layered structure (SnX, GeX, X = S, Se) attract much attention because their band structure and optical properties are promis-ing for solar energy conversion applications. An additional interest to these materials has appeared after the prediction of ferroelectricity in nanoplatelets with a thickness of one monolayer, which opened a way for their using in de-velopment of flexible piezoelectric nanogenerators and piezotronics. In this work, we present the results of first-principles calculations of the structure, ferroelectric and piezoelectric properties of quasi-2D SnS nanoplatelets with a thickness of 1÷5 ML.

Квазидвумерные нанопластинки полупроводниковых халькогенидов IV группы с орторомбической слоистой структурой (SnX, GeX, X = S, Se) вызывают большой интерес благодаря тому, что их зонная структура и оптические свойства перспективны для использования в преобразователях солнечной энергии. Дополнительный интерес к этим объектам возник после предсказания сегнетоэлектричества в нанопластинках толщиной в один монослой [1, 2], которое открыло путь к их использованию для со-здания гибких пьезоэлектрических наногенераторов и в пьезотронике. В настоящей работе представлены результаты расчетов из первых принци-пов структуры, сегнетоэлектрических и пьезоэлектрических свойств тон-ких (1÷5 монослоев) квазидвумерных нанопластинок SnS.

Расчеты проводились в рамках метода функционала плотности с по-мощью программы abinit. Расчеты свойств нанопластинок SnS толщиной в один монослой (1 ML) подтвердили предсказания работ [1, 2] о поляр-ном характере основного состояния (пр. гр. Pmn21), однако энергия сегне-тоэлектрического упорядочения (и связанная с ним температура Кюри)

Page 93: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Гетероструктуры и свехрешетки, двумерные, одномерные и нульмерные структуры

Мокеровские чтения. 8-я Международная научно-практическая конференция 93

оказались в 30 раз меньше. Столь сильное расхождение было объяснено тем, что авторы [1, 2] проводили расчеты в приближении GGA, в котором параметры решетки и сегнетоэлектрическая неустойчивость всегда искус-ственно завышены.

Расчеты показали, что энергия нанопластинок существенно зависит от способа сопряжения монослоев при формировании пластинки; энергети-чески наиболее выгодной оказывается структура, в которой взаимное рас-положение атомов в нанопластинке совпадает с их расположением в объ-емном SnS (пр. гр. Pnma). Поиск структуры основного состояния нано-пластинки толщиной 2 ML привел к структуре с пр. гр. P21/m, которая является неполярной.

К нашему удивлению, нанопластинки толщиной 3 ML снова оказа-лись полярными (пр. гр. основного состояния Pmn21). Поиск основного состояния в еще более толстых нанопластинках показал, что нанопла-стинки толщиной 4 ML оказываются неполярными (пр. гр. P21/m), а нано-пластинки толщиной 5 ML вновь становятся полярными (пр. гр. Pmn21). Обнаруженную периодичность удается понять, если учесть, что структура объемного SnS по сути является антисегнетоэлектрической, с поляризаци-ей, лежащей в плоскости слоев. В этом случае в нанопластинках с четным числом монослоев поляризация оказывается точно скомпенсированной, а в нанопластинках с нечетным числом слоев это не так. Величина спон-танной поляризации во всех полярных нанопластинках составляет при-мерно 2·10–10 Кл/м и слабо возрастает с увеличением толщины нанопла-стинки. Из-за того, что полярные свойства структуры определяются сте-реохимически активной неподеленной электронной парой двухвалентного олова, а не диполь-дипольным взаимодействием, энергия сегнетоэлектри-ческого упорядочения быстро возрастает с ростом числа монослоев. Это позволяет предсказать, что для получения устойчивой при 300 K поляри-зации необходимо ориентироваться на нанопластики толщиной 3 или 5 ML.

Проведенные расчеты пьезоэлектрических модулей diν и eiν в поляр-ных нанопластинках находит слабое уменьшение модулей eiν с ростом толщины нанопластинки и существенно более быстрое уменьшение мо-дулей diν, которое связано с возрастанием упругих постоянных нанострук-туры.

Работа выполнена при поддержке грантом РФФИ 17-02-01068. Литература 1. R. Fei, W. Li, J. Li, L. Yang, Appl. Phys. Lett. 107, 173104 (2015). 2. R. Fei, W. Kang, L. Yang., Phys. Rev. Lett. 117, 097601 (2016).

Page 94: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Гетероструктуры и свехрешетки, двумерные, одномерные и нульмерные структуры

94 Мокеровские чтения. 8-я Международная научно-практическая конференция

ФОРМАЛИЗМ МНОГОКРАТНОГО ЗАХВАТА ДЛЯ ОПИСАНИЯ ТРАНСПОРТА ЗАРЯДОВ В НЕУПОРЯДОЧЕННЫХ ОРГАНИЧЕСКИХ ПОЛУПРОВОДНИКАХ

С КОРРЕЛИРОВАННЫМ ЭНЕРГЕТИЧЕСКИМ БЕСПОРЯДКОМ

А.Ю. Саунина1, В.Р. Никитенко1

1Национальный Исследовательский Ядерный Университет «МИФИ» Россия, 115409, г. Москва, Каширское шоссе, дом 31, e-mail: [email protected]

MULTIPLE-TRAPPING FORMALISM FOR THE DESCRIPTION OF CHARGE

TRANSPORT IN DISORDERED ORGANIC SEMICONDUCTORS WITH CORRELATED ENERGY DISORDER

*A.Yu. Saunina1, V.R. Nikitenko1

1National Research Nuclear University MEPhI (Moscow Engineering Physics Institute) Russia, 115409 Moscow, Kashirskoe shosse 31, e-mail: [email protected]

An applicability of multiple trapping formalism for the description of

charge transport in disordered organics with correlated disorder is supported by analytic modeling of temperature and field dependence of mobility. Results of this modeling are in good agreement with well-known results of Monte-Carlo simulations.

Моделирование транспорта носителей заряда в неупорядоченных ор-

ганических полупроводниках представляет большой интерес ввиду воз-можности оптимизации электронных устройств, таких как OLED’ы, OFET’ы и т.д. Описание транспортных процессов в таких материалах яв-ляется сложной задачей, т.к., в отличие от неорганичеких материалов, перенос происходит путём туннельных перескоков зарядов между энерге-тически неупорядоченными локализованными состояниями (ЛС). Модель многократного захвата (ММЗ) [1] успешно применялась, с некоторыми ограничениями, к описанию прыжкового транспорта на основе концепции транспортного уровня [2] в рамках модели гауссова беспорядка [3] в от-сутствии энергетических корреляций между ЛС.

Однако именно энергетические корреляции дают наблюдаемую экс-периментально пул-френкелевскую полевую зависимость подвижности носителей заряда (lnµ~F1/2). В случае дальнодействующих корреляций было показано [4], что условная вероятность того, что состояние, распо-ложенное на расстоянии r от центра с энергией Ei, будет иметь энергию E, является гауссовой функцией с «квази-кулоновской» зависимостью сред-ней энергии от r: UC (r, Ei) = –α|Ei|a0/r, α ≈ 0.74, где a0 – характерная прыжковая длина. Таким образом, контролирующие подвижность глубо-кие состояния окружены потенциальной ямой, а ближайшие к ним состо-яния вероятнее всего имеют энергии, близкие к начальной, Ei. Носитель

Page 95: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Гетероструктуры и свехрешетки, двумерные, одномерные и нульмерные структуры

Мокеровские чтения. 8-я Международная научно-практическая конференция 95

должен преодолеть энергетический барьер, образованный квази-кулоновским потенциалом и внешним полем F, которое уменьшает барь-ер. В данной работе представлена аналитическая модель температурной и полевой зависимости подвижности в рамках модели дипольного стекла [5], которая даёт хорошее согласие с результатами монте-карловского моделирования и эксперимента. Подвижность обратно пропорциональна усреднённой по энергии обратной частоты освобождения, выраженной как произведение частоты «попыток ухода» ν и вероятности выхода из ямы η , рассчитанной по модели Онзагера. Если поле F мало, то η =

exp[–(EC – Ei)/kT], где величина EC определяет понижение энергетического барьера и фактически является транспортным уровнем, поскольку энерге-тические корреляции с начальным состоянием на границе ямы малы.

Концепция транспортного уровня позволяет свести описание прыж-кового транспорта к относительно простому формализму ММЗ, где транспортный уровень будет являться аналогом края подвижности, отде-ляющего ЛС от делокализованных состояний. Ключевое предположение состоит в том, что наибольший вклад в транспорт в любой момент време-ни оказывает некоторая малая часть носителей в т.н. «проводящих» со-стояниях, пока остальные ожидают освобождения с глубоких состояний («ловушек»). Другим предположением явлется квазиравновесие и малая заселённость проводящих состояний. Основное кинетическое уравнение ММЗ выглядит следующим образом:

[ ]0

( , )( , , ) 1 ( , , )( ) ( , , )

( ) ( )c

t

p x tE x t E x tg E E x t

t r E N t E

∂ = − −∂

ρ ρρτ

, (1)

где r(E)=ω(E)t(E), t(E)=ν-1exp((EC – E)/kT), pc(x,t) и τ0 – концентрация и время жизни носителей в «проводящих» состояниях, ρ(E,x,t) – энергетиче-ское распределение занятых состояний, g(E) и Nt – энергетическое рас-пределение и концентрация прыжковых центров, соответственно. Резуль-таты работы показывают, что уравнение (1) применимо как для некорре-лированного, так и для коррелированного беспорядка, по крайней мере, в пределе слабого поля, если состояния внутри квази-кулоновской ямы рас-сматривать как «коллективную ловушку». Литература 1. A.I. Rudenko and V.I. Arkhipov, Phil. Mag. B 45, 177 (1982). 2. V.R. Nikitenko, M.N. Strikhanov, J. Appl. Phys. 115, 073704 (2014). 3. H. Bässler, Phys. Status Solidi B 175, 15 (1993). 4. S.V. Novikov, A.V. Vannikov, J. Phys. Chem. 99, 14573 (1995). 5. S.V. Novikov et al., Phys. Rev. Lett. 81, 4472 (1998).

Page 96: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Гетероструктуры и свехрешетки, двумерные, одномерные и нульмерные структуры

96 Мокеровские чтения. 8-я Международная научно-практическая конференция

ВЛИЯНИЕ ОРГАНИЧЕСКИХ МОЛЕКУЛ ЛИГАНДОВ РАЗЛИЧНОЙ ДЛИНЫ НА ОПТИЧЕСКИЕ СВОЙСТВА КОНДЕНСАТОВ КВАНТОВЫХ ТОЧЕК PbS

*Я.В. Гольтяпин, М.А. Звайгзне, А.А. Чистяков, В.Р. Никитенко

Национальный исследовательский ядерный университет «МИФИ» Россия, 115409, г. Москва, Каширское ш., 31, e-mail: [email protected]

INFLUENCE OF THE LENGTH OF ORGANIC MOLECULES OF LIGANDS

ON THE PBS QD SOLIDS OPTICAL PROPERTIES

*Y.V. Goltyapin, M.A. Zvaigzne, A.A. Chistyakov, V.R. Nikitenko

National Research Nuclear University MEPhI (Moscow Engineering Physics Institute) Russia, 115409, Moscow, Kashirskoe shosse, 31, e-mail: [email protected]

To date, а great deal of attention is the study of quantum dots (QD) solids, which are promising materials for use in the photovoltaics. The development of the high-performance devices based on QD solids requires a highly ordered structure of the QD layer with a low defect concentration and an effective trans-fer of charge carriers. It was shown that the presence of a particular ligand mol-ecules on the QD surface, as well as its length have a great influence on the properties listed above. In this paper, we studied the effect of ligand different length on the optical properties of PbS QD solids.

На сегодняшний день большой интерес направлен на изучение кон-

денсатов КТ, которые являются перспективным материалом для исполь-зования в области фотовольтаики [1, 2]. Для создания высокоэффектив-ных устройств на основе конденсированных слоев КТ необходимо дости-жение высокоупорядоченной структуры с низкой концентрацией дефек-тов и эффективного переноса носителей заряда. Большое влияние на вы-шеуказанные свойства оказывает наличие того или иного слоя молекул лиганда на поверхности КТ [3-5], а также длина лиганда. В данной работе изучалось влияние лигандов различной длины на оптические свойства пленок КТ сульфида свинца.

В работе использовались КТ сульфида свинца, синтезированные ме-тодом коллоидной химии, с характерным диаметром 4 нм и покрытые монослоем молекул (лигандов) олеиновой кислоты (ОК). Исходные ли-ганды были заменены на более короткие молекулы - гидразин и 1.6-гександитиол (ГДТ), длины которых соответственно в 4 и 2 раза меньше по сравнению с молекулой ОК. Пленки КТ были получены мето-дом спин-коатинга. Замена лигандов производилась непосредственно в пленках. Для всех образцов пленок и раствора КТ измерены были спектры люминесценции, а также кинетика затухания люминесценции.

Page 97: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Гетероструктуры и свехрешетки, двумерные, одномерные и нульмерные структуры

Мокеровские чтения. 8-я Международная научно-практическая конференция 97

Полученные для растворов и пленок графики люминесценции приве-дены на рис. 1. В спектрах наблюдается значительное смещение макси-мума люминесценции пленок относительно раствора в длинноволновую область спектра, которое увеличивается при замене лиганда на более ко-роткий.

Результаты измерений кине-тики приведены на рис. 2. Время затухания люминесценции в растворе составило 570 нс, в пленках с исходными лигандами − 160 нс, с замещенными − 15 нс и 7 нс для ГДТ и гидразина со-ответственно. Данный результат можно объяснить появлением дополнительного канала безыз-лучательного процесса, такого как механизм Ферстера или пе-ренос заряда между КТ [6, 7]. Однако, в силу симметрии спек-

тров люминесценции, механизм Ферстера скорее можно исключить, что указывает на наличие переноса заряда между КТ. Причем решающую роль играет длина молекулы используемого лиганда.

Литература 1. S. Dayneko et al. Appl. Phys. Lett. 2013. Vol. 103, 6. P. 063302–1 – 063302–063304. 2. R.W. Crisp et al. Sci. Rep. 2015. Vol. 5. P. 9945. 3. S. Dayneko et al. Proc. SPIE Vol. 9270. 2014. Vol. 103, 6. P. 927009. 4. S. Dayneko et al. Mater. Today Proc. Elsevier Ltd., 2016. Vol. 3, 2. P. 211–215. 5. Y. Liu et al. Nano Lett. 2010. Vol. 10, 5. P. 1960–1969. 6. J.M. Luther et al. ACS Nano. 2008. Vol. 2, 2. P. 271–280. 7. M.H. Zarghami et al. ACS Nano. 2010. Vol. 4, 4. P. 2475–2485.

Рис. 1. Спектры люминесценции раствора и пленок КТ с различными поверхностными

лигандами

Рис. 2. Кинетика затухания люминесценции раствора и пленок КТ с различными лигандами

Page 98: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Гетероструктуры и свехрешетки, двумерные, одномерные и нульмерные структуры

98 Мокеровские чтения. 8-я Международная научно-практическая конференция

ИССЛЕДОВАНИЕ ФОТОПРОВОДИМОСТИ ПЛЕНОК КВАНТОВЫХ ТОЧЕК PbS C РАЗЛИЧНЫМИ ЛИГАНДАМИ

*А.Е. Александров1, М.А. Звайгзне1, П.С. Самохвалов1, Д.А. Лыпенко2, А.Р. Тамеев2, А.А. Чистяков1

1Национальный исследовательский ядерный институт «МИФИ» Российская Федерация, 115409, г. Москва, Каширское ш., д. 31,

e-mail: [email protected] 2Институт физической химии и электрохимии им. А.Н. Фрумкина Российской академии наук

(ИФХЭ РАН), Российская Федерация, 119071, Москва, Ленинский проспект, 31

INVESTIGATION OF THE PHOTOCONDUCTIVITY OF PbS QD SOLIDS WITH DIFFERENT LIGANDS

*A.E. Aleksandrov1, M.A. Zvaigzne1, P.S. Samokhvalov1, D.A. Lypenko2, A.R. Tameev2, A.A. Chistyakov1

1National Research Nuclear Institute «MEPhI» Russia, 115409, Moscow, Kashirskoye Shosse, 31, e-mail: [email protected]

2A.N. Frumkin Institute of Physical Chemistry and Electrochemistry of the Russian Academy of Sciences, Russia, 119071, Moscow, 31-bld.4 Leninsky Prospect

Due to the narrow luminescence spectrum with broad absorption spectrum, high

photostability, and the possibility of varying the luminescence wave length by changing the size of the nanocrystal, colloidal quantum dots (QDs) are promising materials for use in optoelectronic devices. An important factor for achieving high efficiency of such devices is the production of QD layers with low defect density and effective charge carrier transport that mainly depends on the organic ligand molecules covering the sur-face of the QD.

Большой интерес в последнее время вызывает использование конден-сатов КТ на основе халькогенидов свинца, способных поглощать свет в ИК области спектра, в фотовольтаических устройствах, а также ИК фото-детекторах [1]. Важным фактором для достижения высоких эффективно-стей таких устройств является изготовление слоев КТ с низкой концен-трацией дефектов и эффективным транспортом носителей заряда, что главным образом зависит от органических молекул лигандов, покрываю-щих поверхность КТ. Выбор поверхностного лиганда обусловливает про-странственное разделение между КТ внутри композита, а так как перенос заряда внутри КТ происходит через «прыжковый» механизм [2], то выбор молекул лиганда, а особенно их длина, играют решающую роль в конеч-ных значениях подвижности носителей заряда и проводимости слоев КТ. В данной работе исследовалось влияние различных молекул поверхност-ных лигандов на проводимость слоев КТ.

В работе использовались квантовые точки PbS диаметром 4 нм, на ос-нове которых формировались пленки КТ со следующими лигандами: оле-

Page 99: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Гетероструктуры и свехрешетки, двумерные, одномерные и нульмерные структуры

Мокеровские чтения. 8-я Международная научно-практическая конференция 99

иновой кислотой (длина молекулы ~1.5 нм), 1.6-гександитиолом (~1 нм) и гидразином (0.5 нм). Выбор молекул гидразина и 1.6-гександитиола обу-словлен их малой длиной, а также высоким сродством тиольных групп к молекулам серы. Замещение лигандов производилось непосредственно в пленках.

Вольт-амперные характеристики измерялись 4-х контактным мето-дом, на свету и в темноте. Видно (рис. 1), что под действием света значи-тельно увеличилось значение тока в образцах с замененными лигандами, причем, чем меньше длина молекулы лиганда, тем больше значение фото-тока.

Рис. 1. Вольт-амперные характеристики изготовленных структур на основе пленок КТ

с различными лигандами на свету и в темноте Также проводилось исследование удельного сопротивления получен-ных пленок КТ 4-х зондовым методом. Величины удельного сопротивле-ния составили 20·104, 7·104 и 8·104 Ом·см для пленок КТ с ОК, ГДТ и гид-разином соответственно. Как видно, с использованием в качестве поверх-ностного лиганда ГДТ и гидразина удельное сопротивление образца уменьшается, что находится в соответствии с экспериментом по фотопро-водимости. Таким образом, замена исходных молекул лиганда на более короткие приводит к заметному повышению фотопроводимости пленки КТ и позволяет предположить появление эффективного переноса заряда между КТ, что необходимо для повышения характеристик фотовольтаи-ческих устройств на основе пленок КТ. Литература 1.Crisp, R. W. et al. Sci. Rep. 5, 9945 (2015). 2.Zhang, J. et al. J. Phys. Chem. C 118, 16228–16235 (2014).

Page 100: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Гетероструктуры и свехрешетки, двумерные, одномерные и нульмерные структуры

100 Мокеровские чтения. 8-я Международная научно-практическая конференция

СТРУКТУРНАЯ ИЗОМЕРИЗАЦИЯ КРЕМНИЕВОГО ПРИЗМАНА Si19H12 *М.В. Гордейчук1, К.П. Катин1, М.М. Маслов1, Н.И. Каргин1

1Национальный исследовательский ядерный университет «МИФИ» Россия, 115409, г. Москва, Каширское шоссе, дом 31, e-mail: [email protected]

STRUCTURAL ISOMERIZATION OF SILICON PRISMANE Si19H12

*M.V. Gordeychuk1, K.P. Katin1, M.M. Maslov1, N.I. Kargin1 1National Research Nuclear University MEPhI

Russia, 115409 Moscow, Kashirskoe shosse, 31, e-mail: [email protected]

We apply a genetic algorithm coupled with the high level density functional calculations to found the ground state of the Si19H12 cluster. The all obtained structures are almost spherical fullerene-like hydrogenated cages. Then we compare these cages with aromatic endohedral prismane Si@Si18H12. Our calculations show that the prismane is sufficiently higher in energy then the ground state structure. Therefore, we disprove the suggestion that the endohedral prismane is the most thermodynamically stable configuration of Si19H12. So, its earlier predicted self-formation from silane in a plasma reactor is doubt. Nevertheless, it is a metastable compound and can exist in cryogenic temperatures for a long time.

Мы использовали генетический алгоритм совместно с высокоточными

вычислениями на основе теории функционала плотности для поиска основного состояния кластера Si19H12. Все полученные структуры пред-ставляли собой гидрированные почти сферические фуллереноподобные клетки. Затем мы сравнили их с ароматичным эндоэдральным призманом Si@Si18H12. Наши расчеты показали, что призман имеет значительно бóльшую энергию, чем наилучшая из полученных нами структур. Таким образом, мы опровергли предположение о том, что эндоэдральный призман является самой термодинамически стабильной конфигурацией Si19H12. Следовательно, раннее предсказанное самопроизвольное формирование призмана из силана в плазменном реакторе вызывает сомнения. Тем не менее, призман является метастабильной структурой и при криогенных температурах может существовать в течение длительного времени.

Кремниевый призман Si19H12 был теоретически предсказан Вэчем (Vach) и коллегами в 2012 году [1,2]. Предполагалось, что, несмотря на напряженную геометрию с прямыми углами между связями, призман является самым устойчивым изомером Si19H12 за счёт ароматичности. Внутренний атом кремния образует гипервалентные связи с остальными атомами и уменьшает напряжение в кластере. При термических

Page 101: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Гетероструктуры и свехрешетки, двумерные, одномерные и нульмерные структуры

Мокеровские чтения. 8-я Международная научно-практическая конференция 101

колебаниях относительно слабо связанного внутреннего атома предсказана генерация терагерцового излучения.

Ab initio молекулярная динамика предсказывает самопроизвольное формирование призмана Si19H12 из силана в плазменном реакторе [1,2]. В 2015 года предсказания Вэча подверглись критике [3,4]. Утверждалось, что такой напряженный кластер не может быть самым энергетически выгодным изомером и формироваться самопроизвольно. Были найдены изомеры Si19H12, сконструированные на основе додекаэдрана и обладающие меньшей энергией.

В настоящей работе мы искали наиболее устойчивый изомер Si19H12 при помощи генетического алгоритма. Этот алгоритм представляет собой эвристический метод моделирования, основанный на случайном подборе и комбинировании в «популяции» кластеров. Механизм алгоритма похож на принцип естественного отбора, действующего в живой природе.

В данной работе был использован генетический алгоритм, реализованный в программном пакете Atomic Simulation Environment [5,6].

Данный алгоритм состоит из следующих шагов: 1. Генерирование и релаксация случайных изомеров Si19H12 для

создания начальной популяции. 2. «Скрещивание» членов популяции – построение нового кластера,

имеющего черты обоих родителей. В качестве родителей используются структуры с наименьшей энергией.

3. «Мутация» – случайные изменения структуры кластера, которые закрепятся в популяции, если только окажутся благоприятными.

4. Локальная релаксация всех членов популяции и удаление из неё невыгодных структур с высокими энергиями.

С помощью этого подхода были исследованы 900 кандидатов Si19H12. Лучшие изомеры имеют форму сферических фуллеренов и совсем не похожи на призман, описанный Вэчем.

Работа выполнена при финансовой поддержке Гранта Президента Российской Федерации MK-7410.2016.2.

Литература 1. Vach H. et al., J. Comput. Chem., 36, 2089 (2015). 2. Vach H. et al., J. Chem. Theory Comput., 8, 2088 (2012). 3. G. A. Dolgonos et al., J. Comput. Chem., 36, 2095 (2015). 4. K. P. Katin et al., Chem. Phys., 487, 59 (2017). 5. S. R. Bahn and K. W. Jacobsen, Comput. Sci. Eng. 4, 56 (2002). 6. L. B. Vilhelmsen and B. Hammer., J. Chem. Phys., 141, 044711 (2014).

Page 102: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Гетероструктуры и свехрешетки, двумерные, одномерные и нульмерные структуры

102 Мокеровские чтения. 8-я Международная научно-практическая конференция

СТРУКТУРНЫЕ И ЭНЕРГЕТИЧЕСКИЕ СВОЙСТВА ДОПИРОВАННЫХ АЗОТОМ ФУЛЛЕРЕНОВ

*К.П. Катин, М.М. Маслов, М.В. Гордейчук, Р.В. Рыжук, Н.И. Каргин

Национальный исследовательский ядерный университет «МИФИ» Россия, 115409, г. Москва, Каширское шоссе, дом 31, e-mail: [email protected]

STRUCTURAL AND ENERGETICAL PROPERTIES OF NITROGEN-DOPED

FULLERENES

*K.P. Katin, M.M. Maslov, M.V. Gordeychuk, R.V. Ryzhuk, N.I. Kargin

National Research Nuclear University MEPhI (Moscow Engineering Physics Institute) Russia, 115409 Moscow, Kashirskoe shosse 31, e-mail: [email protected]

Using a non-orthogonal tight-binding model, we simulate the thermal isomerization

of nitrogen-doped fullerenes C19N and C18N2. The nitrogen atom embeds into the fuller-ene cage instead of the carbon one without strong structural distortions. Two nitrogen atoms demonstrate effective repulsion on the fullerene surface. According to our results, the main isomerization channel is a conventional Stone-Wales defect near the nitrogen impurity. The present of the nitrogen atom significantly reduce the kinetic stability of the cage, making easier the rotation of the nearest C–C bonds. The rate of the isomeriza-tion possesses a well-defined Arrhenius exponential dependence on the temperature. A large number of equivalent isomerization paths should be taken into account due to high symmetry of the dodecahedral cage. This fact results in proportional increasing of the frequency factor of the considered process.

В рамках неортогональной модели сильной связи мы смоделировали

термическую изомеризацию допированных азотом фуллеренов C19N и C18N2. Атомы азота встраиваются в фуллерен вместо углеродных атомов, не вызывая существенной деформации его структуры. В случае допирова-ния двумя атомами азота, наблюдается их эффективное отталкивание на поверхности фуллерена. Наши расчёты показали, что главный канал изо-меризации допированного фуллерена – обычная трансформация Стоуна-Уэльса вблизи азотной примеси. Наличие азота снижает кинетическую устойчивость фуллерена, облегчая повороты ближайших углерод-углеродных связей. Термическая зависимость скорости изомеризации хо-рошо описывается аррениусовской зависимостью. Из-за высокой симмет-рии фуллерена, необходимо учитывать большое количество эквивалент-ных путей его изомеризации. Это приводит к пропорциональному росту частотного фактора рассматриваемого процесса.

Интерес к азотозамещенным фуллеренам вызван их недавним синте-зом и возможностями использования их необычных свойств в электрони-ке и медицине [1-4]. Оказалось, что даже один атом азота, внедрённый в

Page 103: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Гетероструктуры и свехрешетки, двумерные, одномерные и нульмерные структуры

Мокеровские чтения. 8-я Международная научно-практическая конференция 103

фуллерен, радикально меняет его электронную структуру [1] и адсорбци-онную способность [3]. В настоящей работе мы исследовали термическую эволюцию этих кластеров в рамках модели сильной связи NTBM [5], спе-циально разработанной для соединений с напряжённым углеродно-азотным каркасом.

Рис. 1. Структура углеродного фуллерена C20 (a) и его азотозамещённых производных C19N (b) и C19N2 (c)

Хотя длины углеродно-углеродной и углеродно-азотной связей почти

не отличаются, наличие внедрённого атома азота индуцирует формирова-ние дефектов, в первую очередь – трансформаций Стоуна-Уэльса [6-7]. Поэтому мы предсказываем, что при отжиге допированных фуллеренов при температурах 600÷700 °С и выше можно ожидать высокий процент дефектных кластеров.

Работа выполнена при финансовой поддержке Гранта Президента Российской Федерации MK-7410.2016.2. Литература 1. X. Zhong, R. Pandey, A.R. Rocha, S.P. Karna, J. Phys. Chem. Lett. 1, 1584 (2010). 2. G.-L. Chai, Z. Hou, D.-J. Shu, T. Ikeda, K. Terakura, J. Am. Chem. Soc. 136, 13629 (2014). 3. S.A. Siadati, E. Vessally, A. Hosseinian, L. Edjlali, Synth. Met. 220, 606 (2016). 4. T. Pradeep, V. Vijayakrishnan, A.K. Santra, C.N.R. Rao, J. Phys. Chem. 95, 10564 (1991). 5. M.M. Maslov, A.I. Podlivaev, K.P. Katin, Mol. Simul. 42, 305 (2016). 6. A.J. Stone, D.J. Wales, Chem. Phys. Lett. 128, 501 (1986). 7. K.P. Katin, A.I. Podlivaev, Phys. Solid State 52, 436 (2010).

Page 104: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Гетероструктуры и свехрешетки, двумерные, одномерные и нульмерные структуры

104 Мокеровские чтения. 8-я Международная научно-практическая конференция

ДВУМЕРНЫЕ КОВАЛЕНТНЫЕ КОМПЛЕКСЫ НА ОСНОВЕ МОЛЕКУЛ CL-20

*М.М. Маслов, К.П. Катин, М.К. Борисова, М.А. Гимальдинова, Р.В. Рыжук, Н.И. Каргин

Национальный исследовательский ядерный университет «МИФИ» Россия, 115409, г. Москва, Каширское шоссе, дом 31, e-mail: [email protected]

TWO-DIMENSIONAL COVALENT COMPLEXES BASED ON THE CL-20 MOLECULES

*M.M. Maslov, K.P. Katin, M.K. Borisova, M.A. Gimaldinova, R.V. Ryzhuk, N.I. Kargin

National Research Nuclear University MEPhI (Moscow Engineering Physics Institute) Russia, 115409 Moscow, Kashirskoe shosse 31, e-mail: [email protected]

Two-dimensional CL-20 complexes have been constructed using CH2 molecular

bridges for the covalent bonding between isolated CL-20 fragments. The energy proper-ties of the nanostructures obtained have been analyzed by means of quantum-mechanical calculations based on the density functional theory and nonorthogonal tight-binding model. It has been found that CL-20 based structures are characterized by sufficiently high thermodynamic stability. Thus, the formation of bulk covalent CL-20 solids may be energetically favorable, and such structures may possess high kinetic stability comparing to the CL-20 molecular crystals. Some electronic properties of these systems are also discussed.

Представленные в настоящей работе результаты компьютерного мо-

делирования свидетельствуют о принципиальной возможности формиро-вания ковалентных связей между отдельными наносистемами CL-20 по-средством молекулярных мостиков CH2, что позволяет предположить о существовании трехмерных ковалентных кристаллов на их основе. Ранее нами было установлено, что термодинамическая устойчивость квазиод-номерных систем, построенных из CL-20 единиц, возрастает с ростом числа фрагментов. Эта тенденция сохраняется и в случае квазидвумерных систем. Мы сконструировали слои, содержащие до десяти фрагментов CL-20, на основе ковалентных цепочек типа зигзаг (см. Рис. 1). Начальная геометрия каждого слоя оптимизировалась в рамках неортогональной мо-дели сильной связи NTBM, специально разработанной для исследования энергоёмких наноструктур с высоким содержанием азота [1]. Дальнейшие прецизионные расчеты структурных, энергетических и электронных ха-рактеристик, частотного спектра проводились с помощью программного комплекса GAMESS [2] в рамках теории функционала плотности (DFT) с GGA функционалом PBE и базисом 6-31G(d).

Энергии связи двумерных слоев на основе CL-20 определялись по

формуле ( ) ( ) ( ) ( ) ( )1 H C N O L ,b atE N kE lE mE nE E= + + + − где

Page 105: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Гетероструктуры и свехрешетки, двумерные, одномерные и нульмерные структуры

Мокеровские чтения. 8-я Международная научно-практическая конференция 105

atN k l m n= + + + – полное число атомов в слое, ( )LE – полная энергия

слоя на основе фрагментов CL-20, ( )HE , ( )CE , ( )NE и ( )OE – энер-

гии изолированных атомов водорода, углерода, азота и кислорода соот-ветственно. Таким образом, двумерный слой с более высокой энергией связи (более низкой потенциальной энергией) является более термодина-мически устойчивым и наоборот. HOMO-LUMO щель определялась как энергетическая щель между наивысшей занятой и низшей незаполненной молекулярными орбиталями.

Рис. 1. Квазидвумерный слой 5×2, построенный на основе фрагментов CL-20, связанных

посредством CH2 функциональных групп

В результате было установлено, что двумерные слои термодинамиче-ски более устойчивы, чем соответствующие цепочки на основе фрагмен-тов CL-20, что дает основания предполагать о высокой термодинамиче-ской устойчивости ковалентных кристаллов на основе фрагментов CL-20, связанных CH2 молекулярными мостиками. При этом характерные значе-ния HOMO-LUMO щелей соответствуют таковым для полупроводников. Так, например, HOMO-LUMO щель двумерного слоя размерностью 5×2 (см. рис. 1) составляет 1.8 эВ. Мы надеемся, что настоящая работа будет способствовать проведению дальнейших экспериментальных и теорети-ческих исследований физико-химических свойств кристаллических со-единений на основе CL-20.

Работа выполнена при финансовой поддержке Гранта Президента Российской Федерации MK-7410.2016.2. Литература 1. M.M. Maslov, A.I. Podlivaev, K.P. Katin, Mol. Simul. 42, 305 (2016). 2. M.W. Schmidt et al., J. Comput. Chem. 14, 1347 (1993).

Page 106: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Структурные свойства наносистем и гетероструктур

106 Мокеровские чтения. 8-я Международная научно-практическая конференция

ИССЛЕДОВАНИЕ СТРУКТУРЫ И МОРФОЛОГИИ ПЛЕНОК ОКСИДА ГАФНИЯ, ОСАЖДЕННЫХ НА КРЕМНИИ МЕТОДОМ РЕАКТИВНОГО МАГНЕТРОННОГО

РАСПЫЛЕНИЯ

*М.М. Грехов1, Н. Вилья2, А.В. Аврамчук1,2, А.Б. Зимин2, Д.А. Голосов2, И.В. Комиссаров1,2

1Национальный исследовательский ядерный университет «МИФИ» Россия, 115409, г. Москва, Каширское шоссе, дом 31, e-mail: [email protected]

2Белорусский государственный университет информатики и радиоэлектроники Беларусь, 220013, г. Минск, улица П. Бровки, дом 6, e-mail: [email protected]

STRUCTURAL AND MORPHOLOGY STUDIES OF HAFNIUM OXIDE FILMS

DEPOSITED ON SILICON SUBSTRATE BY REACTIVE MAGNETRON SPUTTERING TECHNIQUE

*M.M. Grekhov1, N. Villa2, A.V. Avramchuk1,2, A.B. Zimin2, D.A. Golosov2, I.V. Komissarov1,2

1National Research Nuclear University MEPhI Russia, 115409 Moscow, Kashirskoe shosse, 31, e-mail: [email protected]

2Belarusian State University of Informatics and Radioelectronics Belarus, 220013 Minsk, P. Brovka Street 6, e-mail: [email protected]

Amorphous hafnium oxide is considered as promising dielectric material

for MOS technology due to its high dielectric constant (up to ~30) and signifi-cant reduction of leakage current. In this work we report results of the structural and morphological studies of hafnium oxide films deposited on silicon sub-strate by reactive magnetron sputtering technique at room temperature. XRD and Raman spectroscopy studies show no observable presence of crystalline phases of hafnium oxide. The results of thickness study performed by XRR are in agreement with the results of ellipsometry measurements confirming the uniformity of the films.

Высокое значение диэлектрической постоянной в совокупности с низ-

ким значением тока утечки определяет аморфный оксид гафния как пер-спективный диэлектрик для современной МОП технологии. В данной ра-боте исследовались морфология и структура тонких пленок оксида гаф-ния, полученных методом реактивного магнетронного распыления из ми-шени Hf в атмосфере кислород/аргон на подложку кремния при комнат-ной температуре. Для оценки толщины пленок, их шероховатости и плотности нанесенного материала использовался метод рентгеновской рефлектометрии (XRR). Результаты аппроксимации экспериментальных кривых рефлектометрии (рис. 1а) приведены в таблице 1. Измерение тол-щины пленок также проводилось методом эллипсометрии, полученные величины находятся в хорошем согласии с данными XRR (см. таб. 1). Ре-зультаты исследований морфологии пленок методом атомной силовой

СТРУКТУРНЫЕ СВОЙСТВА НАНОСИСТЕМ И ГЕТЕРОСТРУКТУР

Page 107: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Структурные свойства наносистем и гетероструктур

Мокеровские чтения. 8-я Международная научно-практическая конференция 107

микроскопии также подтверждают низкое значение шероховатости по-верхности образцов (рис. 1б). Следует отметить меньшее значение плот-ности оксида гафния (таб. 1) по сравнению с плотностью объемного мате-риала HfO2 9.68 г/см3, это, по-видимому, является следствием аморфной структуры осажденных образцов. Действительно, полуширина рентгенов-ской линии (FWHM~6.6°) соответствующей оксиду гафния (рис. 2а) ука-зывает на его аморфное состояние. Кроме этого, отсутствие дополнитель-ных пиков в спектре комбинационного рассеяния света осажденных пле-нок оксида гафния, по сравнению со спектром для чистой подложки, под-тверждает аморфную структуру оксида (рис. 2б). Таким образом, исполь-зуемая технология позволяет осаждать пленки оксида гафния, которые обладают аморфной структурой, низкой шероховатостью со значениями толщин, используемыми в современной МОП технологии.

Таблица 1. Описание образцов

Обр.

Время нане-сения, сек

Толщина слоя оксида гафния, нм

(XRR/эллипсометрия)

Шероховатость, нм

Плотность оксида гафния, г/см3

1 5 13.5/13.7 0.236 9.26

2 10 29.6/29.2 0.297 9.34

3 30 48.8/46.8 0.287 9.44

Рис. 1. Экспериментальные данные XRR (1, 2, 3 - обр.), сплошная линия пример аппроксимации согласно модели a) и мор-фология поверхности образца 2 по резуль-

татам АСМ б)

Рис. 2. Рентгеновский дифракционный спектр образца а) и спектр комбинацион-ного рассеяния света б) образца 3 (для сравнения приведен КРС спектр чистой

подложки Si)

Page 108: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Структурные свойства наносистем и гетероструктур

108 Мокеровские чтения. 8-я Международная научно-практическая конференция

ИССЛЕДОВАНИЕ КАРБИДИЗИРОВАННОГО ПОРИСТОГО КРЕМНИЯ МЕТОДОМ РАМАНОВСКОЙ СПЕКТРОСКОПИИ

*А.О. Султанов, Н.В. Сигловая, Г.К. Сафаралиев, Н.И. Каргин, А.А. Тимофеев

Национальный исследовательский ядерный университет «МИФИ»

Россия, 115409, г. Москва, Каширское шоссе, дом 31, e-mail: [email protected]

STUDY OF CARBIDIZED POROUS SILICON BY THE METHOD OF RAMAN SPECTROSCOPY

*A.O. Sultanov, N.V. Siglovaya, G.K. Safaraliev, N.I. Kargin, A.A. Timofeev

National Research Nuclear University «MEPHI»

Russia, 115409, Moscow, Kashcirskoe shcosse, 31, e-mail: [email protected]

In this paper we study the experimental heterostructures SiC/Si grown by CVD. In the process of carbonization of porous silicon is formed a buffer layer providing stress relaxation in the subsequent epitaxial layer of silicon carbide. Porous silicon in these heterostructures facilitates nucleation improves adhesion layers, increases their strength under thermal influences. In this connection, an actual task is to study the use of porous silicon as a buffer layer during the chemical vapor deposition of epitaxial silicon carbide films.

В настоящей работе методом рамановской спектроскопии исследова-лись образцы карбидизированного пористого кремния [1]. Образцы пори-стого кремния получили анодированием подложек кремния Si(100) (удельное сопротивление 0.007÷0.015 Ом·cм) в течение 1 минуты и плот-ности тока J = 15 мА/см2. Толщина пористого слоя составила ≈ 2 мкм. В качестве электролита использовалась плавиковая кислота в смеси воды и этанола HF:H2O:CH3CH(OH)CH3.

Полученные пластины с пористым слоем подверглись карбидизации на установке газофазной эпитаксии при вариации времени процесса. Зна-чение потока этилена (C2H4) равнялось 15 стандартных см3/мин, темпера-тура карбидизации Ткарб = 1100 ºС, процессное давление P = 5 мм. рт. ст.

С помощью конфокального микроскопа inVia (Renishaw) получены рамановские спектры карбидизированных подложек, приведенные на рис. 1. В области 930÷1000 см-1 находится полоса рассеяния 2-го порядка от подложки кремния. Пики в областях точек 1340 см-1 (D-пик) и 1585 см-1 (G-пик) характерны для связей С–С в sp3-, sp2 – гибридизации, соответ-ствующих графиту [2].

Page 109: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Структурные свойства наносистем и гетероструктур

Мокеровские чтения. 8-я Международная научно-практическая конференция 109

При малом времени карбидизации поры не успевают зарасти и адсор-бированные атомы углерода расходуются на образование слоя SiC. В экс-периментальном образце, соответствующем времени карбидизации 15 минут доля закупоренных пор на поверхности подложки увеличивает-ся, в результате чего на поверхности образуется графит. Таким образом, при времени карбидизации от 10 минут происходит графитизация поверх-ности буферного слоя из-за отсутствия источника кремния, что отрица-тельно сказывается на кристаллическом качестве растущего буферного слоя.

Рис. 1. Рамановские спектры карбидизированных образцов пористого кремния

Работа выполнена с использованием оборудования центра коллектив-ного пользования НИЯУ МИФИ "Гетероструктурная СВЧ-электроника и физика широкозонных полупроводников". Литература 1. В.П. Бондаренко, Г.Н. Троянова, В.И. Левченко, Л.И. Постнова // Пористый кремний как универсальный буферный слой в гетероэпитаксиальных структурах. БГУИР, С. 399−400. 2. О.М. Сресели, Д.Н. Горячев, В.Ю. Осипов и др. // Приготовление и исследование карби-дизированного пористого кремния. Физика и техника полупроводников. 36(5), 604–610 (2000).

Page 110: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Структурные свойства наносистем и гетероструктур

110 Мокеровские чтения. 8-я Международная научно-практическая конференция

ЛОКАЛЬНЫЙ ЛАЗЕРНЫЙ ОТЖИГ ПЛЕНКИ 3C-SiC, ОСАЖДЕННОЙ МЕТОДОМ ХПО НА КРЕМНИЕВУЮ ПОДЛОЖКУ

*А.В. Аврамчук1,2, С.А. Шосточенко1, В.Ю. Фоминский1, Р.И. Романов1, А.О. Султанов1, А.С. Гусев1, В.А. Лабунов2, И.В. Комиссаров1,2, Н.И. Каргин1

1Национальный исследовательский ядерный университет «МИФИ» Россия, 115409, г. Москва, Каширское шоссе, дом 31, e-mail: [email protected]

2Белорусский государственный университет информатики и радиоэлектроники Беларусь, 220013, г. Минск, улица П. Бровки, дом 6, e-mail: [email protected]

LOCAL LASER АNNEALING OF 3C-SiC FILM DEPOSITED ON THE SILICON

SUBSTRATE BY CVD

*A.V. Avramchuk1,2, S.A Shostachenko1, V.Yu. Fominski1, R.I. Romanov1, A.O. Sultanov1, A.S. Gusev1, V.A. Labunov2, I.V. Komissarov1,2, N.I. Kargin1

1National Research Nuclear University MEPhI Russia, 115409 Moscow, Kashirskoe shosse, 31, e-mail: [email protected]

2Belarusian State University of Informatics and Radioelectronics Belarus, 220013 Minsk, P. Brovka Street 6, e-mail: [email protected]

Epitaxial graphene films grown on silicon carbide (SiC) substrate by solid-state

graphitization represent greatest interest for electronics. In this work, we try to suite an approach, which concerns of epitaxial graphene growth by laser irradiation of 3C-SiC (111) 300 nm film deposited on silicon substrate (111) by chemical vapor deposition method. Laser treatment was performed by pulsed 266 nm laser with 20 Hz repetition rate and 15 ns pulse duration, the fluency was varied in the 290 to 520 mJ/cm2 range. Raman spectroscopy studies show that at some conditions D and G bands start to be observable in the spectra, this fact we relay to the formation of graphitic layer on the sample surface. Morphology studies performed by AFM demonstrate the reduction of RMS roughness from ~40 nm to ~28 nm, for untreated and treated surface respectively.

Гетероэпитаксиальные слои 3C-SiC осажденные на кремнии могут

выступать как альтернатива использованию дорогостоящих монокристал-лических платин карбида кремния политипов 4Н и 6Н для эпитаксиально-го синтеза графена. Однако, диапазон температур, в котором может быть осуществлен эпитаксильный рост графена, в этой системе ограничен тем-пературой плавления кремния. Одним из путей решения этой проблемы может быть использование локального лазерного нагрева. В этой работе исследована структура и морфология образцов пленки 3C-SiC/Si(111) подвергавшихся импульсному лазерному облучению. Пленка карбида кремния толщиной 300 нм была нанесена методом CVD, соотношение интенсивностей рефлексов 3С-SiC (111) и 3С-SiC (220) на рентгеновской дифрактограмме (рис.1а) указывает на то, что пленка является гетероэпи-таксиальной с небольшими поликристаллическими включениями. Ло-

Page 111: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Структурные свойства наносистем и гетероструктур

Мокеровские чтения. 8-я Международная научно-практическая конференция 111

кальный отжиг проводился лазером с длинной волны 266 нм, частотой повторения импульсов 20 Гц и длительностью 15 нс, плотность энергии варьировалась от 290 до 520 мДж/см2. На рис. 1b приведены спектры ком-бинационного рассеяния света (КРС) исходной пленки и областей пленки, подвергшихся отжигу. Видно, что при определенных условиях отжига (спектры 2 и 4) наблюдается появление особенностей на спектре КРС (по-лосы D и G), которые мы связываем с образованием графитового слоя на поверхности образца. Морфология поверхности карбида кремния до и после лазерного отжига исследовалась методом атомно-силовой микро-скопии. На рис. 2а представлена морфология поверхности исходного об-разца, на рис. 2b - морфология поверхности после 6000 импульсов лазера с плотностью энергии 290 мДж/см2. Наблюдается увеличение размера зерен карбида кремния (рис. 2b), в следствии чего уменьшается значение среднеквадратичной шероховатости (28.09 нм) отожженной области по-верхности, по сравнению с исходной поверхностью (39.89 нм).

Рис. 1. a) рентгеновский спектр образца 3С-SiC/Si, b) спектры комбинационного рассеяния

света (длина волны возбуждения 473 нм) исследуемых образцов, где F- плотность энергии и NP- количество импульсов

Рис. 2. Морфология поверхно-сти образца: a) до воздействия

лазерного излучения, b) подвергавшегося лазерному облучению с плотностью энергии 290 мДж/cм2

Page 112: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Структурные свойства наносистем и гетероструктур

112 Мокеровские чтения. 8-я Международная научно-практическая конференция

ИССЛЕДОВАНИЯ СОВЕРШЕНСТВА И СВОЙСТВ ИЗОПАРАМЕТРИЧЕСКИХ ГЕТЕРОСТРУКТУР InAlGaPAs/GaAs

*М.Л. Лунина1, Д.Л. Алфимова1, А.Е. Казакова2

1Южный научный центр РАН 1Россия, 344006 Ростов-на-Дону, ул. Чехова 41, e-mail: [email protected]

2Южно-Российский государственный политехнический университет (НПИ) имени М.И. Платова, 346428 Новочеркасск, ул. Просвещения 132,

e-mail: [email protected]

RESEARCH PERFECTION AND PROPERTIES ISOPARAMETRICAL HETEROSTRUCTURES InAlGaPAs/GaAs

* M.L. Lunina1, D.L. Alfimova1, A.E. Kazakova 2

1 Southern Scientific Center Russian Academy of Sciences, Chekhova str. 41 Russia, 3464006, Rostov-on-Don, e-mail: [email protected] 2 Platov South-Russian State Polytechnic University (NPI) Russia, 346428, Prosveshcheniya str., 132, Novocherkassk,

e-mail: [email protected]

The morphology of the surface of the isoparametric InAlGaPAs / GaAs heterostruc-tures obtained in the temperature gradient field was studied using microscopes Solver HV and Quanta 200. The structural perfection of isoparametric InAlGaPAs / GaAs het-erostructures was studied by the X-ray diffraction detection method. The mismatched between the lattice parameters of the GaAs substrate and the InAlGaPAs layer was de-termined, and the half-widths of the diffraction reflection curves (DRC) from the InAl-GaPAs epitaxial layer and the GaAs substrate were analyzed. The DRC of X-ray radia-tion was photographed on a high-resolution X-ray diffractometer TRS-1.

Гетероструктуры InAlGaPAs/GaAs были получены методом зонной

перекристаллизации градиентом температуры (ЗПГТ) [1]. Подложечным материалом служили монокристаллические пластины GaAs, как не леги-рованные (АГЧ), так и легированные Si до концентрации n=(1÷5)·1018см-3 (n-типа) и Zn (p-типа) до концентрации (1÷8)·1018см-3. Метод ЗПГТ эко-номичен, это обусловлено тем, что он не требует большого количества исходных материалов за счет небольших толщин зон и неоднократного использования расплава [1]. В методе ЗПГТ путем программного измене-ния параметров процесса можно управлять свойствами и структурным совершенством изопараметрических гетероструктур [2, 3].

Целью настоящей работы является исследование совершенства и свойств изопараметрических гетероструктур InAlGaPAs/GaAs.

Исследование морфологии поверхности выращенных в поле темпера-турного градиента гетероструктур InAlGaPAs/GaAs проводили на атомно-силовом микроскопе Solver HV и сканирующем микроскопе Quanta 200.

Page 113: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Структурные свойства наносистем и гетероструктур

Мокеровские чтения. 8-я Международная научно-практическая конференция 113

Методом регистрации рентгеновской дифракции осуществлялось опреде-ление несоответствия параметров решеток подложки и слоя, а также оценка кристаллического совершенства гетероструктур. Съемка кривых дифракционного отражения (КДО) рентгеновского излучения проводи-лась на высоко-разрешающем рентгеновском дифрактометре ТРС-1. По-мимо измерения Δа, определяли и анализировали полуширины КДО от эпитаксиального слоя и подложки. Также учитывалась форма дифракци-онных кривых и наличие или отсутствие интерференционных максиму-мов, несущих информацию о качестве поверхности слоя и планарности границы раздела подложка/слой.

При выращивании твердого раствора InxAlyGa1-x-yPzAs1-z с подпиткой из поли-кристалла - источника InAlPAs слои од-нородны по составу, за исключением пе-реходной области (рис.1 кривые 1−4-Al, 5-As) вблизи старта зоны. Можно подо-брать такую начальную добавку компо-нента в жидкой фазе, при которой пере-ходная область практически отсутствует. При этом начальное значение концентра-ции рассматриваемого компонента в зоне Сf° должно удовлетворять условию

°C=C K uf0 ° . Рассматриваемый случай

иллюстрирует пунктирная прямая на рис. 1. В дальнейшем состав слоя твердо-го раствора практически повторяет состав источника.

Работа выполнена при финансовой поддержке Российского фонда фундаментальных исследований (грант 17-08-01206 А), а также в рамках госзадания 16.4757.2017/БЧ. Литература 1. В.Н. Лозовский, Л.С. Лунин, В.П. Попов. Зонная перекристаллизация градиентом темпе-ратуры полупроводниковых материалов. (М., Изд-во Металлургия, 1987) с. 232. 2. Л.С. Лунин, А.В. Благин, Д.Л. Алфимова. Физика градиентной эпитаксии многокомпо-нентных полупроводниковых гетероструктур. (Ростов-на-Дону, Изд-во СКНЦ ВШ, 2008) с. 212. 3. Л.С. Лунин, Сысоев И.А. Техника градиентной эпитаксии полупроводниковых гетеро-структур электронной техники. (Ростов-на-Дону, Изд-во СКНЦ ВШ, 2008) с. 160.

Рис. 1. Распределение компонентов в пятикомпонентном твердом

растворе InxAlyGa1-x-yPzAs1-z /GaAs: 1-4- рас-пределение Al при подпитке из

поликристалла Al0.24Ga0.76As, 5-As, 6-P, кривая 6 без подпитки

Page 114: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Структурные свойства наносистем и гетероструктур

114 Мокеровские чтения. 8-я Международная научно-практическая конференция

ВЛИЯНИЕ Bi НА СТРУКТУРНЫЕ И ОПТИЧЕСКИЕ СВОЙСТВА ГЕТЕРОСТРУКТУР InAs/GaAs

А.С. Пащенко

Южный научный центр Российской академии наук, Россия, 344006, г. Ростов-на-Дону, ул. Чехова, 41, e-mail: [email protected]

THE INFLUENCE OF Bi ON THE STRUCTURAL

AND OPTICAL PROPERTIES OF HETEROSTRUCTURES InAs/GaAs

A.S. Pashchenko

Southern Scientific Center of Russian Academy of Sciences, Russia, 344006, Rostov-on-Don, Chekhova str., 41, e-mail: [email protected]

The heterostructures InAs/GaAsBi were growth by ion beam deposition. The influ-

ence of Bi on the structural and optical properties of InAs/GaAs heterostructures with quantum dots was investigate. The raman spectroscopy found that the increase in the fraction of xBi in the GaAs matrix surrounding the quantum dot InAs, accompanied by a Raman shift of GaAs and GaBi-like peaks in the region of smaller values of wave num-ber 250-150 cm-1. The photoluminescence study showed that the introduction of Bi into the GaAs matrix causes red shift of the peak emission of quantum dots InAs and reduces the full width at half of maximum radiation. The results of photoluminescence and Ra-man spectroscopy are in good agreement.

Локализация фотогенерированных носителей заряда в квантовой точ-

ке (КТ) по трем направлениям способствует уменьшению термогенерации и приводит к снижению темнового тока в фотодетекторах [1]. Гетеропара InAs/GaAs для создания таких устройств является идеальной, но имеет ограничения для ряда функциональных применений, например, варьиро-вания спектра поглощения фотодетекторов ИК излучения. Введение Bi в кристаллическую решетку GaAs вызывает в ней деформации (из-за боль-ших размеров атомов висмута) и изменяет величину рассогласования па-раметра решетки при росте КТ InAs. Целью данной работы является изу-чение влияния висмута на структурные и оптические свойства гетеро-структур InAs/GaAs.

Выращивание гетероструктур осуществлялось одним из методов фи-зического распыления – ионно-лучевым осаждением [2, 3]. Покрытие КТ InAs барьерным слоем GaAs1-xBix проводилось при температуре 360 °С для устранения эффекта десорбции Bi с поверхности роста.

На рис. 1 приведены результаты исследования гетероинтерфейсов InAs/GaAs и InAs/GaAs1-xBix методом рамановской спектроскопии. Пока-зано, что кроме разрешенных мод наблюдаются и запрещенные попереч-ные моды (TO) для объемных GaAs (268 cm-1) и GaBi (182 cm-1) подобных

Page 115: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Структурные свойства наносистем и гетероструктур

Мокеровские чтения. 8-я Международная научно-практическая конференция 115

оптических фононов. Графики рис. 1 показывают, что в диапазоне 150÷250 cm-1 на спектрах гетероструктур InAs/GaAs1-xBix проявляются эффекты, не обнаруживаемые в спектре рамановского смещения InAs/GaAs.

Результаты исследования оптических свойств гетероструктур InAs/GaAs и InAs/GaAs1-xBix приведены на рис. 2. Из спектров фотолюми-несценции видно, что введение в матрицу GaAs висмута с фракцией x=1÷5 ат.% приводит к красному смещению пиков излучения КТ InAs, что обусловлено действием напряжений растяжения на гетерогранице InAs/GaAs1-xBix (рис. 1).

Рис. 1. Спектры рамановских смещений

для гетероструктур InAs/GaAs и InAs/GaAs1-xBix

Рис. 2. Спектры фотолюминесценции гете-роструктур InAs/GaAs с различным содер-

жанием Bi в окружающей матрице

В заключении отметим, что методом ионно-лучевого осажде-ния вы-ращены гетероструктуры InAs/GaAsBi. Полученные результаты показы-вают возможность использовать гетероинтерфейс InAs/GaAs1-xBix в разра-ботке многоцветных фотодетекторов.

Работа выполнена при финансовой поддержке РФФИ в рамках науч-ных проектов 16-38-60127 мол_а_дк, 16-08-01052, 15-08-08263. Литература 1. V. Ryzhii. J. Appl. Phys. 89(9). 5117-5124 (2001). 2. S.N. Chebotarev, A.S. Pashchenko, A. Williamson, L.S. Lunin, V.A. Irkha, V.A. Gamidov. Techn. Phys. Lett. 41(7). 661–664 (2015). 3. S.N. Chebotarev, A.S. Pashchenko, V.A. Irkha, M.L. Lunina. J. Nanotechn., 2016, 5340218 2016.

Page 116: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Структурные свойства наносистем и гетероструктур

116 Мокеровские чтения. 8-я Международная научно-практическая конференция

НАНОРАЗМЕРНЫЕ СВОЙСТВА ХИМИЧЕСКОГО ОКИСЛА p+-GaAs100

*Н.А. Торхов1,2, Е.В. Никульникова1, И.Д. Филимонова1, А.В. Новиков1,2

1Научно-исследовательский институт полупроводниковых приборов Россия, 634034, Томск, ул. Красноармейская 99А, e-mail: [email protected]

2ФГАОУ ВО «Национальный исследовательский Томский государственный университет» Россия, 634050, Томск, пр. Ленина, 36

NANOSCALE PROPERTIES OF p+-GaAs[100] CHEMICAL OXIDE

*N.A. Torkhov1,2, E.V. Nikulnikova1, I.D. Filimonova1, A.V. Novikov1,2

1Scientific-Research Institute of Semiconductors

Russia, 634034, Tomsk, Krasnoarmeiskaya Str. 99A, e-mail: [email protected] 2Tomsk State University, Russia, 634050, Тomsk, Lenina Av. 36

The relative differences in morphological, electrophysical, mechanical, and

chemical properties of chemical oxide nanofilms are associated with the fractal geometry of the original GaAs surfaces electrostatic potential lateral inhomo-geneities, determined in a local limit.

Нанопленки химического окисла получали «быстрым» (~20 нм/мин.) способом окисления поверхности (ХОП) p+-GaAs100 (рис. 1, а) в кипя-щем растворе иодноватокислого калия [1]. Значения фрактальных размер-ностей Df (размерностей подобия DS) исследуемых поверхностей опреде-лялись методом трассировки неоднородностей рельефа путем подсчета количества N полученных непересекающихся контуров заполнения при изменении линейного масштаба в k раз.

)ln()ln( kNDS = и Sf DD += 1 (1)

Анализ геометрии поверхностей показал, что относительные отличия морфологии, электрофизических и химических свойств ХОП (рис. 1, в) во многом определяются геометрией латеральных неоднородностей электро-статического потенциала исходной поверхности p+-GaAs100 (рис. 1, б), на что указывает корреляция значений их DS (таб. 1). При этом и опреде-ленные наноиндентированием механические свойства окисных пленок (твердость H, упругость E и текучесть C) также во многом связаны с их геометрией дробной размерности, на что указывают эксперименты по от-жигу ХОП и корреляции значений DS в этих случаях (рис. 2 и табл. 2).

Т.о. можно предположить, что относительные различия в морфоло-гии, электрофизических, механических и химических свойствах нанопле-нок химического окисла связаны с фрактальной геометрией латеральных неоднородностей электростатического потенциала исходных арсенид-галлиевых p+-GaAs100 поверхностей.

Page 117: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Структурные свойства наносистем и гетероструктур

Мокеровские чтения. 8-я Международная научно-практическая конференция 117

а б в

Рис. 1. АСМ-изображения 10×10 мкм участков поверхности p+-GaAs100 – а); его КРП Δϕ(x,y) – б) и химического окисла толщиной 120 нм – в)

Таблица 1. Значения размерности подобия DS для 10×10 мкм участков поверхностей

Вид поверхности p+-GaAs100 (рис. 1, а) КРП Δϕ, эВ (рис. 1, б)

ХОП (рис. 1, в)

Размерность DS 1.40±0.05 1.65±0.05 1.65±0.05

а б в

Рис. 2. АСМ-изображения 10×10 мкм участков поверхности химического окисла толщиной 150 нм отожженного в атмосфере азота при температурах: 350 °С – а);

360 °С – б) 370 °С – в)

Таблица 2. Значения геометрических DS и механических (H, E и C) параметров химического окисла толщиной 150 нм в зависимости от температуры отжига в азоте

Температура отжига T, °C 350 (рис. 2, а) 360 (рис. 2, б) 370 (рис. 2, в)

Размерность подобия DS 1.64±0.05 1.47±0.05 1.65±0.05

Твердость H, МПа 7977 12106 9506

Упругость E, ГПа 122 118 115

Текучесть C, % 1.42 0.45 1.25

Литература 1. Л.Н. Возмилова, Э.В. Буц. Способ получения окисного покрытия на полупроводниковых материалах типа A3B5. Авторское свидетельство 980565, СССР (1983).

Page 118: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Структурные свойства наносистем и гетероструктур

118 Мокеровские чтения. 8-я Международная научно-практическая конференция

СИНТЕЗ, СТРУКТУРА И СВОЙСТВА СВЕРХТОНКИХ КВАЗИДВУМЕРНЫХ НАНОПЛАСТИНОК CdSe И ГЕТЕРОСТРУКТУР НА ИХ ОСНОВЕ

*Р.Б. Васильев, Н.Н. Шлёнская, Д.А. Карлова, А.В. Гаршев, В.Ф. Козловский,

А.И. Лебедев, И.А. Случинская

1Московский государственный университет им. М.В. Ломоносова Россия, 119991, г. Москва, Ленинские горы, д. 1, e-mail: [email protected]

SYNTHESIS, STRUCTURE AND PROPERTIES OF ULTRATHIN

QUASI-TWO-DIMENSIONAL CdSe NANOPLATELETS AND HETEROJUNCTIONS BASED ON THEM

*R.B. Vasiliev, N.N. Shlenskaya, D.A. Karlova, A.V. Garshev, V.F. Kozlovskii,

A.I. Lebedev, I.A. Sluchinskaya

Lomonosov Moscow State University Russia, 119991 Moscow, Leninskie gory 1, e-mail: [email protected]

Quasi-two-dimensional nanoplatelets of cadmium chalcogenides have recently at-

tracted much attention due to the record narrow optical absorption and luminescence bands, which make them promising for creation of various optoelectronic devices. In this work, the structure and properties of ultrathin (4–5 ML) quasi-2D CdSe nanoplate-lets and of CdSe/ZnS and CdSe/CdS heterostructures – CdSe nanoplatelets covered with ultrathin (1–3 ML) layers of a wide-gap semiconductor – are presented.

Квазидвумерные нанопластинки халькогенидов кадмия в последнее время вызывают большой интерес благодаря рекордно узким полосам оптического поглощения и люминесценции, делающих их перспективны-ми для создания различных оптоэлектронных устройств [1]. В настоящей работе представлены результаты исследований структуры и свойств сверхтонких (4÷5 монослоев) квазидвумерных нанопластинок CdSe и описаны некоторые свойства гетероструктур CdSe/ZnS и CdSe/CdS – нанопластинок CdSe, покрытых сверхтонкими слоями (1÷3 монослоя) широкозонного полупроводника.

Нанопластинки получали коллоидным методом в октадецене в инерт-ной атмосфере с использованием ацетата кадмия при температурах 130÷230 °С [2]. Коллоидные гетероструктуры CdSe/ZnS и CdSe/CdS на основе квазидвумерных нанопластинок CdSe получали методом химиче-ского низкотемпературного послойного осаждения материала оболочки в полярной фазе.

Квазидвумерная морфология нанопластинок была подтверждена ме-тодом просвечивающей электронной микроскопии. Наночастицы имели прямоугольную форму с латеральными размерами 100÷200 нм и были

Page 119: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Структурные свойства наносистем и гетероструктур

Мокеровские чтения. 8-я Международная научно-практическая конференция 119

свернуты в рулоны диаметром 30÷40 нм. По данным рентгеновской ди-фракции наночастицы имели структуру сфалерита, причем ширина ре-флексов, отвечающих плоскостям, лежащим в плоскости слоев и под уг-лом к ним, была различной. Электронная дифракция на отдельных нано-частицах представляет собой набор точечных рефлексов, соответствую-щих решетке сфалерита в ориентации [001].

В спектрах поглощения наночастиц наблюдаются узкие полосы, отве-чающие переходам между подзонами легких и тяжелых дырок и зоной проводимости, а также серия экситонных переходов в ультрафиолетовой части спектра. Положение нижней по энергии экситонной полосы испы-тывает сильный размерный эффект и хорошо описывается в рамках мно-гозонной модели. Показано, что рост первого и последующих монослоев оболочки CdS приводит к сдвигу полос экситонного поглощения в крас-ную область (с 2.85 до 2.15 эВ с увеличением числа монослоев CdS до 3 ML) с одновременным увеличением квантового выхода экситонной лю-минесценции.

Исследование нанопластинок методом EXAFS-спектроскопии на ис-точнике синхротронного излучения BESSY показало, что расстояния Se-Cd и Se-Se и соответствующие факторы Дебая-Уоллера хорошо согласу-ются с данными для объемного CdSe, что свидетельствует о высоком структурном совершенстве нанопластинок. Измерения также позволили определить положение атомов кислорода карбоксильных групп в слое лигандов на поверхности наночастиц. Судя по спектрам EXAFS, широ-козонные слои в гетероструктурах также имеют достаточно высокое каче-ство.

Расчеты электронной структуры нанопластинок CdSe различной тол-щины из первых принципов показывают, что все они являются прямозон-ными полупроводниками и проявляют выраженный размерный эффект. Моделирование геометрии нанопластинок предсказывает межатомные расстояния в структурах, которые хорошо согласуются с найденными в эксперименте.

Работа выполнена при поддержке грантов РФФИ 16-03-00704 и 16-29-11694. Литература 1. A.G. Vitukhnovsky, V.S. Lebedev, A.S. Selyukov, A.A. Vashchenko, R.B. Vasiliev, M.S. Sokolikova, Chem. Phys. Lett., 619, 185 (2015). 2. N. N. Shlenskaya, Y. Yao, M. Takaaki, T. Kuroda, A.V. Garshev, V.F. Kozlovskii, A.M. Gaskov, R.B. Vasiliev, K. Sakoda. Chem. Mater., 29, 579 (2017).

Page 120: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Структурные свойства наносистем и гетероструктур

120 Мокеровские чтения. 8-я Международная научно-практическая конференция

ЭЛЕКТРОФИЗИЧЕСКИЕ И СТРУКТУРНЫЕ СВОЙСТВА СВЕРХРЕШЕТОК LT-GaAs/GaAs:Si, ПОЛУЧЕННЫХ МЕТОДОМ МЛЭ НА ПОДЛОЖКАХ GaAs,

С ОРИЕНТАЦИЕЙ ПОВЕРХНОСТИ (100) И (111)А ПРИ НИЗКИХ ТЕМПЕРАТУРАХ

Г.Б. Галиев1, *Е.А. Климов1, А.Н. Клочков1, С.С. Пушкарев1, И.С. Васильевский2, М.М. Грехов2

1Институт сверхвысокочастотной полупроводниковой электроники РАН, Россия, 117105, г. Москва, Нагорный проезд, д. 7, стр. 5, e-mail: [email protected]

2Национальный исследовательский ядерный университет «МИФИ», Россия, 115409, г. Москва, Каширское шоссе, д. 31, e-mail: [email protected]

ELECTROPHYSICAL AND STRUCTURAL PROPERTIES OF LT-GaAs/GaAs:Si

SUPERLATTICES OBTAINED BY MBE ON GaAs SUBSTRATES WITH (100) AND (111) A SURFACE ORIENTATIONS AT LOW TEMPERATURES

G.B. Galiev1, *E.A. Klimov1, A.N. Klochkov1, S.S. Pushkarev1, I.S. Vasil’evskii2, M.M. Grekhov2

1Institute of Ultra High Frequency Semiconductor Electronics of RAS Russia, 117105, Moscow, Nagornyi proezd 7, 5, e-mail: [email protected]

2National research nuclear university «MEPhI», Russia, 115409 Moscow, Kashirskoe shosse 31, e-mail: [email protected]

The results of a comprehensive study of the electrophysical and structural charac-

teristics of LT-GaAs low-temperature epitaxial structures of a new type for THz applica-tions are presented. The structures were grown by MBE on GaAs substrates with (100) and (111) A orientations at a fixed arsenic pressure. The structures are a superlattice LT-GaAs/GaAs:Si with intermittently alternating low-temperature undoped LT-GaAs layers and silicon-doped GaAs: Si layers grown under standard conditions. The use of GaAs substrates with the orientation (111) A for silicon doping leads to the formation of p-type conductivity.

Полупроводниковые структуры на основе соединений AIIIBV, выра-

щенные методом МЛЭ в низкотемпературном (LT) режиме, широко ис-пользуются в ТГц-применениях [1, 2]. LT-GaAs обладает ультракоротким временем жизни фотовозбужденных носителей и большим удельным со-противлением. Свойства LT-GaAs структур обусловлены разнообразием собственных дефектов, основным из которых является атом As в узле Ga (AsGa). Именно этот тип дефектов главным образом отвечает за захват фотовозбужденных носителей заряда и уменьшение их времени жизни. Для ионизации глубоких доноров AsGa в решетку LT-GaAs внедряют ак-цепторные атомы. Для этого при создании фотопроводящих антенн ис-пользуются LT-GaAs структуры, легированные бериллием, но в силу вы-сокой токсичности использование его в установках МЛЭ не всегда целе-сообразно.

Page 121: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Структурные свойства наносистем и гетероструктур

Мокеровские чтения. 8-я Международная научно-практическая конференция 121

В работе представлены результаты исследования новых типов струк-тур на основе LT-GaAs, обладающих р-типом проводимости при легиро-вании кремнием. Последнее достигается путем использования подложек GaAs с ориентацией (111)А, где сильно проявляются амфотерные свой-ства Si. Предложенная структура представляет собой сверхрешетку (СР) LT-GaAs/GaAs:Si, где слой LT-GaAs выращивается при низких темпе-ратурах роста, а легированный атомами кремния слой GaAs: Si – при вы-соких температурах (470 °С).

Образцы выращены методом МЛЭ на полуизолирующих подложках GaAs с ориентацией (100) и (111)А при фиксированном значении γ = 29. В структурах изменялись толщины и период СР, а также температура роста (Tg) LT-GaAs слоев. Образцы на подложках GaAs (100) являлись опорны-ми при сравнении типа проводимости. Технологические условия роста и параметры структур представлены в табл. 1.

Таблица 1. Технологические условия роста и параметры структур

обр. периодов СР d LT-GaAs, Å d GaAs:Si, Å Тg LT-GaAs, °C

6V 8 1150 100 240

7V 4 2300 200 240

9V 4 1650 850 350

10V 4 1650 850 300

Электрофизические характеристики были определены с помощью из-мерений удельного сопротивления и эффекта Холла при температуре 300 К. Все структуры, выращенные на подложках GaAs с ориентацией (111)А имеют р-тип проводимости, а структуры на GaAs (100) – n-тип. Показано, что с уменьшением Тg LT-GaAs слоя подвижность и слоевая концентра-ция носителей носит монотонно-убывающий характер.

Исследования кристаллической структуры образцов проводились ме-тодами атомно-силовой микроскопии (АСМ) и рентгеновской дифракто-метрии. Исследования поверхности структур методом АСМ показали, что морфология поверхности сильно зависит от ориентации подложек, а так-же от Тg LT-GaAs слоя. Так, поверхность образцов, выращенных на под-ложках GaAs (111)A, более зернистая, а среднеквадратичная шерохова-тость Rq для таких образцов всегда больше, чем для образцов, выращен-ных на подложках GaAs (100).

Работа поддержана грантом РФФИ 16-29-03294 офи-м.

Литература 1. A. Krotkus. J. Phys. D: Appl. Phys. 43, 273001 (2010). 2. I. Kostakis, M. Missous. AIP Advances 3, 092131 (2013).

Page 122: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Структурные свойства наносистем и гетероструктур

122 Мокеровские чтения. 8-я Международная научно-практическая конференция

ИССЛЕДОВАНИЕ ЗАВИСИМОСТИ ФОТОЛЮМИНЕСЦЕНЦИИ ЭПИТАКСИАЛЬНЫХ ПЛЁНОК GaAs:Si И In0.5Ga0.5As:Si НА ПОДЛОЖКАХ GaAs

(100) И (111)А ОТ ТЕМПЕРАТУРЫ РОСТА

Г.Б. Галиев1, Е.А. Климов1, *С.С. Пушкарёв1, А.Н. Клочков1, В.Б. Копылов1, А.Н. Виниченко2

1 Институт сверхвысокочастотной полупроводниковой электроники РАН

Россия, 117105, г. Москва, Нагорный проезд, дом 7, стр. 5, e-mail: [email protected] 2 Национальный исследовательский ядерный университет «МИФИ»

Россия, 115409, г. Москва, Каширское шоссе, дом 31

PHOTOLUMINESCENCE OF EPITAXIAL FILMS GaAs:Si AND In0.5Ga0.5As:Si GROWN ON GaAs (100) AND (111)А SUBSTRATES AT VARIOUS GROWTH TEMPERATURE

G.B. Galiev1, E.A. Klimov1, *S.S. Pushkarev1, A.N. Klochkov1,

V.B. Kopylov1, A.N. Vinichenko2

1 Institute of ultrahigh frequency semiconductor electronics of RAS

Russia, 117105 Moscow, Nagornyi proezd, 7/5, e-mail: [email protected] 2 National research nuclear university «MEPhI» Russia, 115409 Moscow, Kashirskoe shosse, 31

Epitaxial films GaAs:Si grown on GaAs (100) substrates at γ ~ 28 and growth tem-

perature 350÷510 °C have n-type conductivity, the same films grown on (111)A sub-strates have p-type conductivity. Epitaxial films In0.5Ga0.5As:Si grown on GaAs (100) and (111)A substrates with metamorphic buffer at γ ~ 29 and growth temperature 200 and 450 °C have n-type conductivity even without doping. The photoluminescence in-tensity decreases when growth temperature decrease. The Si-doping of In0.5Ga0.5As films leads to enhanced photoluminescence intensity.

В работе проведены исследования электрофизических параметров

(тип, концентрация и подвижность носителей заряда) и спектров низко-температурной (Т = 77 К) фотолюминесценции (ФЛ) однородно легиро-ванных атомами Si плёнок GaAs и In0.5Ga0.5As толщиной 0.86 мкм, выра-щенных методом молекулярно-лучевой эпитаксии на подложках GaAs (111)А при разных температурах роста. Результаты исследований пред-ставлены в сравнении с результатами для таких же плёнок на традицион-ных подложках GaAs (100). Соотношение потоков As4 и Ga γ ~ 28÷29 вы-биралось из соображения получения получения р-типа проводимости на GaAs (111)A при стандартных температурах роста. Плёнки In0.5Ga0.5As росли на метаморфном буфере InxGa1–xAs.

Выявлено, что при таких условиях эпитаксиальные плёнки GaAs:Si на подложках GaAs (100) проявляют n-тип проводимости, а в случае исполь-зования подложек GaAs (111)A – р-тип проводимости [1]. При уменьше-

Page 123: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Структурные свойства наносистем и гетероструктур

Мокеровские чтения. 8-я Международная научно-практическая конференция 123

нии Tg от 510 до 350 °С концентрация электронов в образцах на подлож-ках (100) плавно уменьшается от 4·1018 до 1·1016 см–3; в то же время в слу-чае подложек (111)А концентрация дырок скачкообразно уменьшается от 1·1018 до 4·1013см–3 при Tg = 410 °С и ниже. Все плёнки In0.5Ga0.5As прояв-ляют n-тип проводимости, в том числе в отсутствие легирования. С пони-жением Tg от 450 до 200 °С концентрация электронов в плёнках In0.5Ga0.5As немного увеличивается.

На рисунке 1 представлены графики зависимости интегральной ин-тенсивности ФЛ плёнок GaAs:Si в диапазоне энергий фотонов 1.2÷1.8 эВ от температуры роста. Для образцов, выращенных при высоких темпера-турах (430÷510 °С), отметим следующую закономерность: образцы, вы-ращенные на подложках GaAs (111)A, люминесцируют ярче, чем образцы на подложках GaAs (100). При низких температурах роста (350÷410 °С) наблюдается обратная ситуация: теперь ярче люминесцируют образцы,

выращенные на подложках GaAs (100). При Tg 430 и 410 °С для образцов на под-ложках GaAs (100) и (111)A, соответственно, кроме рез-кого уменьшения интеграль-ной интенсивности ФЛ про-исходит кардинальное изме-нение формы спектра ФЛ: исчезают заметные пики и спектр из отчётливого стано-вится относительно плоским. Мы полагаем, что это вызва-но увеличением роли безыз-лучательной рекомбинации фотовозбуждённых электро-

нов и дырок из-за возрастания концентрации точечных дефектов AsGa (атом As в узле Ga) при понижении температуры роста. Плёнки In0.5Ga0.5As также демонстрируют уменьшение интенсивности ФЛ при понижении Tg; кроме того, легированные плёнки люминесцируют гораздо интенсивнее.

Работа поддержана стипендией Президента РФ (СП-686.2016.3). Литература 1. F. Piazza, L. Pavesi, M. Henini, D. Johnston. Semicond. Sci. Technol. 7(12), 1504 (1992).

Рис. 1. График зависимости интегральной интен-сивности ФЛ плёнок GaAs:Si от их температуры

роста

Page 124: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Структурные свойства наносистем и гетероструктур

124 Мокеровские чтения. 8-я Международная научно-практическая конференция

КРИСТАЛЛОГРАФИЧЕСКАЯ СТРУКТУРА ПОЛУИЗОЛИРУЮЩЕГО ЛЕГИРОВАННОГО УГЛЕРОДОМ БУФЕРНОГО СЛОЯ GaN

ГЕТЕРОСТРУКТУР AlGaN/GaN/Al2O3

А.В. Лютцау, *К.Л. Енишерлова, Ю.В. Колковский, Э.М. Темпер

АО «НПП «Пульсар» Россия, 105187, Москва, Окружной проезд, дом 27, e-mail: [email protected]

THECRYSTAL STRUCTURE OF GARBON-DOPED SEMIINSULATING BUFFER

LAYER GaN AlGaN/GaN/Al2O3 HETEROSTRUCTURES

A.V. Lutzau,*K.L. Enisherlova, Yu.V. Kolkovsky, E.M. Temper

J&C «S&PE «Pulsar», Russia,105187, Moscow, Okruzhnoy proezd, 27, e-mail: [email protected]

Using the method of asymmetric schemes in single-crystal x-ray diffraction on an

XMD-300 diffractometer, the crystal structure of the doped with C GaN buffer layer in AlGaN/GaN/Al2O3heterostructures was investigated. Comparison of the diffractograms from the (10-13) plane of structures doped and undopedcarbon GaN showed that the carbon doping in buffer layer can improve of its crystal structure.

При формировании гетероструктур AlGaN/GaN/Al2O3 для HEMT-

транзисторов одной из ключевых задач является получение высокоомных буферных слоев. В настоящее время одним из наиболее используемым способом является легирование GaN углеродом (С). Однако, введение С может приводить к изменению кристаллической структуры слоя GaN.

Методом асимметричных съёмок в однокристальной рентгеновской дифрактометрии на дифрактометре XMD-300 [1] проведены исследования кристаллической структуры буферного слоя GaN толщиной 2 мкм, легированного С с концентрацией 5·1018 см-3 в гетероструктуре i-GaN/i-AlGaN/i-GaN/i-GaN(C)/α-Al2O3.

По большинству литературных данных С занимает в кристаллической решётке вюрцита (GaN) тетрапоры как внедрением, так и замещением в них атомов азота. Кристаллографическая плоскость (10-13) проходит непосредственно через расположенный в тетрапоре атом С. Так как согласование углов встречи и углов дифракции Ө позволяет провести съемку отражения от этих плоскостей по рентгеновской схеме на скользящем дифрагированном пучке, оценка изменения кристаллической структуры GaN, связанного с легированием углеродом, проводилась по картине отражения именно от этих кристаллографических плоскостей.

На рис.1 представлены дифрактограммы двух гетероструктур с буферными слоями нитрида галлия, легированного С (а) и не

Page 125: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Структурные свойства наносистем и гетероструктур

Мокеровские чтения. 8-я Международная научно-практическая конференция 125

содержащего легирующей примеси углерода. Полученные результаты по отражению от плоскостей (10-13) свидетельствуют о положительном влиянии углерода на заполнение тетрапор в структуре вюрцита (рис. 1 а) и гомогенизации роста GaN. Наклоны плоскости дифракции приводят к прогнозируемому уменьшению интенсивности отражения в соответствии с гауссовым распределением ориентировки областей когерентного рассеяния (ОКР) (монотонное изменение интенсивности, рис.1а), характеризующее отражение от одного домена буферного слоя, на что указывает неизменность углового положения центра тяжести интер-ференционного максимума при наклонах плоскости дифракции. В то время как отсутствие углерода в GaN, как правило, приводит к образованию двухдоменной структуры с чётко выраженными раз-делёнными ОКР, при этом структура каждого из них также достаточно совершенна и сохраняется при наклонах плоскости дифракции (рис.1 б).

а) б) Рис. 1. Дифратограмма GaN, легированного углеродом (а) и нелегированного GaN(б)

Таким образом, полученные при асимметричной съёмке эксперимен-

тальные результаты позволили показать, что введение углерода в GaN при выборе определённой последовательности слоёв и технологического про-цесса выращивания даёт возможность сформировать буферный слой с более совершенной кристаллической структурой. Литература 1. К.Л. Енишерлова, А.В. Лютцау, Э.М. Темпер «Однокристальная рентгеновская дифракто-метрия гетероструктур», Москва, Из-во «Юлис» 2016. − 144с., илл.

Page 126: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Структурные свойства наносистем и гетероструктур

126 Мокеровские чтения. 8-я Международная научно-практическая конференция

АНИЗОТРОПИЯ РАССЕЯНИЯ ПОВЕРХНОСТНЫХ АКУСТИЧЕСКИХ ВОЛН КОГЕРЕНТНЫМИ ГРАНИЦАМИ ДВОЙНИКОВ В КРИСТАЛЛАХ CdZnTe

А.И. Шарков, *А.Ю. Клоков, В.С. Кривобок, В.А. Вершков, В.А. Цветков, Д.Ф. Аминев

1Физический институт им. П.Н. Лебедева РАН Россия, 119991, г. Москва, Ленинский проспект, дом 53, e-mail: [email protected]

ANISOTROPY OF SURFACE ACOUSTIC WAVES SCATTERING BY COHERENT

TWITTING PLANES IN THE CRYSTAL OF CdZnTe

A.I. Sharkov, *A.Yu. Klokov, V.S. Krivobok, V.A. Vershkov, V.A. Tsvetkov, D.F. Aminev

1P.N. Lebedev Physical Institute RAS Russia, 119991, Moscow, Leninskii pr. 53, e-mail: [email protected]

Surface acoustic wave (SAW) propagation patterns in crystal of CdZnTe, which

contents coherent twinning planes, were measured by means of Pump-Probe method. Rayleigh and pseudo-surface waves were detected. It was found significant SAW scat-tering by twinning plane and SAW scattering anisotropy.

Для детальных исследований протяженных дефектов в полупровод-

никах группы II-VI и гетероструктурах на их основе необходимы методы, обеспечивающие информацию, как о нарушениях кристаллической струк-туры, так и об изменениях электронной подсистемы, к которым эти нару-шения приводят. Для решения первой задачи может быть перспективным применение бесконтактных оптических методов, позволяющих по кар-тине распространения поверхностных акустических волн (ПАВ) восста-навливать кристаллографическую ориентацию и отслеживать её локаль-ные нарушения [1]. В сочетании с обычными методами оптической спек-троскопии, это позволит проводить комбинированные исследования кри-сталлической структуры, электронного спектра и динамических процес-сов в электронной подсистеме с пространственным разрешением ~1 мкм. Проведённые ранее исследования анизотропии распространения ПАВ на низко-симметричных срезах кристаллов CdZnTe [2] показали, что задача определения локальной кристаллографической ориентации может быть решена с приемлемой точностью.

Основная цель данной работы состояла в исследовании анизотропии рассеяния ПАВ границами когерентных двойников, выходящими на плос-кость среза кристалла (см. рис. 1а) методом пикосекундной акустики (pump-probe). Спектральный состав ПАВ соответствует диапазону до 2 ГГц. Как видно из рисунка одна часть поверхности образца имела ори-ентацию (111), а другая )511( .

Page 127: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Структурные свойства наносистем и гетероструктур

Мокеровские чтения. 8-я Международная научно-практическая конференция 127

На рис. 1б приведена картина ПАВ, полученная, когда возбуждающий

луч находится на области с ориентацией (111) на расстоянии 10 мкм от линии выхода границы двойника. Отчётливо видно, что фронты ПАВ тер-пят разрывы при переходе через границу. Кроме того видна отражённая от границы псевдоповерхностная волна. Амплитуда поля в области )511(

существенно меньше, чем в области (111). На Рис. 1в приведена картина ПАВ, когда возбуждающий луч находится на области с ориентацией

)511( на расстоянии 40 мкм от границы двойника. Здесь ещё более от-

чётливо видно существенное уменьшение амплитуды ПАВ при переходе через границу двойника. Видна, также, отражённая от границы рэлеевская волна. В целом картина отражённого и прошедшего полей ПАВ весьма сложная, и для надёжной интерпретации необходимо проведение модели-рования рассеяния ПАВ на плоскости двойникования. По-видимому, на границе двойника происходит интенсивная конверсия ПАВ в объёмные моды. Возможна, также конверсия в интерфейсную волну, распространя-ющуюся по плоскости двойникования. Расчёты позволяющие ответить на вопрос о существовании интерфейсной волны в данной структуре прово-дятся в настоящее время.

Обнаружено, также, волноводное распространение ПАВ на области выхода двойниковой системы (так называемого «ламеллярного двойни-ка») на плоскость среза кристалла CdZnTe. В целом, следует отметить, что двойниковая граница в кристаллах CdZnTe интенсивно рассеивает ПАВ. Литература 1. D. H. Hurley et al., Phys. Rev. B 73, 125403 (2006). 2. А.Ю. Клоков и др., Материалы XX Международного симпозиума «Нанофизика и нано-электроника». 14–18 марта 2016 г., Нижний Новгород. Том 2, стр. 610.

a) б) в)

Рис. 1. а) Структура образца; б) и в) Картины распространения ПАВ вблизи выхода границы двойника. Шкала расстояний на осях – в микронах

Page 128: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Нанометрология в электронике

128 Мокеровские чтения. 8-я Международная научно-практическая конференция

МЕТОДЫ АТОМНО-СИЛОВОЙ МИКРОСКОПИИ ДЛЯ КОНТРОЛЯ КАЧЕСТВА

p++-n –GaAs ПЕРЕХОДОВ

*Н.А. Торхов1,2, Е.В. Никульникова1, И.В. Ивонин2

1Научно-исследовательский институт полупроводниковых приборов Россия, 634034, Томск, ул. Красноармейская 99 А, e-mail: [email protected]

2ФГАОУ ВО «НИТГУ» Россия, 634050, Томск, Ленина, 36

METHODS OF ATOMIC FORCE MICROSCOPY FOR p++-n – GaAs JUNCTION QUALITY CONTROL

*N.A. Torkhov1,2, E.V. Nikulnikova1, I.V. Ivonin2

1Scientific- Research Institute of Semiconductors Russia, 634034, Tomsk, Krasnoarmeiskaya Str. 99A, e-mail: [email protected]

2Тomsk State University, Russia, 634050, Tomsk, Lenina Av. 36

The inhomogeneities of the p++-n – GaAs100 junction detected by means of the AFM method lead to local decrease of barrier height for holes and generation of addi-tional hole current paths. The inhomogeneities are formed by lateral nanodomains with increased doping concentration in p++ layer.

Однородность несимметричного p++-n-GaAs100 перехода исследова-лась методами вольт-амперных характеристик (ВАХ) [1] (рис. 1) и мето-дами атомно-силовой микроскопии (АСМ) (рис. 2) [2]. Для измерения АСМ-методом Кельвина контактной разности потенциалов поверхности (КРП) p+-слой стравливался до толщины ~20 нм (рис. 2, а).

а б

Рис. 1. а) Обратная (Ir(Ur)) и прямая ln(If(Uf)) статические ВАХ кристаллов p++-n –GaAs диодов. Участок 1 прямой ВАХ: ϕbm,1=1.11 эВ, n1=1.38, ϕb,1=1.53 эВ. Участок

2: ϕbm,2=0.96 эВ, n2=1.85, ϕb,2=1.78 эВ. При этом ϕb≈n×ϕbm [1]. Участок 3 – утечка. б) Энергетические диаграммы p++-n –перехода соответствующих участку 1

и 2 прямой ВАХ

НАНОМЕТРОЛОГИЯ В ЭЛЕКТРОНИКЕ

Page 129: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Нанометрология в электронике

Мокеровские чтения. 8-я Международная научно-практическая конференция 129

Меньшие значения КРП латеральных неоднородностей, соответствуют более глубокому залеганию уровня Ферми qVp,2>qVp,1, а их размеры варь-ируются от десятков до сотен нанометров (рис. 1, б). В результате эффек-тивные (измеряемые) высоты барьеров на этих участках меньше ϕbm,2<ϕbm,1 (рис. 1, а) и определяются положением уровня Ферми для ды-рок ϕbm~ϕbp (рис. 1, б), а истинные высоты барьеров больше ϕb,2>ϕb,1 и определяются уровнем Ферми для электронов ϕb≈ϕbn (рис. 1, б). На это указывает и то, что разность истинных высот барьеров участков 1 и 2 прямых ВАХ (рис. 1, а) практически соответствует неоднородностям ΔКРП~0.2 эВ (рис. 2, б). Это приводит к увеличению токов рекомбинации на начальном участке 2 прямых ВАХ (рис. 1, а) и увеличению токов насыщения JS2>JS2. Значение показателя идеальности n2=1.85≈2 также ука-зывает на большой вклад рекомбинационной составляющей тока на участке 2. Исключение поверхностных токов утечки (рис. 1, а, прямая ВАХ, участок 3) обычно достигается пассивацией поверхности диэлек-триком. Т.о. исключение поверхностных токов утечки и повышение однород-ности легирования p++ -слоя будет способствовать уменьшению токов насыщения в ряду JS3→JS2→JS1 и общему значительному снижению об-ратных токов (рис. 1, пунктирные кривые, точки A→B→C), повышению надежности и процента годных кристаллов.

Литература 1. В.Г. Божков, С.Е. Зайцев. Модель тесного контакта металл-полупроводник с барьером Шоттки. Изв. вузов. Физика. 10, 77-85 (2005). 2. В.Л. Миронов. Основы сканирующей зондовой микроскопии (Н. Новгород, Ин-т физики микроструктур, 2004).

а

б

Рис. 2. АСМ-изображения рельефа поверхности p+-n –GaAs100 (белые стрелки – при-мер неровностей рельефа) – a) и его КРП (черные стрелки – пример латеральных неод-

нородностей электростатического потенциала поверхности) – б)

Page 130: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Нанометрология в электронике

130 Мокеровские чтения. 8-я Международная научно-практическая конференция

ОСОБЕННОСТИ ФОРМИРОВАНИЯ СВЕРХТОНКИХ ПЛЕНКОК W2C ДЛЯ ПРОВОДЯЩИХ ЗОНДОВ АТОМНО-СИЛОВОЙ МИКРОСКОПИИ

* А.О. Зотов, И.В. Сагунова, В.И. Шевяков

Национальный исследовательский университет «МИЭТ»

Россия, 124498, г. Москва, Зеленоград, площадь Шокина, дом 1, e-mail: [email protected]

THE FORMATION OF A THIN TUNGSTEN CARBIDE FILMS FOR CONDUCTIVE PROBES ATOMIC FORCE MICROSCOPY

* A.О. Zotov, I.V. Sagunova, V.I. Shevyakov

National Research University of Electronic Technology

Russia, 124498, Moscow, Zelenograd, Shokina Square, Bld. 1, e-mail: [email protected]

Formation method of thin tungsten carbide films involves application of thin tung-sten-containing film on semiconductor substrate and carbothermic synthesis. Thin tung-sten film is applied with pulse-plasma deposition, and carbothermic synthesis is per-formed by placing semiconductor substrate with thin tungsten film on graphite table and subject to heat treatment in vacuum at pressure of not more than 5·10-4 Pa, at tempera-ture of 450 to 600 °C, at exposure time at such temperatures of not less than 40 minutes. Composition of tungsten carbide film is determined annealing temperature and is virtu-ally independent of annealing time.

Атомно – силовая микроскопия (АСМ) с проводящими механическими зондами (кантилеверами) используется для модификации свойств поверх-ности проводящего образца, в частности для осуществления нанолито-графии [1]. Одним из критических элементов АСМ является кантилевер, от характеристик которого зависит эффективность проведения нанолито-графии. Особое внимание уделяется свойствам проводящего покрытия кантилевера, таким как высокая проводимость, повышенная твердость, износостойкость, сплошность покрытия при его толщине от единиц нм, отсутствие естественного окисла на поверхности покрытия и др. Для создания эффективного проводящего кантилевера, обеспечиваю-щего повышенную разрешающую способность нанолитографии вслед-ствие малого радиуса кривизны острия кантилевера, необходимо осажде-ние сверхтонкой проводящей пленки. Одним из методов, обеспечивающих формирование сверхтонких пле-нок, начиная от 2 нм и выше, является импульсно-плазменный [2]. Исходя из проведенного анализа физико-химических свойств проводящих мате-риалов, удовлетворяющих требованиям, предъявляемым к покрытиям кантилеверов, нами было выбрано соединение - W2C, характеризующееся повышенной механической прочностью, высокой проводимостью, отсут-

Page 131: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Нанометрология в электронике

Мокеровские чтения. 8-я Международная научно-практическая конференция 131

ствием естественной диэлектрической пленки на поверхности, хорошей адгезией к кремниевой подложке, химической инертностью материала покрытия и т.д. В [3] нами показано, что наиболее простым способом формирования W2C является химическое взаимодействие вольфрама с углеродом.

В настоящей работе представ-лены результаты исследования процесса формирования пленок W импульсно-плазменным методом. На рис. 1 приведена зависимость толщины пленок W от количества импульсов нанесения. Установле-но, что толщина пленки линейно зависит от количества импульсов осаждения.

Пленки W2C формировали тер-мообработкой вольфрама отжигом в вакууме при наличии источника углерода. Для этого пленки W по-

мещали на графитовый столик и подвергали термообработке при давле-нии 5·10-4 Па в течение 40÷80 минут при температуре от 400 до 600 °С. Рентгеноструктурный анализ синтезированных пленок подтвердил обра-зование соединения W2C. Также выявлено, что состав образовавшегося W2C определяется температурой отжига и практически не зависит от вре-мени отжига. Показано, что температура начала образования W2C совпа-дает с температурой кристаллизации пленки вольфрама (400 °С). С повы-шением температуры интенсивность линий W на рентгенограммах уменьшается, а интенсивность линий W2C возрастает, что свидетельству-ет о дальнейшем образовании фазы W2C за счет еще не прореагировавше-го вольфрама.

Исследование выполнено в рамках гранта РФФИ 16-38-00070.

Литература 1. С.В. Лемешко, И.В. Сагунова, В.И. Шевяков. Изв. вузов. Электроника. 21. 5. (2016). 2. Н.Т. Кузнецов, В.Г. Севастьянов и др. Патент РФ 2333888, опубликован 20.09.2008. 3. В.М. Рощин, И.В. Сагунова, и др. Патент РФ 2430017, опубликован 20.05.2010.

Рис. 1. График зависимости толщины пленок W от количества импульсов

осаждения

Page 132: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Нанометрология в электронике

132 Мокеровские чтения. 8-я Международная научно-практическая конференция

ОСОБЕННОСТИ ОТРАЖЕНИЯ ПОТОКА КОГЕРЕНТНЫХ ФОНОНОВ В УПРУГО-НАПРЯЖЁННЫХ НАНОСТРУКТУРАХ НА ОСНОВЕ SiGe

А.И. Шарков, *А.Ю. Клоков, В.С. Кривобок, В.А. Цветков, Д.Ф. Аминев

1Физический институт им. П.Н. Лебедева РАН Россия, 119991, г. Москва, Ленинский проспект, дом 53, e-mail: [email protected]

PECULIARITIES OF THE COHERENT PHONONS FLOW REFLECTION

IN SiGe BASED ELASTIC-STRESSED NANOSTRUCTURES

A.I. Sharkov, *A.Yu. Klokov, V.S. Krivobok, V.A. Tsvetkov, D.F. Aminev

1P.N. Lebedev Physical Institute RAS Russia, 119991, Moscow, Leninskii pr. 53, e-mail: [email protected]

A method for diagnostics of multilayer structures based on the analysis of the prop-agation of picosecond coherent phonons pulses is proposed. The capabilities of the method are demonstrated for heterostructures with SiGe/Si double quantum wells cov-ered by thin Al film.

Пикосекундная акустика является одним из перспективных методов исследования и диагностики микро- и наноструктур. Сущность методики заключается в возбуждении в них импульсов деформации (когерентных фононов) с характерной длительностью 1÷10 пс. На дальнейшее распро-странение импульса деформации влияют как механические свойства сло-ев, так и границы раздела, протяжённые дефекты, дислокации, статиче-ские упругие напряжения и так далее. Методика Pump-Probe заключается в том, что возбуждение и регистра-ция прихода упругой волны осуществляется оптически при помощи по-следовательных импульсов фемтосекундного лазера. Импульс когерент-ных фононов, возбужденных фемтосекундным световым импульсом (накачка) распространяется вглубь образца и отражается от слоев имею-щих разную упругость и/или плотность. Возвращение отраженного пучка на поверхность приводит к изменению фазы и амплитуды колебаний ме-таллической пленки, которые регистрируются с помощью зондирующего пучка. Таким образом, измерение временной зависимости отклика на им-пульс накачки позволяет восстановить состав структуры и возможные встроенные напряжения в ней [1]. Важным преимуществом данной мето-дики является то, что она неразрушающая, требует меньших затрат на подготовку к измерениям и позволяет характеризовать сразу все встроен-ные слои, в частности получить толщины слоев, скорости звука, оценить степень совершенства интерфейсов. В данной работе пикосекундная акустика использована при исследо-вании напряженных Si1-xGex/Si наноструктур с двойными квантовыми

Page 133: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Нанометрология в электронике

Мокеровские чтения. 8-я Международная научно-практическая конференция 133

ямами (рис. 1а). Исследуемые образцы были получены методом молеку-лярно-пучковой эпитаксии при T = 700 °С на подложке кремния КДБ-12 ориентации (001); толщина квантовых ям d и содержание в них германия указаны на вставке в рис. 2б. На этом рисунке приведены примеры зави-симости смещения поверхности от времени. Можно заметить, что течение первых 27 пс колебания поверхности всех структур синфазны. Далее к поверхности приходит отражение от верхней ямы, что приводит к сбою фазы и амплитуды (помечено стрелкой). Аналогичный эффект наблюда-ется и для отражения от нижней квантовой ямы (51 пс). Сравнение экспериментальных откликов с расчётными позволило по-лучить акустические характеристики слоев структуры и возможные встроенные напряжения в ней в зависимости от содержания Ge. Сопо-ставление этих результатов с данными электронной микроскопии и низ-котемпературной люминесценции позволило провести картирование от-клика, реализованное к настоящему моменту с разрешением ~2 мкм, ко-торое дало информацию о латеральной неоднородности структуры.

Зондирование когерентными фононами с характерными частотами ~100 ГГц показало, что при увеличении концентрации Ge до 32%, суще-ственно возрастает отражение когерентных фононов от квантовых ям. Это может быть связано с наличием сильных упругих напряжений в области слоя SiGe.

Литература 1. F. Hüe et al, Phys. Rev. Lett. 100, 156602 (2008).

Подложка Si (100)

Al 30 нмSi 100 нм

Si1−XGeX 9–11 нм

Si 100 нм

Si буфер

а) б)

Рис. 1. а) – строение исследуемых структур. б) – вертикальное смещение структур на фемтосекундное оптическое возбуждение. Стрелками отмечены моменты

прихода отражений фононов от верхней и нижней квантовых ям

Page 134: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Нанометрология в электронике

134 Мокеровские чтения. 8-я Международная научно-практическая конференция

АНАЛИЗ ВЛИЯНИЯ ИОННО-КЛАСТЕРНОЙ ОБРАБОТКИ НА СОСТОЯНИЕ ПОВЕРХНОСТИ ОПТИЧЕСКОЙ СТЕКЛОКЕРАМИКИ МЕТОДОМ ФЛИККЕР-ШУМОВОЙ СПЕКТРОСКОПИИ

А.Д. Бакун, А.С. Гусев, Н.И. Каргин, *И.А. Матющенко, С.Ф. Тимашев

Национальный исследовательский ядерный университет «МИФИ», Институт функциональной ядерной электроники

Россия, 115409, г. Москва, Каширское ш., 31, e-mail: [email protected]

ANALYSIS OF INFLUENCE OF CLUSTER-ION PROCESSING ON OPTICAL GLASS CERAMICS SURFACE CONDITION BY METHOD OF FLICKER-NOISE

SPECTROSCOPY

A.D. Bakun, A.S. Gusev, N.I. Kargin, *I.A. Matiushchenko, S.F. Timashev

National Research Nuclear University MEPhI Institute of Functional Nuclear Electronics

115409, 31 Kashirskoe shosse, Moscow, Russia, e-mail: [email protected]

A method of parameterization of supersmooth surfaces used in micro- and nanoelec-tronics as substrates, as well as light-reflecting elements of technical devices is pro-posed. The method is based on the flicker-noise spectroscopy (FNS) as the general phe-nomenological approach to extracting information from the chaotic temporal or spatial signals. As the model objects, the topology of the surface of which was investigated by atomic force microscopy, the glass-ceramic samples were used before and after the ion-cluster treatment. Processing of optical glass ceramics by gas cluster ions was carried out in order to reduce the surface roughness. ion-cluster processing leads, firstly, to the smoothing of surface defects and, secondly, to its «planarization».

В работе предложен метод параметризации особенностей нанорелье-

фа сверхгладких поверхностей, используемых в микро- и наноэлектрони-ке в качестве материалов-подложек, а также в качестве светоотражатель-ных элементов технических устройств. Метод основан на использовании фликкер-шумовой спектроскопии (ФШС), как общего феноменологиче-ского подхода к извлечению информации из хаотических временных или пространственных сигналов. Согласно ФШС, информация о текстуре по-верхности содержится в корреляционных связях составляющих исследуе-мых сигналов в разных диапазонах пространственных частот – НЧ (резо-нансных) и ВЧ. Состояние поверхности в методе ФШС описывалось дву-мя основными параметрами: 1. Параметр σ, нм, являющийся мерой нерегулярностей-скачков иссле-дуемого профиля и характеризующий среднеквадратичное отклонение высот профиля от базового профиля, формируемого совокупностью низ-кочастотных резонансных составляющих хаотических зависимостей h(x);

Page 135: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Нанометрология в электронике

Мокеровские чтения. 8-я Международная научно-практическая конференция 135

этот параметр определяется как фактор «ступенчатости» хаотической со-ставляющей высот профиля. 2. Параметр Sc(L0

–1), (нм)2мкм, являющийся мерой «высокочастотных» нерегулярностей исследуемого профиля, наиболее резко изменяющихся на масштабах 1÷100 нм особенностей рельефа; определяется как фактор «острийности» структуры поверхности.

Возможности ФШС подхода продемонстрированы при АСМ исследо-вании образцов из литиевого алюмосиликатного ситалла (СО-115М), предназначенные для изготовления элементов прецизионной отражающей оптики. Подложки были предварительно подвергнуты механо-химической обработке абразивом с размерами зерен 0.1÷0.4 мкм. Полированная по-верхность стеклокерамики включает дефекты разного происхождения, в различных пространственных масштабах: а) следы механико-химического воздействия в виде анизотропных линейно структурированных дефектов, оставшиеся после абразивного полирования; б) уединенные выступы (впадины) при локальных нарушениях однородности; в) остаточный хао-тический рельеф; г) «наночастицы», соответствующие выходу на поверх-ность кристаллитов β-эвкриптита LiO2⋅Al2O3⋅2SiO2.

Для удаления (сглаживания) перечисленных дефектов поверхности применялся метод ионно-кластерной обработки с помощью установки «nAccel 100» (Exogenesis). Использование пучков ускоренных кластерных ионов в качестве дополнения или замещения стандартной технологии хи-мико-механической планаризации позволяет избежать формирования остаточного рельефа с размахом высот порядка 1 нм. Также достоинства-ми являются: меньшая трудоемкость и хорошая совместимость с планар-ной технологией микроэлектроники.

Обработка экспериментальных образцов осуществлялась с помощью установки «nAccel 100» (Exogenesis),

Установлено, что ионно-кластерная обработка ведет к сглаживанию дефектов поверхности образцов, мерой которого может служить измене-ние ФШС параметров σ и Sc(L0

-1), и к «планаризации» поверхности, мерой которой являются величины среднеквадратичных отклонений указанных ФШС параметров.

Работа выполнена в рамках базовой части госзадания Министерства образования и науки РФ на 2017-2019 г., проект 16.7771.2017/БЧ.

Page 136: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Функциональная электроника и оптоэлектроника

136 Мокеровские чтения. 8-я Международная научно-практическая конференция

ВЛИЯНИЕ МОЩНОГО МИКРОВОЛНОВОГО ИЗЛУЧЕНИЯ НА ПОЛУПРОВОДНИКОВЫЕ ЭЛЕМЕНТЫ НА ОСНОВЕ АРСЕНИДА ГАЛЛИЯ

*Д.В. Громов1, С.А. Полевич2

1Национальный исследовательский ядерный университет «МИФИ» 115409, г. Москва, Каширское шоссе, дом 31, e-mail: [email protected]

2АО «Экспериментальное научно-производственное объединение «СПЕЦИАЛИЗИРОВАННЫЕ ЭЛЕКТРОННЫЕ СИСТЕМЫ», 115409, г. Москва,

Каширское шоссе, дом 31

THE INFLUENCE OF POWERFUL MICROWAVE RADIATION ON SEMICONDUCTORS DEVICES BASED ON GALLIUM ARSENIDE

*D.V. Gromov1, S.A. Polevich2

1National Research Nuclear University «MEPhI»,

Russia, 115409 Moscow, Kashcirskoe shcosse, 31, e-mail: [email protected] 2Specialized Electron Systems, Russia, 115409 Moscow, Kashcirskoe shcosse, 31

The degradation effects of powerful pulsed microwave electromagnetic influences in several types of low noise amplifiers (LNA) based on gallium arsenide MESFET were experimentally investigated.

На сегодняшний день разработаны достаточно эффективные средства электромагнитного поражения радиоэлектронных систем с использовани-ем мощного узкополосного СВЧ воздействия. В ряде случаев наиболее уязвимым элементом СВЧ тракта является малошумящий усилитель (МШУ), на вход которого поступает сигнал с приемной антенны. Если при этом значение частоты воздействующего СВЧ сигнала находится в диапазоне рабочих частот МШУ и воздействие поступает на входные элементы усилителя, возможно временное или ка-тастрофическое повреждение МШУ [1]. В данной работе проведен анализ влияния мощного импульсного СВЧ излучения на характеристики МШУ, выполненных с использованием GaAs полевых транзисторов. Для сравнения приведены также результаты исследований, получен-ных для МШУ на основе кремниевой биполярной технологии. В качестве объектов исследований были выбраны МШУ 2 и 3 на основе GaAs и кремниевые усилители МШУ 1 и 4. Критерием нарушения работоспособности МШУ был выбран необрати-мый отказ устройства, выражавшийся в снижении коэффициента усиле-ния и требовавший для восстановления работоспособности ремонта с проведением замены отказавшего транзистора или микросхемы.

Воздействие на МШУ осуществлялось путем подачи на их вход сиг-налов с выхода широкополосной антенны. На рис. 1 представлена схема проведения эксперимента.

ФУНКЦИОНАЛЬНАЯ ЭЛЕКТРОНИКА И ОПТОЭЛЕКТРОНИКА

Page 137: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Функциональная электроника и оптоэлектроника

Мокеровские чтения. 8-я Международная научно-практическая конференция 137

Uпит

Rн=50 Ом

Излучатель

Кабельная линия связи

L=10 м

Приемная антенна

Исследуемый МШУ

К детекторному измерительному

каналу

Рис. 1. Схема эксперимента

Для проведения экспериментальных исследований использовались излучающие СВЧ - установки, «СВЧ-1» «СВЧ-2» и «СВЧ-3, входящие в состав экспериментально-испытательной базы 12 ЦНИИ Минобороны России (табл. 1.)

Таблица 1. Параметры СВЧ установок Установки Fнес, ГГц tи, мкс Ри, кВт Fпов, ГцСВЧ-1 3 0.07÷1 150 до 4 кГцСВЧ-2 1.7 3 250 400СВЧ-3 0.85 2 300 до 700

Сводные данные по стойкости МШУ для узкополосных СВЧ-установок приведены в табл. 2.

Таблица 2. Уровни стойкости МШУ для излучения СВЧ-установок

МШУ Установка tи, мкс Fпов, Гц Рст, ВтМШУ 1 СВЧ-1 1 100 13МШУ 2 СВЧ-1 0.07 моно 5МШУ 3 СВЧ-3 2 400 0.3

СВЧ-2 2.7 400 0.5МШУ 4 СВЧ-3 2 400 5

СВЧ-2 2.7 400 8СВЧ-1 1 250 10

Анализ приведенных данных для СВЧ установок позволяет сделать

следующие основные выводы: - предельный уровень стойкости МШУ при воздействии излучения

узкополосных СВЧ установок лежит в диапазоне 0.3÷13 Вт, при этом стойкость усилителей, спроектированных на основе биполярных кремни-евых транзисторов, выше по сравнению с технологией на основе арсенида галлия;

- наиболее критичным для исследуемых МШУ является излучение установки «СВЧ-3». Литература 1. В.В.Антипин, В.А. Годовицын, Д.В. Громов и др. Радиотехника, 8, стр. 34-38. (1994).

Page 138: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Функциональная электроника и оптоэлектроника

138 Мокеровские чтения. 8-я Международная научно-практическая конференция

ВЛИЯНИЕ ОБЛУЧЕНИЯ НЕЙТРОНАМИ НА ПАРАМЕТРЫ ХОЛЛОВСКИХ СЕНСОРОВ НА ОСНОВЕ НАНОПЛЕНОК МОЛИБДЕНА

*И. Васильевский1, М. Стриханов1, Н. Каргин1, И. Большакова2, М. Булавин3, А. Васильев2, Я. Кость2, С. Куликов3, М. Радишевский2, Ф. Шурыгин2

1Национальный исследовательский ядерный университет «МИФИ» Россия, 115409, г. Москва, Каширское шоссе, дом 31, e-mail: [email protected]

2Национальный университет «Львовская политехника» Украина, 79013, г. Львов, улица С. Бандеры, дом 12, e-mail: [email protected]

3Объединенный институт ядерных исследований Россия, 141980, г. Дубна, улица Жолио-Кюри, дом 6, e-mail: [email protected]

NEUTRON IRRADIATION INFLUENCE ON HALL SENSORS PARAMETERS

BASED ON MOLYBDENUM NANOFILMS

*I. Vasil’evskii1, M. Strikhanov1, N. Kargin1, I. Bolshakova2, M. Bulavin3, A. Vasyliev2, Ya. Kost2, S. Kulikov3, M. Radishevskiy2, M. Shurygin2

1National Research Nuclear University MEPhI Russia, 115409 Moscow, Kashirskoye Shosse 31, e-mail: [email protected]

2Lviv Polytechnic National University Ukraine, 79013 Lviv, Bandera Street 12, e-mail: [email protected]

3Joint Institute for Nuclear Research Russia, 141980 Dubna, Joliot-Curie Street 6, e-mail: [email protected]

With the help of the on-line measurements method it was investigated the neutron

irradiation influence on the parameters of Hall sensors based on Mo nanofilms. It is shown that the sensors sensitivity stay almost unchanged up to fluences of order 1024 n·m-2 that are relevant for the future DEMO fusion reactor, which allows to consid-er such sensors as a candidates for using in the magnetic diagnostic systems of the mod-ern fusion reactors.

Для магнитного мониторинга термоядерных реакторов традиционно

используются индуктивные преобразователи на основе pick-up катушек с интеграторами. Они эффективны при коротких импульсах магнитного поля. Однако в DEMO будут реализованы импульсы длиной до сотен ча-сов, что приведет к ухудшению точности измерений из-за накопления интеграторами ошибок, вызванных радиационно-индуцированными эф-фектами и электромагнитными наводками [1,2]. Использование радиаци-онно-стойких сенсоров Холла (СХ), способных измерять как быстропере-менные, так и steady-state поля, позволит решить проблему. Цель данной работы – изучение радиационной стойкости СХ на основе нанопленок Mo при облучении нейтронами с высоким флюенсом.

СХ в форме симметричного креста с размерами чувствительной обла-сти (200 х 200) мкм2 были изготовлены на основе нанопленок Mo толщи-ной (45 ÷ 50) нм, полученных магнетронным распылением на Al2O3 (сап-

Page 139: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Функциональная электроника и оптоэлектроника

Мокеровские чтения. 8-я Международная научно-практическая конференция 139

фир). Входное и выходное сопротивление СХ составляло 10 Ом, началь-ная чувствительность S0 ≈ 1.4 мВ·А-1·Тл-1, ток питания 40 мА. СХ монти-ровались в специальную оснастку с источником тестового поля (солено-ид) и соединялись с электронным блоком управления / сбора данных, что позволяло выполнять on-line измерения чувствительности S при облуче-нии нейтронами. При измерении S использовался метод вращающегося тока для минимизации паразитного остаточного сигнала (off-set).

Облучение СХ проводилось в канале 3 ядерного реактора IBR-2 с энергетическим спектром нейтронов близким к спектру в местах разме-щения steady-state сенсоров в реакторе ITER [1]. Интенсивность потока составляла 1.5·1017 н·м-2·с-1. За время эксперимента (ttot ~ 1891 час) СХ получили флюенс Ftot = 9.7·1023 н·м-2. В процессе облучения температура образцов составляла 120 ºС.

На рис. 1 показана кривая S(F) для образца MSM2-4. Как видно, S(F) ≈ S0 вплоть до DEMO-совместимого флюенса F ~ 1024 н·м-2 [2]. Ма-лые отклонения S(F) можно объяснить температурной зависимостью off-set сигнала, устранить который полностью пока не удается даже при ис-пользовании метода вращающегося тока. Возможными путями снижения off-set являются улучшение однородности нанопленок Mo по структуре и толщине, повышение точности литографии, а также совершенствование способов обработки сигналов СХ. При условии минимизации off-set ис-следованные СХ могут обеспечить разрешающую способностью не хуже 1 мТл. Литература 1. G. Vayakis et al., Rev. Sci. Instr. 74, 2409-2417 (2003). 2. F.P. Orsitto et al., Nucl. Fusion 56, 026009 (2016).

Рис. 1. Зависимость чувствительности СХ MSM2-4 от нейтронного флюенса S(F)

Page 140: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Функциональная электроника и оптоэлектроника

140 Мокеровские чтения. 8-я Международная научно-практическая конференция

ВЛИЯНИЕ СМЕЩЕНИЯ ЭМИТТЕРНОГО ПЕРЕХОДА НА ТЕМП РАДИАЦИОННОЙ ДЕГРАДАЦИИ БИПОЛЯРНЫХ SiGe ТРАНЗИСТОРОВ

*А.С. Бакеренков, В.А. Фелицын, А.С. Родин, В.С. Першенков

1Национальный Исследовательский Ядерный Университет «МИФИ» Россия, 115409, г. Москва, Каширское шоссе, дом 31, e-mail: [email protected]

THE EFFECT OF THE EMITTER JUNCTION BIAS ON THE RADITION

DEGRADATION RATE OF SIGE BIPOLAR TRANSISTORS

*A.S. Bakerenkov, V.A. Felitsyn, A.S. Rodin, V.S. Pershenkov

1National Research Nuclear University MEPhI (Moscow Engineering Physics Institute) Russia, 115409, Moscow, Kashirskoe shosse 31, e-mail: [email protected]

Silicon-Germanium heterojunction bipolar transistors (SiGe HBTs) are widely used

in modern high-frequency electronic devices for nuclear and space applications. Elec-tronic equipment of spacecrafts and particle accelerators is subjected to ionizing radia-tion impact. For estimation of the reliability of the electronic equipment in the radiation environment it is necessary to understand basic physical mechanism of the radiation effects in SiGe HBTs. The impact of the emitter junction bias on the degradation rate of base current of the transistors during irradiation is investigated in this work using X-ray source. It was obtained, that reverse bias on the emitter junction during the irradiation increases the degradation rate significantly, while the results of the irradiation at forward and zero biases are approximately equal. It is connected with increasing of the radiation sensitive volume of the oxide over emitter junction depletion region at reverse bias of the junction.

В современных высокочастотных электронных приборах широко ис-

пользуются биполярные микросхемы, изготовленные по гетероструктур-ной технологии с добавлением атомов германия в кристаллическую ре-шетку кремния. Наличие германиевой примеси и малая толщина окисла вблизи области выхода границы эмиттерного перехода на поверхность кремния многократно повышают стойкость кремний-германиевых транзи-сторов к дозовым радиационным эффектам [1], которая сохраняется в ши-роком диапазоне температур независимо от интенсивности радиационно-го воздействия. Данные преимущества гетероструктурных транзисторов широко используются разработчиками радиоэлектронной аппаратуры космического и научно-исследовательского назначения.

Известно, что темп радиационной деградации интегральных микро-схем и дискретных полупроводниковых приборов зависит от электриче-ского режима эксплуатации при облучении. В обычных кремниевых би-полярных приборах наблюдается увеличение темпа радиационной дегра-дации при обратном смещении эмиттерного перехода в процессе радиа-

Page 141: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Функциональная электроника и оптоэлектроника

Мокеровские чтения. 8-я Международная научно-практическая конференция 141

ционного воздействия, что связано с увеличением радиационно-уязвимого объема пассивирующего окисла над областью выхода эмиттерного пере-хода на поверхности кремния. В кремний-германиевых транзисторах толщина окисла на два порядка меньше, чем в стандартных кремниевых приборах. В данной работе исследовалась степень влияния смещения эмиттерного перехода на темп радиационной деградации тока базы в кремний-германиевых гетероструктурных транзисторах.

Для экспериментов был выбран коммерчески доступный биполярный кремний-германиевый n-p-n транзистор BFU678F. Облучение транзистора производилось при температуре (25.0±0.1) °С при интенсивности 10 рад(SiO2)/с на источнике рентгеновского излучения с медным анодом (8 кэВ) в четыре этапа. Напряжения на эмиттерном переходе для каждого этапа приведены в таблице 1 вместе с соответствующими значениями темпа радиационной деградации базового тока, измеренным при напря-жении на эмиттерном переходе 0.55 В при нулевом смещении коллектор-ного перехода. Измерения производились в процессе облучения.

Таблица 1. Темп радиационной деградации транзисторов BFU678F при различных смещениях эмиттерного перехода во время облучения

Номер этапа Смещение на эмиттерном переходе, В Темп радиационной деградации, А/рад(SiO2)

1 +0.55 3.0

2 0.0 3.2

3 -1.5 4.1

4 -3.0 5.3

Из результатов экспериментов, представленных в таблице 1, видно,

что темп радиационной деградации биполярных кремний-германиевых транзисторов зависит от смещения эмиттерного перехода так же как в обычных кремниевых приборах, несмотря на значительное различие в толщинах пассивирующих окислов. Литература 1. A.S. Bakerenkov et al., IOP Conference Series: Materials Science and Engineering, 151, 012013 (2016).

Page 142: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Функциональная электроника и оптоэлектроника

142 Мокеровские чтения. 8-я Международная научно-практическая конференция

ВЕРТИКАЛЬНО-ИЗЛУЧАЮЩИЕ ЛАЗЕРЫ БЛИЖНЕГО ИК-ДИАПАЗОНА

*К.О. Воропаев1,2, Б.И. Селезнев1, А.С. Ионов2

1Новгородский Государственный университет имени Ярослава Мудрого

Россия, 173003, г. Великий Новгород, ул. Большая Санкт-Петербургская, дом 41, e-mail: [email protected]

2ОАО «ОКБ-Планета» Россия, 173004, г. Великий Новгород, ул. Федоровский ручей, дом 2/13.

NEAR INFRA-RED VERTICAL-CAVITY SURFACE-EMITTING LASER

*K.O. Voropaev1,2, B.I. Seleznev1, A.S. Ionov2

1Yaroslav The wise Novgorod State University

Russia, 173009 V. Novgorod, St. Peterburgskaya 44, e-mail: [email protected] 2OKB-Planeta PLC

Russia, 173004 V. Novgorod, Fedorovskiy Ruchey 2/13

Presents the research of technology for near infra-red vertical-cavity surface-emitting laser (VCSEL). This multilayer structure comprising of the following layers: distributed Bragg reflector of Ga1-xAlxAs, aperture layer, contact layers of GaAs n- and p- type, active layer and substrate of GaAs. This paper includes the description of tech-nological processes for forming real VCSEL structure and analysis of individual pro-cessing stages.

Полупроводниковые лазеры на основе гетероструктур широко ис-

пользуются в приёмо-передающих устройствах оптоволоконных систем связи, устройствах ввода-вывода на оптических принципах [1]. В верти-кально-излучающих лазерах (ВИЛ) вывод излучения осуществляется не с торцов лазера в плоскости гетероперехода, а перпендикулярно ей. Уро-вень современных разработок позволяет получать ВИЛ с частотой эффек-тивной модуляции 28 ГГц и скоростью оптической передачи данных 47 Гбит/с [2].

Кристаллы ВИЛ ближнего ИК-диапазона изготавливаются на под-ложках GaAs со сформированной эпитаксиальной гетероструктурой, со-держащей в себе набор слоев, представленный на рис. 1.

В данной работе рассмотрены особенности технологии формирования кристаллов ВИЛ и проведен анализ отдельных технологических этапов на примере реальных приборных структур.

Цикл формирования кристаллов ВИЛ включает следующие основные операции: фотолитография, осаждение диэлектрических слоев, травление мезаструктур, формирование омических контактов p- и n-типа, формиро-вание токовой и оптической апертуры. Использовался ряд методов иссле-дований: профилометрия, эллипсометрия, электронная микроскопия, атомно-силовая микроскопии, зондовые методы.

Page 143: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Функциональная электроника и оптоэлектроника

Мокеровские чтения. 8-я Международная научно-практическая конференция 143

Рис. 1. Схематическое изображение наногетероструктуры ВИЛ

Первым этапом являлось формирование омических контактов. Для

получения металлизированного рисунка использовалась «взрывная» фо-толитография и термовакуумное напыление. Режимы формирования оми-ческих контактов предварительно были отработаны на тестовых структу-рах, содержащих слои арсенида галлия n- и p- типа. На этом этапе на те-стовой структуре были сформированы TLM ячейки (Transmission Line Method), по которым проводился анализ полученных омических контак-тов.

Этап формирования мезаструктур реализовывался при помощи мето-да плазмохимического травления в индуктивно связанной плазме в хлор-содержащей среде. Во время травления осуществлялся контроль при по-мощи интерферометра. Для последующего анализа использовались мето-ды электронной микроскопии и профилометрии.

Для формирования токового и оптического ограничения в структу-ре ВИЛ применялся метод селек-тивного окисления слоев AlxGa1-xAs.

Полученные кристаллы ВИЛ представлены на рис. 2. Публикация подготовлена при финансовой поддержке Минобрнау-ки России в рамках проектной части государственного задания, проект

3.3572.2017/ПЧ.

Литература 1. L.A. Coldren, Vertical cavity surface emitting lasers / L. A. Coldren, H. Temkin, C. W. Wilmsen // Cambridg Univ. Press. – 2002. – p. 474. 2. P. Westbergh, High-speed 850 nm VCSELs with 28 GHz modulation bandwidth for short reach communication / P. Westbergh, R. Safaisini, E. Haglund, J. S. Gustavsson, A. Larsson, A. Joel // SPIE Proceedings Vol. 8639 – 2013 – 86390X – p. 2.

Рис. 2. 3D профилограмма кристалла ВИЛ

Page 144: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Функциональная электроника и оптоэлектроника

144 Мокеровские чтения. 8-я Международная научно-практическая конференция

СПЕКТРЫ ЭЛЕКТРОЛЮМИНЕСЦЕНЦИИ И ЭЛЕКТРООТРАЖЕНИЯ СВЕТОДИОДНЫХ ГЕТЕРОСТРУКТУР НА ОСНОВЕ НИТРИДА ГАЛЛИЯ

Л.П. Авакянц1, А.Э. Асланян1, П.Ю. Боков1, В.В. Волков1, Л.М. Коган2, *А.Н. Туркин1, А.В. Червяков1, А.Э. Юнович1

1Московский Государственный Университет им. М.В.Ломоносова, физический факультет

Россия, 119991, ГСП-1, г. Москва, Ленинские горы, МГУ им. М.В.Ломоносова, дом 1, строение 2, физический факультет, e-mail: [email protected]

2Научно-производственный центр оптико-электронных приборов «ОПТЭЛ» Россия, 105187, г. Москва, Щербаковская улица, дом 53, e-mail: [email protected]

ELECTROLUMINESCENCE AND ELECTROREFLECTION SPECTRA OF GALLIUM

NITRIDE BASED LIGHT-EMITTING DIODE HETEROSTRUCTURES

L.P.Avakyants1, A.E. Aslanyan1, P.Yu. Bokov1, V.V. Volkov1, L.M. Kogan2, *A.N. Turkin1, A.V. Chervyakov1, A.E. Yunovich1

1M.V.Lomonosov Moscow State University, physics faculty Russia, 119991, Moscow, Leninskie Gory 1-2, e-mail: [email protected] 2Optic & electronic devices Scientific & manufacturing center «OPTEL»

Russia, 105187, Moscow, Shcherbakovskaya street 53, e-mail: [email protected]

Electroluminescence spectra of UV, violet and blue light-emitting diodes (LEDs) developed by «Optel - Center» Company were studied in a wide range of currents, J = 10 ÷ 350mA. Also electroreflection spectra of blue LEDs were studied. LED spectral maxima were near λ = 380 nm (ħω = 3.18 eV, UV), 411 nm (ħω = 3.05 eV, violet) and 455 nm (ħω = 2.72 eV, blue). Additional spectral band has been observed in the interval 2.22 ÷ 2.15 eV, which shifted correspondingly with the shift of the main band. Two bands near 2.6÷3.4 eV energy region were detected in electroreflection spectra. These bands synchroniouslly shifted with p-n junction voltage bias. A difference between bands maxima is around 120 meV.

Исследованы спектры электролюминесценции светодиодов (СД) по-

вышенной мощности ультрафиолетового (УФ), фиолетового и синего спектрального диапазона, разработанных НПЦ ОЭП «Оптэл» [1]. Также для синего СД исследованы спектры электроотражения. СД были скон-струированы из кристаллов на основе p-n-гетероструктур типа InGaN/AlGaN/GaN [2], который монтировался в специальный корпус для отвода тепла от p-n перехода.

Измерения спектров электролюминесценции СД в широком интервале токов и спектров электроотражения были проведены на автоматизирован-ных установках, управляемых разработанной компьютерной программой.

Спектры излучения СД в диапазоне токов от 10 до 350 мА имели ос-новную полосу с максимумами в области длин волн λ от 380 (УФ диоды) до 411 нм (фиолетовые) и 455 нм (синие) (ħω = 3.18 ÷ 2.72 эВ). Форма основных спектральных полос проанализирована на основе модели дву-

Page 145: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Функциональная электроника и оптоэлектроника

Мокеровские чтения. 8-я Международная научно-практическая конференция 145

мерных структур с «хвостами» плотности состояний в валентной зоне и зоне проводимости [2,3]. Анализ позволил оценить нагрев активной обла-сти структур при больших токах. Изменение положения максимума с уве-личением тока объясняются как изменением эффективной ширины за-прещенной зоны с нагревом диодов, так и изменением положения квази-уровней Ферми в активной области.

Кроме основных полос в спектрах УФ и фиолетовых СД были обна-ружены дополнительные спектральные полосы в видимой области, от ħω = 2.22 эВ до 2.15 эВ, положение максимума которых изменялось в со-ответствии с максимумом основной полосы, а их интенсивность увеличи-валась при продвижении в УФ область. Возможной причиной этих полос предполагается излучательная рекомбинация на глубоких уровнях вблизи активной области.

На спектрах электроотражения синих СД наблюдается пара линий в окрестности значений энергии 2.6÷3.4 эВ. Эта пара линий синхронно сдвигается при изменении постоянного смещения p-n перехода. Разница между максимумами линий составляет примерно 120 мэВ. Линия с более высокой энергией имеет большую интенсивность.

Данные линии можно объяснить рекомбинацией в активной области структуры, которая, предположительно, представляет собой множествен-ную квантовую яму (КЯ), т.е. несколько КЯ разной ширины. Другим воз-можным объяснением может быть проявление 2-го уровня размерного квантования в КЯ.

Проведен анализ вольтамперных характеристик, мощности излучения и эффективности преобразования энергии в СД.

Разработка полупроводниковых источников излучения в коротковол-новой области спектра связана с перспективой их широкого применения в разных областях в качестве замены разрядных источников излучения [4]. Литература 1. Л.М. Коган, А.А. Колесников, А.Н. Туркин, Светотехника, 2, 57-59 (2016). 2. Л.П. Авакянц, А.Э. Асланян, П.Ю. Боков, В.В. Волков, И.С. Матешев, А.Н. Туркин, А.В. Червяков, А.Э. Юнович. Ученые записки физического факультета МГУ им. М.В. Ломо-носова, 3, 163401-1-163401-7 (2016). 3. М.Л. Бадгутдинов, А.Э. Юнович. ФТП, 42, 4, 438-446 (2008). 4. М.Л. Бадгутдинов, Н.И. Каргин, В.С. Пашков, А.Э. Юнович. 3-я Научно-практическая конф. по физ. и техн. наногетероструктурной СВЧ-эл-ки, тез. докл., 62-64 (2013).

Page 146: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Функциональная электроника и оптоэлектроника

146 Мокеровские чтения. 8-я Международная научно-практическая конференция

ТЕМПЕРАТУРНАЯ И ДЕФОРМАЦИОННАЯ ЗАВИСИМОСТИ ДЛИНЫ ВОЛНЫ И ПОЛЯРИЗАЦИИ ИЗЛУЧЕНИЯ ЛАЗЕРНЫХ ДИОДОВ НА ОСНОВЕ

ГЕТЕРОСТРУКТУР p-AlGaAs/GaAsP/n-AlGaAs

*E.В. Богданов, Н.Я. Минина

Московский государственный университет имени М.В. Ломоносова Россия, 119991, г. Москва, Ленинские горы, дом 1, e-mail: [email protected]

TEMPERATURE AND DEFORMATION DEPENDENCE OF OUTPUT WAVELENGTH

AND POLARIZATION OF LASER DIODES BASED ON p-AlGaAs/GaAsP/n-AlGaAs HETEROSTRUCTURES

*E.V. Bogdanov, N.Ya. Minina

Lomonosov Moscow State University Russia, 119991 Moscow, Leninskie Gory 1, e-mail: [email protected]

Numerical calculations of the optical energy gap and the optical gains gTE, gTM of

TE and TM polarization modes in laser diode based on p-AlxGa1-xAs/GaAs1-yPy/n-AlxGa1-xAs heterostructures are carried out for uniaxial ten-tion and compression up to P = 10 kbar along in-plane and normal to a heterostructure directions at temperature interval 77 K – 300 K. The optical energy gap shift under uni-axial stress is substantially anisotropic and does not change significantly between 77 K and 300 K. The gTM/gTE ratio is also almost insensitive to the temperature but may be extremely sensitive to external uniaxial stress due to the change of wave functions sym-metry and possible optical transitions in the quantum well levels system.

В настоящей работе представлены результаты численных расчетов

эффекта влияния на длину волны и поляризацию излучения лазерных ди-одов на основе гетероструктур p-AlxGa1-xAs/GaAs1-yPy/n-AlxGa1-xAs темпе-ратуры, а также анизотропных деформаций, которые могут возникнуть в результате внешних механических или температурных воздействий.

Уравнение Шредингера с гамильтонианом в представлении Латтин-жера-Кона с учетом деформационных членов решалось самосогласованно с уравнением Пуассона для электростатического потенциала конечно-разностным методом [1]. В результате численных расчетов спектры раз-мерного квантования, волновые функции и коэффициенты оптического усиления ТМ и ТЕ поляризационных мод gTM и gTE определены для выращенных в направлении [001] гетероструктур p-AlxGa1-xAs/GaAs1-yPy/n-AlxGa1-xAs с содержанием фосфора от у = 0 до y = 0.20 и шириной квантовой ямы от 4 до 20 нм в условиях одноосного сжатия и растяжения вдоль кристаллографических направлений [110], [100] и [001] в интервале давлений до 10 кбар при температурах 77 и 300 К.

Page 147: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Функциональная электроника и оптоэлектроника

Мокеровские чтения. 8-я Международная научно-практическая конференция 147

Как известно, длина волны излучения лазерных диодов определяется величиной оптической щели Eopt, которая равна разнице энергий основ-ных состояний электронов и дырок в квантовой яме. Согласно проведен-ным расчетам для всех исследованных структур в рассмотренном темпе-ратурном интервале Eopt увеличивается при уменьшении температуры на величину ΔEopt ≈ 80 мэВ. По сравнению с этим значением изменение Eopt при одноосных деформациях не очень велико и почти не зависит от тем-пературы в интервале 77 ÷ 300 К, но существенно анизотропно: так при максимальной нагрузке и Р [110] её рост при сжатии и уменьшение при растяжении превышает 50 мэВ, тогда как в случае Р [001] её изменение оказывается не более 10 мэВ.

С другой стороны, результаты расчетов коэффициентов оптического усиления показали, что поляризация излучения слабо меняется с темпера-турой, но может быть исключительно чувствительна к одноосным дефор-мациям. Так при одноосных деформациях в плоскости структуры вдоль направлений [110] и [100] отмечается сильная барическая зависимость отношения gTM/gTE, в результате при сжатии возможно переключение из-лучения с доминирующей ТМ поляризацией к излучению с доминирую-щей ТЕ поляризацией, а при растяжении обратный эффект. Это является следствием сильного изменения энергетического спектра и симметрии уровней в квантовой яме, что приводит к перемешиванию состояний лег-ких и тяжелых дырок и изменяет правила отбора. В случае одноосного сжатия или растяжения вдоль [001] как сами структуры, так и волновые функции симметрию не меняют, значит, перемешивания состояний легких и тяжелых дырок в Г-точке не происходит, а изменение соотношения gTM и gTE обусловлено в этом случае относительным смещением уровней раз-мерного квантования легких и тяжелых дырок. При этом в случае пересе-чения основных состояний легких и тяжелых дырок также может наблю-даться как переключение излучения с доминирующей ТЕ поляризации к излучению с доминирующей ТМ поляризацией, так и наоборот.

Слабое влияние температуры на барические зависимости ΔEopt и gTM/gTE объясняется устойчивостью деформационных потенциалов и не-значительным изменением модулей упругости с температурой [2]. Литература 1. K.I. Kolokolov, A.M. Savin, S.D. Beneslavski et al., Phys. Rev. B 59, 7537 (1999). 2. S. Adachi, GaAs and Related Materials: Bulk Semiconducting and Superlattice Properties (Sin-gapore, New Jersey, London, Hong Kong: World Scientific, 1999).

Page 148: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Функциональная электроника и оптоэлектроника

148 Мокеровские чтения. 8-я Международная научно-практическая конференция

FORWARD VOLUME MAGNETOSTATIC SPIN WAVE PROPAGATION IN STRUCTURED MAGNETIC FILMS

C. Kellogg1, K. Nanayakkara1, I. Vasil’evskii2, A. Kozhanov1,2

1Department of Physics and Astronomy, Center for Nano Optics, Georgia State University, Atlanta, USA. e-mail: [email protected]

2 National Research Nuclear University MEPhI, Kashirskoye sh., 31, Moskva, Russia, 115409, e-mail: [email protected]

In this work we present results of micromagnetic simulations of forward

volume magnetostatic spin waves (FVMSW) propagating in curved spin wave-guides in presence of out-of-plane biasing magnetic field. We demonstrate that introducing waveguide irregularities such as bends does not affect the FVMSW propagation. In contrast to spin waveguides supporting surface or backward volume modes, studied waveguides are less affected by waveguide shape varia-tion which makes them essential for constructing complex spin wave logic cir-cuits.

Magnetostatic spin waves in ferromagnetic materials have long and rich exploration history [1]. Two-dimensional materials, such as thin ferromagnetic sheet support three different spin wave modes, dependent on relative orienta-tion of the wave vector and material magnetization. In-plane magnetized films support backward volume magnetostatic spin waves propagating along the magnetization direction and surface magnetostatic spin wave modes with wave vector perpendicular to the film magnetization. Perpendicularly magnetized films support forward volume magnetostatic spin wave modes. Patterning fer-romagnetic films into thin wires or more complex shapes results in complex wave propagation demonstrating all the beauty of the wave physics including reflection, refraction, scattering, interference, and diffraction.

Magnetostatic oscillation modes in structured ferromagnetic materials quantize with the structure dimensions leading to multi-mode dispersions and in some cases formation of energy gaps. That provides an instrument for spatial spin wave dispersion control via the spin waveguide shape. Temporal control of the spin wave propagation can be done by local magnetic fields, currents, spin polarized currents, strains in magnetostrictive and ferroelectric materials [2]. All named above phenomena is used in spin wave logic devices currently being developed in numerous research groups across the globe.

Soft magnetic materials such as yttrium iron garnet, NiFe (Permalloy) and CoFe are typically used in spin waveguide construction. Ferromagnetic metals like Permalloy exhibit very low coercivity, relatively high saturation magneti-zation, and can be easily structured using conventional nano-fabrication tech-niques. Shape anisotropy defines in-plane magnetization of Permalloy thin

Page 149: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Функциональная электроника и оптоэлектроника

Мокеровские чтения. 8-я Международная научно-практическая конференция 149

films which results in backward volume or surface magnetostatic spin wave modes propagating in these films. When such films are patterned to form com-plex structures, shape anisotropy governs local in-plane magnetization orienta-tion. Spatial irregularities such as bends and waveguide joints alter the spin wave dispersion resulting in different parts of spin waveguide supporting spin wave modes of different frequencies or even mode types. A very illustrative example is BVMSW modes turning a corner investigated by Vogt et al.[3]: when subjected to uniform external field bent spin waveguide supports volume spin wave modes in one of its ends and surface spin wave modes in another. In order to overcome this a special care should be taken of the spin wave mode matching [4], as well as wave reflections and scattering processes across [5] the spin wave logic device.

In this work we demonstrate that using FVMSW modes allows to over-come the mode matching problem: spin wave dispersion is not affected by the geometry variation in perpendicularly-magnetized ferromagnetic films. We performed micromagnetic simulations of bent rectangular Permalloy spin waveguides. Two corner geometries were investigated with a rectangular and circular waveguide bends. Out-of-plane biasing magnetic field was used to magnetize the structure. We demonstrate that spin waves travel through the waveguide bend in structures with both rectangular and round bends. Rectangu-lar-corner structure exhibits stronger spin wave reflections while no reflection is observed in the round-corner structure. Damon-Eshbach model is used to analyze spin wave propagation. We discuss FVMSW-based spin wave logic device applications. References 1. Stancil, Daniel D., and Anil Prabhakar. Spin waves. Luxembourg: Springer (2009). 2. S.O. Demokritov, A.N. Slavin, eds. Magnonics: From fundamentals to applications. Vol. 125. Springer Science & Business Media (2012). 3. K. H. Vogt et al., Appl.Phys.Lett. 101, 042410 (2012). 4. A. V. Sadovnikov et al. Appl.Phys.Lett. 106, 192406 (2015). 5. K. Nanayakkara et al. J.Appl.Phys., 118, 163904 (2015).

Page 150: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Функциональная электроника и оптоэлектроника

150 Мокеровские чтения. 8-я Международная научно-практическая конференция

РАЗРАБОТКА МЭМС-УСТРОЙСТВ НА ОСНОВЕ МНОГОСЛОЙНЫХ МЕМБРАННЫХ КОНСТРУКЦИЙ

*А.Д. Бакун1, Д.С. Ермаков1, Д.С. Веселов1, Ю.А. Воронов1, В.Ю. Киреев2, Н.А. Дюжев2

1Национальный исследовательский ядерный университет «МИФИ», 115409, г. Москва, Каширское шоссе, 31, e-mail: [email protected]

2Национальный исследовательский университет «Московский институт электронной техники», 124498, г. Москва, г. Зеленоград, площадь Шокина, 1, e-mail: [email protected]

DEVELOPMENT OF MEMS DEVICES BASED ON NANOSTRUCTURED MEMBRANE

*A.D. Bakun1, D.S. Ermakov1, D.S. Veselov1, Yu.A. Voronov1, V.Yu. Kireev2, N.A. Diuzhev2

1National Research Nuclear University MEPhI, 115409, Moscow, Kashirskoye sh., 31, e-mail: [email protected]

2National Research University of Electronic Technology (MIET), 124498, Moscow, Zelenograd, Shokina sq., 1, e-mail: [email protected]

Parameters of microelectromechanical devices and systems manufactured by mem-

brane technology directly depend on the characteristics of the films from which these membranes are made. Examples of such devices can be gas flow sensors, pressure sen-sors, accelerometers. Depending on the mechanical, optical and dielectric properties of the deposited material, the sensitivity of the instruments to the measured quantities will differ [1]. In this paper we investigated the deposition technology and the properties of multilayer nanostructured membranes formed on the basis of the SixNy/SiO2 pair to cre-ate gas flow sensors.

Параметры микроэлектромеханических приборов и систем, изготав-

ливаемых по т.н. мембранной технологии, напрямую зависят от характе-ристик пленок, из которых изготавливаются эти мембраны. Примерами таких приборов могут быть датчики газового потока, давления, акселеро-метры. В зависимости от механических, оптических и диэлектрических свойств осаждаемого материала будет различаться чувствительность при-боров к измеряемым величинам [1]. В данной работе исследовалась тех-нология осаждения и свойства многослойных наноструктурированных мембран, сформированных на основе пары SixNy/SiO2 для создания датчи-ков газового потока.

Пленки формировались методом плазмоактивированного химическо-го осаждения из газовой фазы на установке STS LPX PECVD. Осаждение проводилось в едином процессе с продувкой камеры азотом перед изме-нением материала слоя. Для осаждения нитрида кремния использовалась химическая реакция на основе аммиака и моносилана, для получения ок-сида – моносилана и закиси азота. Используемые режимы осаждения поз-воляют получать качественные пленки требуемых материалов [2].

Page 151: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Функциональная электроника и оптоэлектроника

Мокеровские чтения. 8-я Международная научно-практическая конференция 151

Проводился анализ пленок на механические напряжения [3], химиче-ских состав пленок, стойкость пленок к химическому травлению в про-цессе формирования топологии обратной стороны пластины. Результаты измерения механических напряжений на мембранах с 8 чередующимися слоями - 328 МПа, для мембраны с 24 слоями - 234 МПа. Таким образом, при увеличении количества используемых слоев и, соответственно, уменьшению толщины дискретного слоя уменьшается величина механи-ческого напряжения пленки (рис. 1)

Анализ методом ВИМС показал соответствие полученных пленок нитриду с примесями водорода и кислорода, и диоксиду кремния с незна-чительным количеством примесей. Толщины полученных слоев нитрида соответствуют рассчитанному режиму осаждения, толщины оксида уменьшаются с увеличением количества слоев, что требует коррекции режима.

Проведенный анализ наноструктурированных мембран позволяет ис-пользовать их при изготовлении МЭМС датчиков химического состава газа, а также для других применений в технологии МЭМС. Литература 1. C. Zorman. Material Aspects of Micro- and Nanoelectromechanical Systems. Springer Hand-book of Nanotechnology. Berlin: Springer-Verlag. (2007). 2. А.Д. Бакун. Исследование зависимостей пробивного напряжения в пленках Si3N4 от па-раметров процесса плазмостимулированного осаждения из газовой фазы // А.Д. Бакун, С.В. Миннебаев, И.С. Еремин, Р.В. Захарченко, С.С. Лебедев. Сборник трудов 6-ой международ-ной научно-практической конференции по физике и технологии наногетероструктурной СВЧ-электроники «Мокеровские чтения». Национальный исследовательский ядерный уни-верситет «МИФИ», стр. 46-47 (2015). 3. Н.А. Дюжев, A.A. Дедкова, E.Э. Гусев, А.В. Новак. Известия Вузов. Электроника, 4, стр. 367 (2016).

А Б

Рис. 1. Механические напряжения в А) мембране с 8 слоями, Б) мембране с 24 слоями

Page 152: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Функциональная электроника и оптоэлектроника

152 Мокеровские чтения. 8-я Международная научно-практическая конференция

РАЗРАБОТКА ТЕМПЕРАТУРНОГО ДАТЧИКА НА ОСНОВЕ ТОНКИХ РЕЗИСТИВНЫХ ПЛЕНОК

*Р.В. Захарченко1, С.А. Шостаченко1, Р.В. Рыжук1, Н.И. Каргин1

1Национальный Исследовательский Ядерный Университет МИФИ Россия, 115409, г. Москва, Каширское шоссе, дом 31, e-mail: [email protected]

DEVELOPMENT OF A TEMPERATURE SENSOR ON THE BASIS

OF THIN-FILM RESISTORS

*R.V. Zakharchenko1, S.A. Shostachenko1, R.V. Ryzhuk 1, N.I. Kargin1

1National Research Nuclear University MEPhI Russia, 115409, Moscow, Kashirskoe sh., 31, e-mail: [email protected]

In the present work, we developed a temperature sensor based on thin resistive

films.We investigated the dependence of the temperature coefficient of resistance (TCR) of the thin film resistor material. Thin film resistors based on compounds TaN, TiN and NiCr were deposited by reactive magnetron sputtering. Temperature coefficient of re-sistance was determined by measuring the current-voltage characteristics at different temperatures on the probe station with a heated table.

Subsequently, the sensor was calibrated at different temperatures with a thermo-couple.

As a result, the sensors based on titanium nitride had the best sensitivity and ther-mal stability

В данной работе был разработан температурный датчик на основе

тонких резистивных пленок. Тонкопленочные резисторы на основе соединений TaN, TiN и NiCr

были нанесены методом реактивного магнетронного распыления на уста-новке Kurt J. Lesker PVD 250. В качестве подложки был использован Al2O3. Рабочее давление в камере составляло 8.4·10-4 торр. Омические контакты к тонкопленочным резисторам были сформированы на основе титана. Температурный коэффициент сопротивления был определён с помощью измерения вольтамперных характеристик при различных тем-пературах на зондовой станции с подогреваемым столиком и установке Agilent B1500. Измерения сопротивления были проведены в диапазоне температур (25÷300) °С. Полученная зависимость была аппроксимирована методом наименьших квадратов (результаты измерений показаны в табл. 1).

Таблица 1. Значения ТКС исследуемых материалов Материал ТКС, ppm/K

TaN -21.9 TiN 80.5 NiCr -48.2

Page 153: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Функциональная электроника и оптоэлектроника

Мокеровские чтения. 8-я Международная научно-практическая конференция 153

Как видно из таблицы 1 наибольшей чувствительностью в заданном интервале температур обладает тонкопленочный резистор на основе со-единения нитрида титана.

На рис.1 показана зависимость сопротивления терморезистора на ос-нове TiN размером 20x20 мкм от его температуры.

Рис.1. Каллибровка терморезистора на основе TiN

Одним из основных параметров терморезисторов является их темпе-

ратурная устойчивость. Для этого был проведён отжиг в печи быстрого термического отжига в атмосфере воздуха при температурах (200÷600) °С с шагом 100 °С. После каждого отжига измерялось поверхностное сопро-тивление (рис.2.).

Рис. 2. Определение термической стабильности тонкопленочных резисторов

На рис. 2 видно, что нитрид титана не только самый чувствительный

терморезистор, но и самый термостабильный из исследованных.

Page 154: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Функциональная электроника и оптоэлектроника

154 Мокеровские чтения. 8-я Международная научно-практическая конференция

БАЗОВАЯ ТЕХНОЛОГИЯ ПОСТРОЕНИЯ КОММУТАЦИОННОЙ СРЕДЫ ДЛЯ ВЫСОКОПАРАЛЛЕЛЬНЫХ ВЫЧИСЛИТЕЛЬНЫХ СИСТЕМ

М.А. Махиборода1 *А.В. Махиборода2, А.В. Ильичёв2, А.А. Подобин2

1Национальный исследовательский университет «МИЭТ» Россия, 124498, г. Москва, г. Зеленоград, площадь Шокина, дом 1,

e-mail: [email protected] 2Национальный исследовательский университет «Высшая школа экономики»

Россия, 123458, г. Москва, ул. Таллинская, дом 34, e-mail: [email protected]

BASIC TECHNOLOGY FOR CONSTRUCTING THE COMMUTATION MEDIUM FOR HIGHLY PARALLEL COMPUTER SYSTEMS

M.A. Makhiboroda1, *A.V. Makhiboroda2, A.V. Ilyichev2, A.A Podobin2

1National Research University «MIET» Russia, 124498, Moscow, Zelenograd, Shokin Square, 1,

e-mail: [email protected] 2National Research University «Higher School of Economics»

Russia, 123458, Moscow, Tallinskaya, 34, e-mail: [email protected] A perspective computer system with massive parallelism is constructed as a network structure with a large number of arithmetic blocks and local switchboards of small di-mension. The local switchboard is a data transmission path with code division of chan-nels. The local data transmission path is constructed on the basis of crystal high-frequency vacuum triodes with field emission cathode.

Тактовая частота перестала быть главным ресурсом, обеспечивающим рост производительности вычислительных средств. Основным инстру-ментом повышения производительности становится массовый паралле-лизм. При этом технология позволяет после 2020 года преодолеть рубеж в 1 миллион процессорных ядер не кристалле [1]. Однако реализация массового параллелизма для широкого круга задач это достаточно серьёзная и пока не решённая проблема. Имеющийся на сегодняшний день опыт создания и эксплуатации высокопараллельных вычислительных систем позволяет реализовать не более чем 10% потен-циала параллелизма в диапазоне нескольких сотен процессорных элемен-тов, при жёстких ограничениях классов решаемых задач. Можно приве-сти, например, данные по продукции фирмы NVIDIA [2]. Анализ причин падения производительности при больших значениях параллелизма и методы преодоления ограничений роста изложены в [3]. Новая архитектурная концепция позволяет сформулировать требования к построению базовых локальных коммутационных модулей. Локальные коммутационные модули должны иметь небольшую размерность, порядка

Page 155: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Функциональная электроника и оптоэлектроника

Мокеровские чтения. 8-я Международная научно-практическая конференция 155

нескольких сотен абонентов, должны строиться по полнодоступной схе-ме, исключающей очереди и задержки протокола, должны иметь скорость передачи, совместимую с тактовой частотой процессора, должны быть технологически совместимыми с основной схемотехникой на кристалле. Для построения полнодоступной коммутационной среды может быть использован тракт передачи данных с кодовым разделением каналов. CDMA (Code Division Multiple Access). В системах CDMA выделение ка-налов осуществляется алгоритмически методом накопления по заданному кодовому профилю. Если тракт поддерживает, например, 64 канала, на передачу и выделение одного передаваемого бита потребуется 64 посыл-ки. Если задан определённый темп передачи данных по тракту в целом, частота внутри такого тракта должна быть в 64 раза выше. Физическая реализация тракта может быть осуществлена на электрон-ном пучке, порождаемом кремниевым автоэмиссионным катодом. В [4] описана НЭМС технология формирования твердотельного вакуумного триода на базе кремниевого лезвийного автоэмиссионного катода. По данным математического моделирования вольтамперных и частотных характеристик автоэмиссионный триод может устойчиво функциониро-вать на частотах до 0.16 ТГц при технологических нормах 22 нм. При тактовой частоте на кристалле 0.6 ГГц можно организовать пол-нодоступный коммутационный модуль на 256 абонентов, работающий в темпе поступления данных на кристалле. Для более устойчивой работы передающего тракта желательно применить цифровую реализацию, со-стоящую из 8 автоэмиссионных триодов, работающих в ключевом режиме и передающих битовый вектор, читаемый как двоичное число. Для парал-лельной передачи 32-разрядных слов надо задействовать 256 автоэмисси-онных триодов.

Литература 1. Сборник трудов 7-й Международной конференции по физике и технологии гетерострук-турной СВЧ-электроники 25 мая 2016 г. Москва МИФИ, 169 стр., (2016). 2. Обзор продуктов семейства Tesla Kepler / NVIDIA.RU:NVIDIA Tesla GPU Asselerators, сайт производителя. UR: http//www.nvidia.ru. 3. А.В. Махиборода, А.В. Ильичёв, А.А. Подобин, А.В. Царёв. Наноструктуры, математиче-ская физика и моделирование, 25-68 14(2), (2016). 4. Н.А. Дюжев, М.А. Махиборода, Т.А. Грязнева, Е.А. Агарков, Г.Д. Дёмин. Наноструктуры, математическая физика и моделирование 39-50 15(2), (2016).

Page 156: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Терагерцовая электроника и фотоника

156 Мокеровские чтения. 8-я Международная научно-практическая конференция

СОВРЕМЕННОЕ СОСТОЯНИЕ И ПЕРСПЕКТИВЫ СОЗДАНИЯ

ТЕРАГЕРЦОВЫХ КВАНТОВО-КАСКАДНЫХ ЛАЗЕРОВ В РОССИИ

*Р.А. Хабибуллин1, Н.В. Щаврук1, А.Ю. Павлов1, Д.Н. Слаповский1, Р.Р. Галиев1, К.Н. Томош1, А.Н. Клочков1, Д.С. Пономарев1, Ф. И. Зубов2, Г.Э. Цырлин2, А.Е. Жуков2

1Институт сверхвысокочастотной полупроводниковой электроники РАН Россия, 117105, г. Москва, Нагорный проезд, дом 7, e-mail: [email protected]

2Санкт-Петербургский национальный исследовательский Академический университет РАН Россия, 194021, г. Санкт-Петербург, ул. Хлопина, дом 8

CURRENT STATE AND PROSPECTS OF DEVELOPMENT

OF TERAHERTZ QUANTUM CASCADE LASER IN RUSSIA

*R.A. Khabibullin1, N.V. Shchavruk1, A.Yu. Pavlov1, D.N. Slapovskiy1, R.R. Galiev1, K.N. Tomosh1, A.N. Klochkov1, D.S. Ponomarev1, F.I. Zubov2, G.E. Cirlin2, A.E. Zhukov2

1Institute of ultra high frequency semiconductor electronics of RAS Russia, 123456 Moscow, Nagornij proezd 7, e-mail: [email protected]

2St Petersburg National Research Academic University of RAS, Russia, 194021 St Petersburg, Khlopina 8

The first terahertz quantum-cascade laser (THz QCL) fabricated in Russia was demonstrated. The design of the active region of THz QCL based on the three quantum well with resonant-phonon depopulation scheme was proposed. Molecular beam epitaxy growth of multilayer GaAs/AlGaAs heterostructures (228 cascades) was developed. Studies I-V and the emission characteristics of fabricated THz QCL conducted in IPM RAS, confirm the nature of the stimulated emission in the test devices. Postgrowth pro-cessing was tested on Trion Technology heterostructures (USA Company).

Несмотря на отсутствие задела в России в области создания ТГц ККЛ, авторами работы в период с 2015 по 2017 гг. удалось освоить проектиро-вание дизайна активной области ТГц ККЛ [1, 2], разработать технологию синтеза многослойных GaAs/AlGaAs гетероструктур методом молекуляр-но-пучковой эпитаксии (МПЭ) [3], а также отработать процессы постро-стовой обработки выращенных гетероструктур для создания ТГц ККЛ с двойным металлическим волноводом [4]. Исследования вольт-амперных и излучательных характеристик изготовленных ТГц ККЛ, проведенные в ИФМ РАН, подтверждают стимулированный характер ТГц излучения [5]. На рисунках, которые приведены ниже, кратко проиллюстрирована последовательность действий по разработке ТГц ККЛ, начиная с расчета коэффициента усиления у предложенной конструкции активной области ТГц ККЛ (рис. 1), оптимизации условий роста гетероструктуры методом МПЭ (рис. 2), отработки постростового процессинга и сборки ТГц ККЛ на

ТЕРАГЕРЦОВАЯ ЭЛЕКТРОНИКА И ФОТОНИКА

Page 157: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Терагерцовая электроника и фотоника

Мокеровские чтения. 8-я Международная научно-практическая конференция 157

теплоотводе (рис. 3), и заканчивая измерением спектра излучения изго-товленного ТГц ККЛ (рис. 4). Основываясь на полученных результатах можно утверждать, что у России есть все возможности сократить отставание от зарубежных стран в области создания ТГц ККЛ. Это является чрезвычайно важным для созда-ния отечественных систем терагерцовой визуализации. Исследование выполнено при финансовой поддержке гранта Прези-дента РФ МК-6081.2016.8.

Рис. 1. Рассчитанные полевые зависимо-сти плотности тока (J) и коэффициента усиления в ТГЦ ККЛ гетероструктуре

при 10 К

Рис. 2. ПЭМ-изображение активной области многослойной GaAs/AlGaAs гетероструктуры

Рис. 3. РЭМ-изображение скола ТГц

ККЛ

Рис. 4. Спектр излучения ТГц ККЛ изготов-ленного на основе гетероструктуры фирмы

Trion Technology при температуре 20 и 60 К

Литература 1. R.A. Khabibullin, N.V. Shchavruk et al. IJHSES, 25(03n04), 1640022 (2016). 2. Р.А. Хабибуллин, Н.В. Щаврук и др., ФТП 51(4), 540 (2017). 3. А.Е. Жуков, Г.Э. Цырлин и др. ФТП 50(5), 674 (2016). 4. Р.А. Хабибуллин, Н.В. Щаврук и др., ФТП 50(10), 1395 (2016). 5. А.В. Иконников, К.В. Маремьянин и др., ПЖТФ 43(9), (2017).

Page 158: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Терагерцовая электроника и фотоника

158 Мокеровские чтения. 8-я Международная научно-практическая конференция

РАЗРАБОТКА ИСТОЧНИКОВ ИМПУЛЬСНОГО ТГц ИЗЛУЧЕНИЯ НА ОСНОВЕ InxGa1-xAs ПОД ВОЗДЕЙСТВИЕМ ФОТОЭФФЕКТА ДЕМБЕРА

*Д.С. Пономарев1, Р.А. Хабибуллин1, А.Э. Ячменев1, П.П. Мальцев1, М.М. Грехов2, И.Е. Иляков3, Б.В. Шишкин3, Р.А. Ахмеджанов3

1Институт сверхвысокочастотной полупроводниковой электроники РАН Россия, 117105, г.Москва, Нагорный проезд, дом 7, стр.5, e-mail: [email protected]

2Национальный исследовательский ядерный университет «МИФИ» Россия, 115409, г.Москва, Каширское ш., 31

3Институт прикладной физики РАН Россия, 603950, г.Нижний Новгород, БОКС - 120, ул. Ульянова, 46

FABRICATION OF PHOTO-DEMBER THz SOURCES BASED

ON InxGa1-xAs PHOTOCONDUCTOR

*D.S. Ponomarev1, R.A. Khabibullin1, A.E. Yachmenev1, P.P. Maltsev1, M.M. Grekhov2, I.E. Ylyakov3, B.V. Shishkin3, R.A. Akhmedzhanov3

1Institute of ultra high frequency semiconductor electronics of RAS Russia, 117105 Moscow, Nagorniy proezd 7, e-mail: [email protected]

2National Research Nuclear University MEPhI Russia, 115409 Moscow, Kashirskoe sh. 31

3Institute of applied physics of RAS Russia, 603950 Nizhny Novgorod, Ul'yanov Street 46

In0.38Ga0.62As grown with metamorphic buffer on GaAs wafer has been in-vestigated by time-domain spectroscopy. It showed broadband spectrum up to 6 THz with the contribution to photo-Dember effect. The efficiency of optical-to-THz conversion was 10-5 at rather low optical fluence ~ 40 μJ/cm2. The intensi-ty of THz radiation was twice times higher than for LT GaAs.

Методом спектроскопии с временным разрешением исследованы вре-менные и частотные свойства In0.38Ga0.62As с метаморфным буферным слоем. Показано, что материал позволяет генерировать ТГц излучение с широким спектром (вплоть до 6 ТГц), что обусловлено дополнительным вкладом фотоэффекта Дембера в ТГц генерацию. При малом оптическом флюенсе ~ 40 мкДж/см2 эффективность оптико-ТГц конверсии составила ~ 10-5. Показано, что интенсивность ТГц генерации на несколько порядков выше, чем в «низкотемпературном» GaAs. Фотопроводящие материалы на основе InxGa1-xAs позволяют работать с длинноволновой оптической накачкой в диапазоне 1.0÷1.6 мкм, излуча-емой волоконными лазерными системами или лазерами на неодимовом стекле, подходящими для реализации недорогих и компактных устройств. При поглощении оптического импульса в приповерхностном слое InxGa1-xAs рождаются электрон-дырочные пары, которые ускоряются

Page 159: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Терагерцовая электроника и фотоника

Мокеровские чтения. 8-я Международная научно-практическая конференция 159

встроенным электрическим полем, возникающим в области искривления границ запрещенной зоны вблизи поверхности полупроводника. Помимо этого, за счет большей разницы в коэффициентах диффузии электронов и дырок в InxGa1-xAs по сравнению с LT GaAs, дополнительный вклад в ТГц генерацию может вносить фотоэффект Дембера [1,2]. Мы показали, что InxGa1-xAs с мольной долей индия х = 0.38, выра-щенный на ступенчатом метаморфном буфере (МБ) на подложке GaAs (100), позволяет значительно увеличить интенсивность ТГц генерации по сравнению с LT GaAs (см. рис. 1). Мы связываем это с тем, что вклад в генерацию ТГц излучения в образце In0.38Ga0.62As c МБ, помимо ускоре-ния фотовозбужденных носителей встроенным электрическим полем, вносит фотоэффект Дембера. Спектр ТГц излучения показал, что In0.38Ga0.62As c МБ генерирует ТГц излучение вплоть до 6 ТГц, а его дина-мический диапазон составляет два порядка (см. рис. 2).

Было показано, что амплитуда ТГц излучения для In0.38Ga0.62As c МБ линейно возрастает в логарифмическом масштабе с увеличением энергии накачки до 110 мкДж и выходит на насыщение, что обусловлено междо-линным рассеянием электронов [3]. Работа была выполнена при финансовой поддержке грантов РФФИ 16-29-03033 офи_м, 16-07-00187 А и 16-29-14029 офи_м. Литература 1. V. Apostolopoulos and M.E. Barnes, J. Phys. D: Appl. Phys. 47, 374002 (2014). 2. Д.С. Пономарев и др., ФТП 51, 4, 535 (2017). 3. D.S. Ponomarev et al., IJHSES 25, 3&4, 1640023 (2016).

Рис. 1. Волновая форма ТГц импульса для обоих исследуемых образцов

Рис. 2. Спектр ТГц излучения для обоих исследуемых образцов

Page 160: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Терагерцовая электроника и фотоника

160 Мокеровские чтения. 8-я Международная научно-практическая конференция

РАЗРАБОТКА МОДЕЛИ ТГц БОЛОМЕТРА НА ГОРЯЧИХ ЭЛЕКТРОНАХ НА ОСНОВЕ GaAs СТРУКТУРЫ С НАНОНИТЯМИ ИЗ АТОМОВ ОЛОВА

*А.Э. Ячменев1, И.А. Семенихин2, В.В. Вьюрков2, А.С. Бугаев1, Д.С. Пономарев1, Р.А. Хабибуллин1, П.П. Мальцев1, М.В. Рыжий3, В.И. Рыжий1,4, T. Otsuji4

1Институт сверхвысокочастотной полупроводниковой электроники РАН Россия, 117105, г. Москва, Нагорный проезд, дом 7, стр.5, e-mail: [email protected]

2Физико-технологический институт РАН Россия, 117218, г. Москва, Нахимовский проспект, 34

3Department of Computer Science and Engineering, University of Aizu Japan, Aizu-Wakamatsu City, Fukushima-ken, 965-8580

4Research Institute for Electrical Communication, Tohoku University Japan, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577

MODEL DEVELOPMENT OF THz HOT ELECTRON BOLOMETER BASED

ON GAAS STRUCTURE WITH TIN NANOWIRES

*A.E. Yachmenev1, I.А. Semenikhin2, V.V. Vyurkov2, A.S. Bugaev1, D.S. Ponomarev1, R.A. Khabibullin1, P.P. Maltsev1, M. V. Ryzhii3, V. I. Ryzhii1,4, T. Otsuji4

1Institute of ultra high frequency semiconductor electronics of RAS

Russia, 117105 Moscow, Nagorniy proezd 7, e-mail: [email protected] 2Institute of Physics and Technology RAS

Russia, 117218, Moscow, Nakhimovsky prosp. 34 3Department of Computer Science and Engineering, University of Aizu

Japan, Aizu-Wakamatsu City, Fukushima-ken, 965-8580 4Research Institute for Electrical Communication, Tohoku University

Japan, 2-1-1 Katahira, Aoba-ku, Sendai 980-8577

The gated GaAs structures like the field-effect transistor with buried array of the Sn nanowires have fabricated. Strong anisotropy of the drain current at high gate voltage allow to considering the terahertz hot-electron bolometer based on the structure. Two device models were developed: the quantum model accounts for the quantization of the electron energy spectrum in the self-consistent two-dimensional electric potential and the classical model ignores the quantization and electrons are distributed in space according to 3D density of states and Fermi-Dirac statistics. The classical model is in better quantitative agreement with experimental data.

Ранее нами были получены гомоэпитаксиальные структуры со встро-

енными в кристалл GaAs нанонитями из атомов олова [1]. В полевых транзисторах на основе такой структуры была обнаружена анизотропия тока стока, увеличивающаяся при приложении отрицательного затворного потенциала при протекании тока перпендикулярно нанонитям [2]. Пред-ложена модель болометра на горячих электронах на основе такой струк-туры. Принцип действия основан на увеличении числа делокализованных

Page 161: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Терагерцовая электроника и фотоника

Мокеровские чтения. 8-я Международная научно-практическая конференция 161

в потенциальных ямах электронов при облучении излучением ТГц диапа-зона и детектировании возникающего при этом тока в направлении пер-пендикулярно нанонитям. Топология рассматриваемого устройства пред-ставляет собой гомоэпитаксиальную наноструктуру, со встроенными в кристалл GaAs нанонитями из атомов олова, с нанесенными контактами стока, истока и затвора, в которой плотность электронов может управ-ляться путем изменения потенциала на затворе.

Рассмотрены две модели прибора: квантовая модель, учитывающая квантование энергии электронов в двумерном электрическом потенциале и квазиклассическая модель без учета квантования, в которой плотность распределения электронов в нанонити подчиняется статистике Ферми-Дирака. Несмотря на то, что обе модели качественно согласуются с ре-зультатами эксперимента, результаты расчетов с использованием ква-зиклассической модели и параметром распределения атомов олова в нанонити порядка двух постоянных решетки GaAs a = 10-7 см (рис. 1) по-казали лучшее количественное согласование с экспериментальными из-мерениями (рис. 2).

Работа была выполнена при финансовой поддержке грантов РФФИ

16-29-03033 офи_м и 16-07-00187 А. Литература 1. R.A. Khabibullin, A.E. Yachmenev at al., Semiconductors 50, 185–190 (2016). 2. I. Semenikhin, V. Vyurkov at al., Proc. of SPIE Vol. 10224, 102240R-1 (2016).

Рис. 1. Теоретический расчет отношения элек-тронов над барьером к общему числу от напряжения на затворе Ug для различных параметров распределения атомов олова

в нанонити

Рис. 2. Экспериментальные кривые тока стока Id транзистора от напряжения

на затворе Ug при напряжении на стоке Ud = 0.5 В

Page 162: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Терагерцовая электроника и фотоника

162 Мокеровские чтения. 8-я Международная научно-практическая конференция

ВЫНУЖДЕННЫЕ ВОЗБУЖДЕНИЯ ПОЛЯРИТОННОГО ТИПА В ДЕТЕКТИРУЮЩИХ ТЕРАГЕРЦОВЫХ ДВУХБАРЬЕРНЫХ GaAs/AlAs НАНОСТРУКТУРАХ, ОБУСЛОВЛЕННЫЕ НЕРАВНОВЕСНОСТЬЮ

ПРОЦЕССА РЕЗОНАНСНОГО ТУННЕЛИРОВАНИЯ

А.А. Алексанян, *А.Л. Карузский, Ю.А. Митягин, В.Н. Мурзин, А.В. Пересторонин

Физический институт им. П.Н. Лебедева РАН, Ленинский пр. 53, 119991, Москва, тел. +7(499)1326493, e-mail: [email protected]

POLARITON-LIKE STIMULATED EXCITATIONS IN RECTIFYING TERAHERTZ

GaAs/AlAs DOUBLE BARRIER NANOSTRUCTURES DRIVEN BY NONEQUILIBRITY OF THE RESONANT-TUNNELING PROCESS

A.A. Aleksanyan, *A.L. Karuzskii, Yu.A. Mityagin, V.N Murzin, A.V. Perestoronin

P. N. Lebedev Physical Institute of RAS, Leninsky pr. 53, 119991 Moscow, Russia tel. +7(499)1326493, e-mail: [email protected]

The periodical-in-voltage features of the negative differential conductance region in

the current-voltage characteristics of a GaAs/AlAs terahertz resonant-tunneling diode have been detected. The found oscillations are considered taking account of the LO-phonon-branch polariton excitations stimulated by tunneling of electrons through the quantum active region in the resonance nanostructure where an undoped quantum well layer is sandwiched between two undoped barrier layers. The stimulated excitations are driven by excessive energy of the cascade inversion in the resonant tunneling process.

Резонансно-туннельные диоды (РТД) привлекают растущее внимание

в области разработок датчиков физических величин: температуры, давле-ния, света, электромагнитного излучения и т. д., РТД с встроенными кван-товыми точками, работающих в качестве однофотонных приёмников и счётчиков фотонов, РТД фотоприёмников, обладающих высоким коэффи-циентом усиления и чувствительных при комнатной температуре к дли-нам волн, используемым в телекоммуникационных системах (см. ссылки в [1]). В таких устройствах используется свойство РТД как прибора с внутренним усилением слабых сигналов, во многом обусловленное об-суждаемой здесь каскадной инверсией процесса резонансного туннелиро-вания. Большое внутреннее усиление, малые рабочие напряжения, много-образие функциональных возможностей РТД за счёт наличия области от-рицательной дифференциальной проводимости (ОДП) выдвигают РТД детекторы в качестве альтернативы лавинным приёмникам. Как и в ла-винных приёмниках, в РТД приборах производительность регулируется прикладываемым напряжением смещения. РТД наноструктуры представ-ляют интерес также для осуществления термодинамических наноциклов [2] типа лазерного охлаждения [3].

Page 163: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Терагерцовая электроника и фотоника

Мокеровские чтения. 8-я Международная научно-практическая конференция 163

-2,0 -1,5 -1,0 -0,5 0,0

-0,015

-0,010

-0,005

0,000

-1,6 -1,5 -1,4-0,0125

-0,0120

-0,0115

-0,0110

IR

S , A

VRTD

, V

Без СВЧ накачки

МаксимальнаяСВЧ мощность

129,2 GHz300 K

-2,0 -1,5 -1,0 -0,5 0,0

-0,05

0,00

0,05

0,10

-1,6 -1,5 -1,4-0,02

-0,01

0,00

0,01

dI R

S

/dV

RT

D, A

/V

129,2 GHz300 K

VRTD

, V

Без СВЧ накачки Макс. СВЧ мощность

-1,6 -1,5 -1,4-2

-1

0

1

-2,0 -1,5 -1,0 -0,5 0,0

-2

-1

0

1

2

3

d2 I R

S

/dV

2

RT

D, A

/V2

129,2 GHz300 K

VRTD

, V

Без СВЧ накачки Макс. СВЧ мощность

Рис. 1. ВАХ, её первая и вторая производные для ДБРТД при отсутствии и при воздействии СВЧ излучения. Т=300 К, «квантовая» область AlAs/GaAs 23/45/20 Å

Периодические особенно-

сти участка ОДП ВАХ [4, 5] в GaAs/AlAs РТД-детекторах терагерцовых частот (рис. 1) рассмотрены на основе меха-низма вынужденных возбуж-дений поляритонного типа LO-фононной ветви, обуслов-ленных каскадной инверсией (рис. 2). Поляритонная приро-да осцилляций ОДП, не свя-занная с колебаниями во внешней цепи, подтверждает-ся отсутствием периодически

повторяющихся особенностей в виде сглаженных θ-, δ-функций и произ-водной сглаженной δ-функции на ВАХ, её первой и второй производных [5, 6]. Такие особенности появляются при детектировании внешнего СВЧ сигнала в режиме внутреннего усиления (рис. 1). На вынужденный харак-тер возбуждений указывает малое усреднённое ОДП (1/300 Ом−1), период (72 мэВ≅2ħωLO) и число (на 1 меньшее числа монослоёв в барьерах) ос-цилляций ОДП, а также неизбежная при протекании тока в РТД каскадная инверсия (рис. 2). Вынужденный механизм возбуждений рассмотрен с учётом нелокального влияния граничных условий на внутренние состоя-ния за счёт локальных электрических полей. РФФИ (14-02-00658, 15-02-09055).

Литература 1. A. Pfenning et al., Nanotechnology, v.27, 355202 (9pp) (2016). 2. A. Ziabari et al., Rep. Prog. Phys., v.79, 095901 (34pp) (2016). 3. D.V. Seletskiy, R. Epstein, M. Sheik-Bahae, Rep. Prog. Phys., v.79, 096401 (23pp) (2016). 4. А.А. Алексанян и др., Вестник НИЯУ «МИФИ», т.3 (6), с.671 (2014). [5] A.A. Aleksanyan et al., Proc. of SPIE, v.10224, 102240O (7pp) (2016). [6] M. Bao, K.L. Wang, IEEE Transactions on Electron Devices, v.53 (10), p.2564 (2006).

Рис. 2. Профиль дна зоны проводимости эмиттера, барьеров, ямы и коллектора в ДБРТД n-типа при отрицательном напряжении на эмиттере. Показано подключение измерительных приборов. (Справа) Иллюстрация избыточной энергии резонансного

туннелирования за счёт каскадной инверсии. Полу-чена инверсным отражением левого рисунка. Ток переносят гипотетические носители положительно-го знака. Поток туннелирующих электронов течёт в

обратном направлении от эмиттера n-типа

Page 164: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Терагерцовая электроника и фотоника

164 Мокеровские чтения. 8-я Международная научно-практическая конференция

ИССЛЕДОВАНИЕ ХАРАКТЕРИСТИК ФОТОПРОВОДЯЩИХ АНТЕНН КАК ИСТОЧНИКА ШИРОКОПОЛОСНОГО ИЗЛУЧЕНИЯ ДЛЯ ТГЦ – ВИДЕНИЯ

К.И. Козловский*, И.С. Васильевский, А.Н. Виниченко, Н.И. Каргин, Г.Е. Котковский, А.А. Чистяков

Национальный исследовательский ядерный университет «МИФИ» г. Москва, Каширское ш., 31, e-mail: [email protected]

CHARACTERISATION OF FOTOCONDUCTIVE ANTENNAS AND THEIR

APPLICATION AS A RADIATION SOURCE FOR BROADBAND THZ-VISION

K.I. Kozlovskii*, I.S. Vasil’evskii, A.N. Vinichenko, N.I. Kargin, G.E. Kotkovskii, A.A. Chistyakov

National research nuclear university «MEPhI» Russia, 115409 Moscow, Kashirskoe shosse, 31, e-mail: [email protected]

The paper presents the results of the study of photoconductive antennas for

imaging problems of illuminated objects using wide-band terahertz radiation. We tested foreign photoconductive antennas (FCA), based on low-temperature GaAs, also manufactured by Russian authors.

В работе представлены результаты исследования фотопроводящих ан-

тенн (ФПА) для задач формирования изображений освещаемых предме-тов с использованием широкополосного терагерцового излучения. Испы-таны зарубежные и изготовленные авторами в России различные фото-проводящие антенны (ФПА) на основе низкотемпературного GaAs.

Исследовались средняя мощность и спектр ТГц излучения ФПАА также возможность получения 2D изображений.

В качестве источника излучения для возбуждения ФПА использовал-ся фемтосекундный лазер с длиной волны 800 нм с частотой повторения импульсов 70 МГц и средней мощностью до 1.8 Вт. Изучены возможно-сти формирования ТГц излучением изображений на отражение и на про-свет.

Созданный стенд (рис. 1) позволяет получать как спектр исследуемого ТГц-излучения, так и видеоизображение. Фемтосекундный лазер воздей-ствует на ФПА, генерируемое ТГц-излучение разлагается на спектр при помощи Фурье-спектрометра на основе интерферометра Майкельсона, затем оно регистрируется пироэлектрическим детектором.

При замене этого детектора на ТГц-камеру возможно получение 2D-изображений объектов с регистрацией спектра прошедшего и отраженно-го от этих объектов терагерцового излучения. При помощи данного стен-

Page 165: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Терагерцовая электроника и фотоника

Мокеровские чтения. 8-я Международная научно-практическая конференция 165

да исследованы также особенности спектров излучения и мощность раз-личных ФПА.

Литература 1. A.A. Chistyakov, K.I. Kozlovskii, G.E. Kotkovskii, Yu.A. Kuzishchin, V.A. Krivenkov, Yu.A. Mityagin, I.N. Piryazev // Journal of Physics: Conference Series 737 (2016) 012020.

Рис. 1. Схема разработанного стенда: БО - блок охлаждения, БПЛ – блок питания лазера,

БП ФПА – блок питания ФПА

Page 166: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Терагерцовая электроника и фотоника

166 Мокеровские чтения. 8-я Международная научно-практическая конференция

ЭЛЕКТРОФИЗИЧЕСКИЕ СВОЙСТВА ТЕРАГЕРЦОВОГО ФОТОПРИЕМНИКА И ФОТОПРОВОДЯЩЕЙ АНТЕННЫ НА ОСНОВЕ LT-GaAs

*С.А. Номоев1, И.С. Васильевский1, А.Н. Виниченко, К.И. Козловский1, А.А. Чистяков1

1Национальный исследовательский ядерный университет «МИФИ» Каширское шоссе, д.31, г. Москва, 115409, e-mail: [email protected]

ELECTRON PROPERTIES OF LT-GaAs TERAHERTZ PHOTODETECTORS

AND PHOTOCONDUCTIVE ANTENNA

*S.А. Nomoev1, I.S. Vasilevskii1, K.I. Kozlovskiy1, A.N. Vinichenko1

1National Research Nuclear University MEPhI (Moscow Engineering Physics Institute) Kashirskoe shosse 31, Moscow, 115409, e-mail: [email protected]

We investigated the dependence of terahertz (THz) response power on ex-situ annealing temperature of low-temperature-grown gallium arsenide (LT-GaAs) and voltage-current characteristic. THz waves excited by femtosecond laser pulses were emitted from photoconductive antennas (flag type) formed on LT-GaAs, and the radiation power was measured with a pyroelectric detector. The THz output power of the PCA showed quadratic increase with the bias voltage.

Область электромагнитного спектра терагерцового (ТГц) излучения с длинами волн приблизительно от 0.1 до нескольких миллиметров находит широкое применение: интенсивно создаются новые устройства для обес-печения безопасности жизнедеятельности, медицинской диагностики, неразрушающего технологического и эксплуатационного контроля вслед-ствие преимуществ безвредного действия на биологические объекты и достаточно высокой проникающей способности. В настоящей работе представлены результаты исследований и разра-ботки фотопроводящей ТГц антенны на основе гетероструктуры с низко-температурным GaAs (LT-GaAs). Для этого в работе решались несколько задач, связанных с выбором типа источника ТГц излучения, исследова-нию ее вольт-амперных характеристик (ВАХ) и ватт-амперных характе-ристик изготовленной фотопроводящей антенны, оптимизации, как усло-вий роста, так и топологии антенны для увеличения мощности ТГц откли-ка. Исходя из анализа изученных литературных данных [1] по отжигу LT-GaAs и проведенных нами экспериментов, было решено увеличить темпе-ратуру отжига до температуры 700 °С и провести новую серию экспери-ментов. Выбор параметров и контроль за процессом отжига являются принципиально важными, поскольку последний влияет не только на ре-лаксацию несовершенств структуры, но и на формирование преципитатов

Page 167: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Терагерцовая электроника и фотоника

Мокеровские чтения. 8-я Международная научно-практическая конференция 167

Аs в слое кристалла, определяющих в значительной степени электрофизи-ческие свойства LТ-GaАs. В результате проведенных измерений ВАХ, сделанных для образцов при температурах отжига 673.8 °С и 716.2 °С был зарегистрирован ТГц отклик мощностью 5 мкВт и 4.2 мкВт и коэффици-ент преобразования тока в мощность 0.36 мВт/А и 0.21 мВт/А, соответ-ственно. Полученные результаты сравнивались с параметрами ТГц антен-ны известной фирмы ZOMEGA (США). Измерения проводились на опти-ческой установке для генерации и регистрации ТГц излучения с помощью фемтосекундного лазера. График мощности (Р, Вт) ТГц отклика ФПА в зависимости от напряжения смещения (U, В) имеет нелинейно возраста-ющую зависимость (аппроксимация по измеренным в эксперименте дан-ным дала квадратичную зависимость: P(U) = 1.6 + 4.5·10-4U2, где P [мкВт], U [В], что согласуется с теорией [2]. Однако для нашей антенны, если учесть коэффициент преобразования излучения лазера в мощность ТГц излучения, значение которого меньше единицы, получается надквадра-тичная зависимость мощности ТГц излучения от напряжения смещения. Проделанная работа по созданию и исследованию электрофизических характеристик оптимизированной фотопроводящей антенны позволила установить, что разработанная методом молекулярно-лучевой эпитаксии конструкция фотопроводящей ТГц антенна на основе низкотемпературно-го арсенида галлия LT-GaAs с флажковой геометрией контактов обладает высокой мощностью ТГц отклика.

Литература 1. S. Kasai, M. Watanabe, et al., Japanese J. Appl. Phys. Vol. 46, No. 7A, 4163–4165(2007). 2. M. Toufella, P. Puech, R. Carles, et al., J. Appl. Phys. 85, 2929 (1999).

Page 168: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Терагерцовая электроника и фотоника

168 Мокеровские чтения. 8-я Международная научно-практическая конференция

ИМЕННОЙ УКАЗАТЕЛЬ АВТОРОВ

− А − Авакянц Л.П., 144 Аверьянов Д.В., 82 Аверьянов Д.В., 84 Аврамчук А.В., 58, 60, 106, 110 Александров А.Е., 98 Алексанян А.А., 162 Алешин А.Н., 40 Алтухов И.В., 76 Алфимова Д.Л., 112 Аминев Д.Ф., 126, 132 Антонова Н.В., 30, 60 Арапов Ю.Г., 14 Арустамян Д.А., 64 Асланян А.Э., 144 Афанасьев А.Н., 20, 22 Ахмеджанов Р.А., 158

− Б − Бабак Л.И., 38 Бакаров А.К., 24 Бакеренков А.С., 140 Бакун А.Д., 134, 150 Безбородов В.С., 52 Богданов E.В., 146 Богомазова Н.В., 54 Боков П.Ю., 144 Большакова И., 138 Борисова М.К., 104 Бугаев А.С., 160 Булавин М., 138

− В − Васильев А., 138

Васильев Р.Б., 118 Васильевский И., 138 Васильевский И.С., 14, 28, 30, 60, 72, 76, 120, 164, 166 Васильченко А.А., 12 Вершков В.А., 126 Веселов Д.С., 150 Вильегас Брито Х.К., 90 Вилья Н., 106 Виниченко А.Н., 14, 28, 30, 72, 76, 122, 164, 166 Волков В.В., 144 Волков О.Г., 74 Воронов Ю.А., 150 Воропаев К.О., 142 Вьюрков В.В., 160

− Г − Галиев Г.Б., 120, 122 Галиев Р.Р., 156 Гамкрелидзе С.А., 16 Гапоненко Н.В., 86, 88, 90 Гармаш В.И., 46 Гаршев А.В., 118 Гергель В.А., 74, 78 Гимальдинова М.А., 104 Голосов Д.А., 86, 106 Гольтяпин Я.В., 96 Гончарова Л.М., 66 Гордейчук М.В., 100, 102 Горох Г.Г., 54, 56 Горшкова Н.М., 74, 78 Грехов М.М., 106, 120, 158 Грешнов А.А., 20, 22

Page 169: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Терагерцовая электроника и фотоника

Мокеровские чтения. 8-я Международная научно-практическая конференция 169

Громов Д.В., 136 Гудина С.В., 14 Гусев А.С., 110, 134

− Д − Деминский П.В., 56 Дижур С.Е., 76 Дюжев Н.А., 150

− Е − Егоркин В.И., 46 Егорова Ю.А., 52 Енишерлова К.Л., 36, 124 Еремин И.С., 72 Еримеев Г.А., 66 Ермаков Д.С., 150

− Ж − Жарский И.М., 52 Желаннов А.В., 50 Жигунов Д.М., 72 Жилинский В.В., 52, 54 Жуков А.Е., 156 Журавлев К.С., 24

− З − Заиченко А.Н., 68 Захарченко Р.В., 152 Захлебаева А.И., 54 Звайгзне М.А., 96 Звайгзне М.А., 98 Зебрев Г.И., 42, 44 Зегря Г.Г., 20, 22 Земляков В.Е., 46 Зенченко Н.В., 40

Зимин А.Б., 106 Зиневич В.С., 36 Зотов А.О., 130 Зубов Ф.И., 156

− И − Иванов В.А., 86 Иванов С.В., 34 Ивонин И.В., 128 Ильичёв А.В., 154 Ильченко Е.В., 14 Иляков И.Е., 158 Ионов А.С., 48, 50, 142

− К − Каган М.С., 76 Казакова А.Е., 112 Каратеев И.А., 82, 84 Каргин Н., 138 Каргин Н.И., 28, 30, 72, 86, 90, 100, 102, 104, 108, 110, 134, 152, 164 Карлова Д.А., 118 Карузский А.Л., 162 Катин К.П., 100, 102, 104 Киреев В.Ю., 150 Климов Е.А., 120, 122 Клоков А.Ю., 126, 132 Клочков А.Н., 80, 120, 122, 156 Ковалевский А.А., 62 Ковальчук Н.Г., 58 Коган Л.М., 144 Козловский В.Ф., 118 Козловский К.И., 164, 166 Коленцова О.С., 72 Колковский Ю.В., 36, 124 Комиссаров И.В., 58, 106, 110 Комиссарова Т.А., 34

Page 170: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Терагерцовая электроника и фотоника

170 Мокеровские чтения. 8-я Международная научно-практическая конференция

Кондратьев О.А., 82, 84 Копылов В.Б., 122 Копытов Г.Ф., 12 Копьев П.С., 34 Королева А.Ф., 82, 84 Кость Я., 138 Котковский Г.Е., 164 Кривобок В.С., 126, 132 Куликов С., 138

− Л − Лабунов В.А., 110 Лапин В.Г., 26 Лебедев А.И., 92, 118 Лозовенко А.А., 56 Лукашин В.М., 26 Лунин Л.С., 64 Лунина М.Л., 112 Лыпенко Д.А., 98 Лютцау А.В., 124 Ляхова Н.Н., 56

− М − Мальцев П.П., 16, 40, 158, 160 Маслов М.М., 100, 102, 104 Матющенко И.А., 134 Махиборода А.В., 154 Махиборода М.А., 154 Мельцер Б.Я., 34 Минина Н.Я., 146 Минкин В.С., 74, 78 Митягин Ю.А., 162 Монархович Н.Д., 86 Мохамед А.А.А., 66 Мудрый A.В., 88 Мунтяну Е.Г., 64 Мурзин В.Н., 162

− Н − Неверов В.Н., 14 Неженцев А.В., 46 Нигериш К.А., 58, 60 Никитенко В.Р., 94, 96 Никульникова Е.В., 116, 128 Новиков А.В., 116 Новиков С.И., 26 Номоев С.А., 166

− О − Орлов В.В., 42, 44 Осинский В.И., 56 Осокина Л.В., 38

− П − Павлов А.Ю., 156 Павловский В.В., 74 Папроцкий С.К., 76 Парфёнов О.Е., 82, 84 Пашковский А.Б., 26 Пащенко А.С., 114 Пересторонин А.В., 162 Першенков В.С., 140 Петров А.В., 48, 50 Подгорных С.М., 14 Подобин А.А., 154 Полевич С.А., 136 Пономарев Д.С., 40, 156, 158, 160 Протасов Д.Ю., 24 Пушкарев С.С., 120, 122

− Р − Радишевский М., 138 Райченок Т.Ф., 88, 90

Page 171: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Терагерцовая электроника и фотоника

Мокеровские чтения. 8-я Международная научно-практическая конференция 171

Родин А.С., 140 Романов Р.И., 110 Рубан О.А., 40 Руденко М.В., 86, 88 Рыжий В.И., 160 Рыжий М.В., 160 Рыжук Р.В., 102, 104, 152

− С − Савельев А.П., 14 Сагунова И.В., 130 Самохвалов П.С., 98 Саунина А.Ю., 94 Сафаралиев Г.К., 108 Сафонов Д.А., 30, 72 Селезнев Б.И., 48, 50, 142 Семенихин И.А., 160 Середин Б.М., 68 Середина М.Б., 68 Сибирмовский Ю.Д., 72 Сигловая Н.В., 108 Слаповский Д.Н., 156 Случинская И.А., 118 Смирницкий Н.С., 70 Смирнов А.Г., 52 Соловьев В.А., 34 Сохраби Анараки Х., 86 Сторчак В.Г., 82, 84 Стриханов М., 138 Строгова А.С., 62 Сукалин К.С., 90 Султанов А.О., 108, 110 Сыщенко Е.А., 38

− Т − Талденков А.Н., 82, 84 Тамеев А.Р., 98 Темпер Э.М., 124

Тимашев С.Ф., 134 Тимофеев А.А., 108 Тихомиров С.А., 90 Токмачёв А.М., 82 Токмачёв А.М., 84 Томош К.Н., 156 Торопов А.И., 24 Торхов Н.А., 38, 116, 128 Туркин А.Н., 144

− Ф − Федоров Д.Г., 48 Федоров Ю.В., 16 Фелицын В.А., 44, 140 Филимонова И.Д., 116 Фоминский В.Ю., 110

− Х − Хабибуллин Р.А., 156, 158, 160 Хвальковский Н.Е., 76 Холов П.А., 86 Хорошко Л.С., 88

− Ц − Цветков В.А., 126, 132 Цырлин Г.Э., 156

− Ч − Чеботарев С.Н., 66 Червяков А.В., 144 Черник А.А., 52 Чернов М.Ю., 34 Чистяков А.А., 96, 98, 164, 166

Page 172: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Терагерцовая электроника и фотоника

172 Мокеровские чтения. 8-я Международная научно-практическая конференция

− Ш − Шарков А.И., 126, 132 Шевяков В.И., 130 Шелушинина Н.Г., 14 Шишкин Б.В., 158 Шлёнская Н.Н., 118 Шостаченко С.А., 152, 110 Шурыгин Ф., 138

− Щ − Щаврук Н.В., 156

− Ю − Юнович А.Э., 144

− Я − Якунин М.В., 14 Ячменев А.Э., 158, 160

− B − Biyikli N., 56

− H − Haider A., 56

− K − Kellogg C., 148 Kozhanov A., 148

− N − Nanayakkara K., 148

− O − Otsuji T., 160

− V − Vasil'evskii I., 148

Page 173: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Терагерцовая электроника и фотоника

156 Мокеровские чтения. 8-я Международная научно-практическая конференция

МОКЕРОВСКИЕ ЧТЕНИЯ

8-я Международная научно-практическая конференция по физике и технологии

наногетероструктурной СВЧ-электроники

24 мая 2017 года

СБОРНИК ТРУДОВ

Подписано в печать 11.05.2017. Формат 60×84 1/16. Печ.л. 11,0. Тираж 130 экз. Заказ 70.

Национальный исследовательский ядерный университет «МИФИ»

Типография НИЯУ МИФИ.

115409, Москва, Каширское ш., 31

Page 174: ¡борник-трудов... · УДК 621.382(06)+539.2(06)+620.3(06) ББК 22.36в6 М 74 Мокеровские чтения. 8-я Международная научно-практическая

Терагерцовая электроника и фотоника

Мокеровские чтения. 8-я Международная научно-практическая конференция 157