320
7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド UG482 (v1.8) 2016 6 21 本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資 料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情 報につきましては、必ず最新英語版をご参照ください。

7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

Embed Size (px)

Citation preview

Page 1: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー

ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

本資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。資料によっては英語版の更新に対応していないものがあります。日本語版は参考用としてご使用の上、最新情報につきましては、必ず最新英語版をご参照ください。

Page 2: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com UG482 (v1.8) 2016 年 6 月 21 日

Notice of DisclaimerThe information disclosed to you hereunder (the “Materials”) is provided solely for the selection and use of Xilinx products. Tothe maximum extent permitted by applicable law: (1) Materials are made available "AS IS" and with all faults, Xilinx herebyDISCLAIMS ALL WARRANTIES AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING BUT NOTLIMITED TO WARRANTIES OF MERCHANTABILITY, NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULARPURPOSE; and (2) Xilinx shall not be liable (whether in contract or tort, including negligence, or under any other theory ofliability) for any loss or damage of any kind or nature related to, arising under, or in connection with, the Materials (includingyour use of the Materials), including for any direct, indirect, special, incidental, or consequential loss or damage (including lossof data, profits, goodwill, or any type of loss or damage suffered as a result of any action brought by a third party) even if suchdamage or loss was reasonably foreseeable or Xilinx had been advised of the possibility of the same. Xilinx assumes noobligation to correct any errors contained in the Materials or to notify you of updates to the Materials or to product specifications.You may not reproduce, modify, distribute, or publicly display the Materials without prior written consent. Certain products aresubject to the terms and conditions of Xilinx’s limited warranty, please refer to Xilinx’s Terms of Sale which can be viewed atwww.xilinx.com/legal.htm#tos; IP cores may be subject to warranty and support terms contained in a license issued to you byXilinx. Xilinx products are not designed or intended to be fail-safe or for use in any application requiring fail-safe performance;you assume sole risk and liability for use of Xilinx products in such critical applications, please refer to Xilinx’s Terms of Salewhich can be viewed at www.xilinx.com/legal.htm#tos.

Automotive Applications DisclaimerXILINX PRODUCTS ARE NOT DESIGNED OR INTENDED TO BE FAIL-SAFE, OR FOR USE IN ANY APPLICATIONREQUIRING FAIL-SAFE PERFORMANCE, SUCH AS APPLICATIONS RELATED TO: (I) THE DEPLOYMENT OFAIRBAGS, (II) CONTROL OF A VEHICLE, UNLESS THERE IS A FAIL-SAFE OR REDUNDANCY FEATURE (WHICHDOES NOT INCLUDE USE OF SOFTWARE IN THE XILINX DEVICE TO IMPLEMENT THE REDUNDANCY) AND AWARNING SIGNAL UPON FAILURE TO THE OPERATOR, OR (III) USES THAT COULD LEAD TO DEATH ORPERSONAL INJURY. CUSTOMER ASSUMES THE SOLE RISK AND LIABILITY OF ANY USE OF XILINX PRODUCTSIN SUCH APPLICATIONS.

© Copyright 2012–2014 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Vivado, Zynq, and otherdesignated brands included herein are trademarks of Xilinx in the United States and other countries. All other trademarks are theproperty of their respective owners.

この資料に関するフ ィードバッ クおよびリ ンクなどの問題につきましては、 [email protected] までお知らせください。 いただきましたご意見を参考に早急に対応させていただきます。 なお、 このメール アドレスへのお問い合わせは受け付けており ません。 あらかじめご了承ください。

Page 3: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

UG482 (v1.8) 2016 年 6 月 21 日 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

改訂履歴次の表に、 この文書の改訂履歴を示します。

日付 バージョ ン 内容

2012 年 1 月 3 日 1.0 初版

2012 年 2 月 21 日 1.1 図 2-10、式 2-1、表 2-7 で、ファ ク ターを 「N」 から 「N1」 および 「N2」 に変更。図 A-4、図 A-6、 表 B-1、 表 D-1、 および表 D-2 を改訂。

2012 年 1 月 1 日 1.1.1 誤植の修正。

2012 年 9 月 6 日 1.2 第 1 章の 「概要および機能」の第 2、3、4 段落をアップデート。表 2-9 で、PLL0_FBDIV/PLL1_FBDIV の説明をアップデート して PLL0_FBDIV_45/ PLL0_FBDIV_45 属性を

追加。「リセッ トおよび初期化」および第 2 章の 「パワーダウン」 を追加。図 3-2 ~図 3-5に関連する注記 1 をアップデート。表 3-9 の TXSTARTSEQ および GEARBOX_MODE属性の説明をアップデート。 表 3-26 で、 コン ト ローラーのポートのクロ ッ ク ド メ イン

と説明をアップデート。 表 3-27 の TXPI_SYNFREQ_PPM[2:0] および TXPI_GREY_SEL 属性の説明をアップデート。 第 3 章の 「TX ギアボッ クスの動作モード」 の第 1 段落をアップデート。 第 3 章 「ト ランス ミ ッ ター」 の 「内部シーケンス カウンター動作

モード」 セクシ ョ ンを削除。表 4-20 に USE_PCS_CLK_PHASE_SEL および ES_CLK_PHASE_SE 属性を追加。 第 4 章の 「アライ メン ト ステータス信号」 に第 2 および 3 段落を追加。表 4-25 の RXBYTEISALIGNED ポートの説明の 後に 1 文を追加。表 4-26に COMMA_ALIGN_LATENCY 属性を追加。表 4-42 の GEARBOX_MODE 属性の説

明をアップデート。 第 5 章 「ボード デザインのガイ ド ラ イン」 を追加。 付録 A 「パッ

ケージ別の配置情報」 のすべてのパッケージ図面をアップデート。 表 B-1 を更新。

2012 年 10 月 23 日 1.3 24 ページの 「機能の説明」 、 32 ページの 「外部基準クロ ッ クを 1 つ使用する場合」 、

33 ページの 「複数の外部基準ク ロ ッ クを使用する場合」 に Artix-7 デバイスを追加。

図 3-4 および図 3-5 の脚注から XC7A350T を削除。 表 4-3 から PCIe プロ ト コルを削

除。表 5-2 および図 5-3 から XC7A350T を削除。表 5-14 の MGTAVCC_G[N] および

MGTAVTT_G[N] ピンにセラ ミ ッ ク フ ィルター キャパシタを追加。図 A-9、図 A-10、図 A-11、図 A-12、図 A-13、図 A-14 から XC7A350T を削除。表 B-1 から XC7A350Tを削除。

2013 年 2 月 21 日 1.4 文書全体で、GTX ト ランシーバーに関する言及を GTP ト ランシーバーについての言及

に置換。

第 2 章 : 図 2-2、 図 2-12、 図 2-13、 図 2-14、 図 2-15、 図 2-16、 および図 2-17 をアッ

プデート。表 2-6 の 1 行目および 2 行目を、そして表 2-8 の 1 行目および 4 行目をアッ

プデート。 「 リセッ トおよび初期化」 の 39 ページの 後の段落を改訂。 表 2-14 の 5 行目および 6 行目をアップデート。 表 2-17、 および 47 ページの 「電源投入およびコン

フ ィギュレーシ ョ ン後」 ~ 47 ページの 「TX パラレル ク ロ ッ ク ソースのリセッ ト 」 セ

クシ ョ ンを追加。 表 2-18 の 2、 3、 4、 7、 12、 13、 15、 および 17 行目をアップデー

ト。図 2-19 をアップデート し、 この図に関連する注記を追加。図 2-20 をアップデート

し、この図に関連する注記を追加。図 2-21 およびこの図に関連する注記を含む、57 ペー

ジの 「GTP ト ランシーバー RX PMA リセッ ト 」 を追加。 表 2-22 および 57 ページの

「GTP ト ランシーバー RX コンポーネン トの リセッ ト 」 ~ 47 ページの 「電源投入およ

びコンフ ィギュレーシ ョ ン後」 のセクシ ョ ンを追加して 62 ページの 「カンマ リ アライ

メ ン ト後」 を改訂。 66 ページのループバッ ク機能の説明を改訂。 表 2-28 の 2 行目を

アップデート。 表 2-29 の 3 行目および 7 行目を、 表 2-30 の 3 行目と 7 行目をアップ

デート。 71 ページの 「デジタル モニター」 ~ 74 ページを追加。

Page 4: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com UG482 (v1.8) 2016 年 6 月 21 日

2013 年 2 月 21 日 1.4

(続き)第 3 章 : 97 ページの 「TX バッファーのバイパス」 セクシ ョ ン~ 104 ページを改訂。

図 3-20 を更新。 表 3-24 の 3 行目および 5 行目を、 および表 3-24 の 3 行目をアップ

デート。

第 4 章 : 表 4-3、表 4-4、表 4-5、表 4-6 の 5 行目と 6 行目、および表 4-7 の 12 行目を

アップデート。 135 ページの 「使用モード」 ~ 142 ページの図 4-14 を追加。 147 ペー

ジの 「使用モード」 セクシ ョ ン~表 4-15 を追加。 図 4-18 を更新。 表 4-17 の 3 行目お

よび 5 行目をアップデート。 153 ページの 「RXRATE の使用」 セクシ ョ ン~ 154 ペー

ジを追加。180 ページの 「RX バッファーのバイパス」 セクシ ョ ン~ 194 ページを改訂。

表 4-33 および表 4-33 の 5 行目および 10 行目をアップデート。

第 5 章 : 表 5-2 の 1 行目および 2 行目を、表 5-11 の 3 行目および 4 行目をアップデート。

付録 A : 図 A-4 ~図 A-14 をアップデート。

付録 B : 表 B-1 を更新。

2013 年 4 月 15 日 1.5 表 2-22 の 後の 2 行を追加。 「ループバッ ク」 の 24 ページの 「機能の説明」 に 3 文を追

加。 表 2-29 および表 2-30 で、 「DEN」 を 「DRPEN」 に変更。 図 2-23 および図 2-24 に注記を追加。 94 ページの 「機能の説明」、 「TX バッファーのバイパス」 および表 3-15 を改訂。100 ページの 「TX バッファー バイパスの使用モード」 を改訂、図 3-12、「TX バッ

ファーのバイパス」、 「シングル レーン自動モードのポート接続」 を削除、図 3-12 および

この図に関連する注記を置き換え。102 ページの「マルチ レーン モードで TX バッファー

バイパスを使用」 を改訂 (セクシ ョ ンの表題および本文から 「手動」 を削除)。 「マルチ

レーン自動モードの TX バッファーのバイパス」 というセクシ ョ ンの表題を削除。表 4-2に 後の 2 行を追加。 表 4-3、 表 4-4、 表 4-5 で、 「INCP」 を 「IPCM」 に変更。 表 4-12で、 RXCDR_CFG 属性のタイプを 72 ビッ トの 16 進数から 83 ビッ トの 16 進数に変更。

2013 年 8 月 28 日 1.6 XC7A35T-CSG325 (Preliminary)、 XC7A35T-FGG484 (Preliminary)、XC7A50T-CSG325 (Preliminary)、 XC7A50T-FGG484 (Preliminary)、XC7A75T-FGG484、 および XC7A75T-FGG676 デバイスを追加。

2014 年 4 月 3 日 1.7 XC7A35T-CPG236、 XC7A50T-CPG236、 および XC7Z015-CLG485 デバイスを追加。

表 1-3 の SIM_VERSION のタイプを 「実数」 から 「文字列」 に変更。 表 2-22 の RX のレート変更を 「RX PCS」 から 「RX 全体」 に変更。 61 ページの 「RX レートの変更」

の説明を拡充。表 2-29 と表 2-30 の DRPEN の説明を拡充。表 4-11 の RXOSCALRESETから RXOSINTDONE の説明を変更。表 4-27 の RXCHARISK[3:0] の方向を 「入力」 か

ら 「出力」 に変更。 表 5-2 および図 5-3 に新規デバイ ス/パッケージを追加。 表 5-3、表 5-8、表 5-9 および表 5-10 に新規デバイス/パッケージを追加。247 ページの「SelectIOの使用ガイ ド ラ イン」 の説明を拡充。 CPG236、 CSG325、 CLG485 パッケージの配置

図を追加 (図 A-1、 図 A-2、 図 A-3)。 図 A-4 に新規デバイスを追加。 表 B-1 を更新、 お

よび表 B-2 に新規デバイスを追加。

2014 年 11 月 19 日 1.8 XC7A15T (-PG236、 -CPG236、および -CLG485 パッケージ) デバイスを追加。表 2-1 のポート O および ODIV2 の説明を明確化。表 2-8 に BGBYPASSB、BGMONITORENB、

BGPDB、 BGRCALOVRD、 および RCALENB ポート を追加。 表 2-17 に 後の 2 行を

追加。 65 ページの 「PLL のパワーダウン」 に、 2 つ目の段落を追加。 71 ページの 「機能

の説明」 のデジタル モニターを変更し、 表 2-31 に DMONITORCLK および DMONFIFORESET ポート を追加。

2016 年 6 月 21 日 1.8 表 5-1 の 「RXP と RXN は、 GTP ト ランシーバー クワ ッ ドにある各レシーバーの作動

入力ペアです。」 を 「RXP と RXN は、 GTP ト ランシーバー クワ ッ ドにある各レシー

バーの差動入力ペアです。」 に変更。

日付 バージョ ン 内容

Page 5: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 5UG482 (v1.8) 2016 年 6 月 21 日

改訂履歴. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3

このユーザー ガイドについて内容 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7その他のリ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8参考資料. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8

第 1 章 : ト ランシーバーおよびツールの概要概要および機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97 シ リーズ FPGA Transceivers Wizard . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14シ ミ ュレーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14インプ リ メンテーシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18

第 2 章 : 共有機能基準クロ ッ ク入力の構造 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21基準クロ ッ クの選択および分配 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24PLL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34

リセッ トおよび初期化. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37パワーダウン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 62ループバッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66ダイナミ ッ ク リ コンフ ィギュレーシ ョ ン ポート . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68デジタル モニター . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71

第 3 章 : ト ランスミ ッ タート ランス ミ ッ ター (TX) の概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75FPGA TX インターフェイス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76TX 8B/10B エンコーダー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83TX ギアボッ クス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86TX バッファー. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 94TX バッファーのバイパス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97TX パターン ジェネレーター . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104TX 極性制御 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 108TX のファブリ ッ ク ク ロ ッ ク出力制御 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 108TX 位相インターポレーター PPM コン ト ローラー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112コンフ ィギュレーシ ョ ン可能な TX ド ラ イバー. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115PCI Express デザイン用の TX レシーバー検出機能 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122TX の OOB 信号 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 124

第 4 章 : レシーバーレシーバー (RX) の概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 127RX アナログ フロン ト エンド . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 128RX の OOB 信号 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 133RX イコライザー. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 142RX CDR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 144

RX のファブリ ッ ク ク ロ ッ ク出力制御 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 150RX のマージン解析 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 154

目次

Page 6: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

6 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

RX 極性制御 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 164RX パターン チェッカー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 164RX バイ トおよびワード アライ メン ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 167RX 8B/10B デコーダー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 176RX バッファーのバイパス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 180RX エラスティ ッ ク バッファー . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 194RX ク ロ ッ ク コレクシ ョ ン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 199RX チャネル ボンディング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 208RX ギアボッ クス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 218FPGA RX インターフェイス . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 226

第 5 章 : ボード デザインのガイド ライン概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 229ピンの説明およびデザインのガイ ド ライン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 229基準クロ ッ ク . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 236電源およびフ ィルタ リ ング . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 240SelectIO の使用ガイ ド ライン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 247PCB デザインのチェッ ク リ ス ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 248

付録 A : パッケージ別の配置情報CPG236 パッケージの配置図 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 252CSG325 パッケージの配置図 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 253CLG485 パッケージの配置図 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 254FGG484 パッケージの配置図 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 255FGG676 パッケージの配置図 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 256FBG484 パッケージの配置図 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 258SBG484 パッケージの配置図 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 259FBG676 パッケージの配置図 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 260FFG1156 パッケージの配置図 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 262

付録 B : デバイス別の配置情報

付録 C : 8B/10B の符号

付録 D : GTP ト ランシーバー DRP アドレス マップ

Page 7: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 7UG482 (v1.8) 2016 年 6 月 21 日

このユーザー ガイドについて

ザイ リ ンクス 7 シ リーズ FPGA には、 3 つの FPGA ファ ミ リがあ り ます。 これらはすべて も低

い消費電力を達成するよ う設計されており、 適な電力、 性能、 コス トの実現に向けて、 標準デザ

インをファ ミ リ間で拡張させるこ とが可能です。 Artix™-7 ファ ミ リは、 量産アプ リ ケーシ ョ ン向

けに開発され、 も低いコス ト と消費電力を実現するよ う 適化されています。 Virtex®-7 ファ ミ

リは、 高のシステム性能と容量を提供するよ うに 適化されています。 Kintex™-7 ファ ミ リは、

対コス ト性能に も優れた新しいク ラスの FPGA です。このユーザー ガイ ドは、7 シ リーズ FPGAGTP ト ランシーバーについて説明した技術的な リ ファレンスです。

この 『7 シ リーズ FPGA GTP ト ランシーバー ユーザー ガイ ド』 を含む、 7 シ リーズ FPGA に関す

るすべての資料は、 ザイ リ ンクスのウェブサイ ト (japan.xilinx.com/7) から入手できます。

この資料では、 次のこ とが前提となっています。

• 7 シ リーズ FPGA の GTP ト ランシーバー チャネルは、GTP ト ランシーバーと略して表記され

ます。

• GTPE2_CHANNEL は、 1 つの GTP ト ランシーバー チャネルをインスタンシエートするイン

スタンシエーシ ョ ン プリ ミ ティブの名前です。

• GTPE2_COMMON は、2 つのリ ング オシレーター型 PLL (PLL0 および PLL1) をインスタン

シエートするプリ ミ ティブの名前です。

• クワ ッ ド (Q) は、 4 つの GTP ト ランシーバー チャネル、 1 つの GTPE2_COMMON プリ ミ

ティブ、 2 つの差動基準クロ ッ ク ピン ペア、 およびアナログ電源ピンで構成されています。

内容

このユーザー ガイ ドには、 次の章および付録が含まれています。

• 第 1 章 「 ト ランシーバーおよびツールの概要」

• 第 2 章 「共有機能」

• 第 3 章 「 ト ランス ミ ッ ター」

• 第 4 章 「レシーバー」

• 第 5 章 「ボード デザインのガイ ド ライン」

• 付録 A 「パッケージ別の配置情報」

• 付録 B 「デバイス別の配置情報」

• 付録 C 「8B/10B の符号」

• 付録 D 「GTP ト ランシーバー DRP アドレス マップ」

Page 8: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

8 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

このユーザー ガイドについて

その他のリソース

その他の資料は、 ザイ リ ンクスのウェブサイ トから入手できます。

http://japan.xilinx.com/support/documentation/index.htm

シ リ コンやソフ ト ウェア、 IP に関するアンサー データベースを検索したり、 テクニカル サポート

のウェブケースを開く場合は、 次のウェブサイ トにアクセスして ください。

http://japan.xilinx.com/support

参考資料

次の資料は、 このユーザー ガイ ドの補足資料と して役立ちます。

1. 『高速シ リ アル I/O をよ り簡単に使用』

http://japan.xilinx.com/publications/archives/books/serialio.pdf

Page 9: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 9UG482 (v1.8) 2016 年 6 月 21 日

第 1 章

ト ランシーバーおよびツールの概要

概要および機能

7 シ リーズ FPGA に搭載されている GTP ト ランシーバーは電力効率に優れ、 500Mb/s ~ 6.6Gb/sのライン レート をサポート します。 また、 柔軟なコンフ ィギュレーシ ョ ンが可能であ り FPGA のプログラマブル ロジッ ク リ ソース と密接に統合されています。 表 1-1 に、 さまざまなアプ リ ケー

シ ョ ンに対応する ト ランシーバーの機能をグループ別に示します。

表 1-1 : 7 シリーズ FPGA のト ランシーバーの機能

グループ 機能 GTP GTX GTH

PCS 2 バイ トの内部データパス x x x

4 バイ トの内部データパス x x

8B/10B エンコードおよびデコード x x x

64B/66B と 64B/67B をサポート x x x

カンマ検出およびバイ ト /ワード アライ メン ト x x x

PRBS ジェネレーターおよびチェッカー x x x

ク ロ ッ ク コレクシ ョ ン/チャネル ボンディング用の FIFO x x x

プログラマブル FPGA ロジッ ク インターフェイス x x x

PMA 各クワッ ドに 1 つの共有 LC タンク型 PLL x x

各クワッ ドに 1 つのリ ング オシレーター型 PLL x x

各クワッ ドに 2 つの共有リ ング オシレーター型 PLL x

基準クロ ッ クを柔軟に選択 x x x

判定帰還等化 (DFE) x x

低消費電力モード (LPM) と呼ばれる電力効率の優れた適応型リニア イコライ

ザー モードx x x

送信プリエンファシス x x x

PCI Express® デザイン用のビーコン信号 x x x

SATA デザインに対応する COM 信号を含む OOB 信号伝送 x x x

RX マージン解析 x x x

Page 10: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

10 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 1 章 : ト ランシーバーおよびツールの概要

GTP ト ランシーバーは広範なデータ レート を提供し、 豊富な機能を備えているため、 物理層では

次の多様なプロ ト コルをサポートできます。

• PCI Express、 リ ビジ ョ ン 1.1/2.0

• Interlaken

• 10Gb Attachment Unit Interface (XAUI)、 Reduced Pin eXtended Attachment Unit Interface (RXAUI)

• Common Packet Radio Interface (CPRI™)/Open Base Station Architecture Initiative (OBSAI)

• OC-48

• OTU-1

• Serial RapidIO (SRIO)

• Serial Advanced Technology Attachment (SATA)/Serial Attached SCSI (SAS)

• Serial Digital Interface (SDI)

CORE Generator™ ツールには、 GTP ト ランシーバーを異なるプロ ト コルに応じてコンフ ィギュ

レーシ ョ ンするためにあらかじめ定義された設定を自動的に生成するウ ィザードが含まれていま

す。 このウ ィザードを用いてカスタム コンフ ィギュレーシ ョ ンを作成するこ と もできます。定義済

みの設定によって有効になるプロ ト コルおよび電気仕様の一覧は、 『LogiCORE IP 7 シ リ ーズ

FPGA ト ランシーバー ウ ィザード ユーザー ガイ ド』 (UG769) を参照してください。

Spartan®-6 FPGA に搭載された旧世代のト ランシーバーと比較して、 7 シ リーズ FPGA の GTP トランシーバーには次の新しい機能が追加されています。

• 2 バイ トの内部データパス

• 各クワッ ドに 2 つのリ ング オシレーター型 PLL

• 電力効率の優れた適応型 CTLE (連続時間リニア イコライザー )

• RX のマージン解析機能で、 非破壊的な、 イコライゼーシ ョ ン後の 2D アイ スキャンを実現

はじめて使用する場合は、 『高速シ リ アル I/O をよ り簡単に使用』 [参照 1] を参照してください。 こ

の資料では、 高速シ リ アル ト ランシーバーの技術およびその応用例が説明されています。

11 ページの図 1-1 に、 Artix™-7 デバイス (XC7A100T) における GTP ト ランシーバーの配置例を

示します。 このデバイスには 8 個の GTP ト ランシーバーが搭載されています。

Page 11: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 11UG482 (v1.8) 2016 年 6 月 21 日

概要および機能

7 シ リーズ FPGA のファンクシ ョ ン ブロッ クに関するその他の情報は、 次の資料を参照してくだ

さい。

『7 シ リーズ FPGA コンフ ィギュレーシ ョ ン ユーザー ガイ ド』 (UG470) では、 コンフ ィギュ

レーシ ョ ンについて説明しています。

『7 シ リーズ FPGA SelectIO リ ソース ユーザー ガイ ド』 (UG471) では、 I/O ブロ ッ クについて

説明しています。

『7 シ リーズ FPGA ク ロ ッキング リ ソース ユーザー ガイ ド』 (UG472) では、 MMCM ( ミ ッ ク

ス ド モード ク ロ ッ ク マネージャー ) について説明しています。

図 1-2 に、 4 つの GTPE2_CHANNEL プリ ミ ティブと 1 つの GTPE2_COMMON プリ ミ ティブを

含むクワッ ドを示します。

X-Ref Target - Figure 1-1

図 1-1 : Artix-7 XC7A100T FPGA の GTP ト ランシーバー

I/OColumn

CMTColumn

I/OColumn

GTPE2_CHANNEL_X0Y7

GTPE2_CHANNEL_X0Y6GTPE2_COMMON_

X0Y1 GTPE2_CHANNEL_X0Y5

GTPE2_CHANNEL_X0Y4

CMTColumn

GTP QuadArtix-7 FPGA (XC7A100T)

GTPE2_CHANNEL_X0Y3

GTPE2_CHANNEL_X0Y2GTPE2_COMMON_

X0Y0 GTPE2_CHANNEL_X0Y1

GTPE2_CHANNEL_X0Y0

GTP Quad

Configuration

IntegratedBlock for PCI

ExpressOperation

UG482_C1_01_110811

Page 12: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

12 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 1 章 : ト ランシーバーおよびツールの概要

1 つの GTPE2_COMMON プリ ミ テ ィブと 4 つの GTPE2 チャネル プ リ ミ テ ィブで構成されたグ

ループをクワッ ド (Q) といいます。

GTPE2_COMMON プリ ミ ティブには、2 つのリ ング オシレーター型 PLL (PLL0 と PLL1) があ り

ます。 このプリ ミ ティブは、 常にインスタンシエートする必要があ り ます。

各 GTPE2_CHANNEL プリ ミ ティブは、 ト ランス ミ ッ ターとレシーバーをそれぞれ 1 つ備えてい

ます。

X-Ref Target - Figure 1-2

図 1-2 : GTP ト ランシーバーのクワッ ド コンフ ィギュレーシ ョ ン

UG482_c1_02_110811

RX TX

GTPE2_CHANNEL

RX TX

GTPE2_CHANNEL

PLL0

RX TX

GTPE2_CHANNEL

GTPE2_COMMON

REFCLK Distribution

PLL1

RX TX

GTPE2_CHANNEL

IBUFDS_GTE2 IBUFDS_GTE2

Page 13: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 13UG482 (v1.8) 2016 年 6 月 21 日

概要および機能

図 1-3 に、 GTPE2_CHANNEL プリ ミ ティブの トポロジを示します。

RX/TX ク ロ ッ ク分周器へクロ ッ クを供給するチャネル ク ロ ッキング アーキテクチャの詳細は、

34 ページの図 2-9 を参照してください。

X-Ref Target - Figure 1-3

図 1-3 : GTPE2_CHANNEL プリ ミテ ィブのトポロジ

TX-PMA TX-PCS

FPGATX

Interface

TXGearbox

UG482_c1_03_110811

TX PIPEControl

PhaseAdjustFIFO

PCIeBeacon

From RX Parallel Data(Far-End PMA Loopback)

To RX ParallelData (Near-End PCS Loopback)

Clock From PLL0 or PLL1

Clock From PLL0 or PLL1

From RX Parallel Data(Far-End PCS Loopback)

PISO

TXPre/PostEmp

TX ClockDividers

TX PhaseInterpolator

TX PhaseInterpolatorController

TXOOBandPCIe

TXDriver

Polarity

Polarity

SATAOOB

8B/10BEncoder

PatternGenerator

FPGARX

Interface

RX PIPE Control

RX Status Control

SIPO

RX OOB

RX EQ

RXGearbox

RXElasticBuffer

PRBSChecker

CommaDetectAndAlign

8B/10BDecoder

RX ClockDividers

Page 14: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

14 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 1 章 : ト ランシーバーおよびツールの概要

7 シリーズ FPGA Transceivers Wizard7 Series FPGA Transceivers Wizard (以降ウ ィザード と呼ぶ) は、GTP ト ランシーバーのプリ ミ ティ

ブ (GTPE2_COMMON および GTPE2_CHANNEL) をインスタンシエートする際のラ ッパー生成

に有用です。 このウ ィザードは、 CORE Generator ツールに含まれています。 これを使用する前に、

必ず 新の IP アップデート をダウンロード して ください。 ウ ィザードの使用方法は、 『LogiCOREIP 7 シ リーズ FPGA ト ランシーバー ウ ィザード ユーザー ガイ ド』 (UG769) を参照してください。

次の手順に従ってウ ィザードを起動します。

1. CORE Generator ツールを起動します。

2. [FPGA Features and Design] と [IO Interfaces] の下にある [7 Series FPGA Transceivers Wizard] を選択します。

図 1-4 を参照してください。

3. [7 Series FPGA Transceivers Wizard] をダブルク リ ッ ク してウ ィザードを起動します。

シミ ュレーシ ョ ン

機能の説明

GTPE2_CHANNEL プリ ミ ティブと GTPE2_COMMON プリ ミ ティブを使用するシ ミ ュレーシ ョ

ンの場合、 シ ミ ュレーシ ョ ン環境およびテス トベンチに対する特定の要件があ り ます。 使用ハード

ウェア記述言語 (HDL) に基づいてサポート されるシ ミ ュレータの環境設定方法は、 新版の 『合

成/シ ミ ュレーシ ョ ン デザイン ガイ ド』 (UG626) を参照してください。

X-Ref Target - Figure 1-4

図 1-4 : 7 シリーズ FPGA Transceivers Wizard の画面

UG482_c1_04_110911

Page 15: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 15UG482 (v1.8) 2016 年 6 月 21 日

シミ ュレーシ ョ ン

GTPE2_CHANNEL プ リ ミ テ ィブと GTPE2_COMMON プ リ ミ テ ィブを使用するデザインのシ

ミ ュレーシ ョ ンの要件は次のとおりです。

• シ ミ ュレータが SecureIP モデルをサポート している。

つま り、モデル化されたブロ ッ クのインプリ メンテーシ ョ ンに使用される Verilog HDL の暗号

化バージ ョ ンをサポートする必要があ り ます。SecureIP は、IP 暗号化方式です。SecureIP モデ

ルをサポートするには、Verilog LRM - IEEE Std 1364-2005 暗号化に準拠するシ ミ ュレータが

必要です。

• VHDL シ ミ ュレーシ ョ ン用の混合言語シ ミ ュレータ。

SecureIP モデルは基本的に Verilog を使用します。 これらを VHDL デザインで使用する場合

は、混合言語シ ミ ュレータが必要です。シ ミ ュレータは、VHDL および Verilog を同時にシ ミ ュ

レーシ ョ ンするこ とが要求されます。

• GTP ト ランシーバーの SecureIP モデルがインス トールされている。

• SecureIP の使用に対応するよ うにシ ミ ュレータが適切に設定されている (初期化ファイル、 環

境変数)。

• シ ミ ュレーシ ョ ン ライブラ リ (UNISIM、 SIMPRIMS など) を正しい順序でコンパイルする、

COMPXLIB を実行できる。

• シ ミ ュレータの精度が適切に設定されている (Verilog)。

• シ ミ ュレータのユーザー ガイ ドおよび 『合成/シ ミ ュレーシ ョ ン デザイン ガイ ド』 (UG626) で、

SecureIP をサポートするための設定について詳し く説明。

Page 16: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

16 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 1 章 : ト ランシーバーおよびツールの概要

ポートおよび属性

GTPE2_COMMON および GTPE2_CHANNEL プリ ミ ティブには、 シ ミ ュレーシ ョ ン専用のポー

トはあ り ません。

GTPE2_COMMON 属性

GTPE2_COMMON プリ ミ ティブには、 シ ミ ュレーシ ョ ン専用の属性があ り ます。 表 1-2 に、 アド

レスで割り付けられた GTPE2_COMMON プリ ミ ティブの DRP マップを示します。 これらの属性

名は SIM_ で始ま り ます。

表 1-2 : GTPE2_COMMON のシミ ュレーシ ョ ン専用の属性

属性 タイプ 説明

SIM_PLL0REFCLK_SEL 3 ビッ ト バイナリ

PLL0 が常に同じ基準クロ ッ ク ソースで駆動さ

れるデザインをシ ミ ュレーシ ョ ンする際に使用

する基準クロッ ク ソースを選択します。 ピンの

切り替えを変更した前と後のシ ミ ュレーシ ョ ン

を可能にします。これによ り、ポート切り替えの

前後に正しいク ロ ッ ク ソースでブロ ッ ク をシ

ミ ュレーシ ョ ンできるよ うにな り ます。 この属

性は、 PLL0REFCLK SEL[2:0] と同じ値に設定

する必要があ り ます。 オンザフ ラ イで基準ク

ロッ ク ソースを変更しなければならないデザイ

ンの場合は、PLL0REFCLKSEL を使用してソー

スを動的に選択します。

SIM_PLL1REFCLK_SEL 3 ビッ ト バイナリ

PLL1 が常に同じ基準クロ ッ ク ソースで駆動さ

れるデザインをシ ミ ュレーシ ョ ンする際に使用

する基準クロッ ク ソースを選択します。 ポート

の切り替えを変更した前と後のシ ミ ュレーシ ョ

ンを可能にします。これによ り、ポート切り替え

の前後に正しいクロッ ク ソースでブロッ クをシ

ミ ュレーシ ョ ンできるよ うにな り ます。 この属

性は、 PLL1REFCLK SEL[2:0] と同じ値に設定

する必要があ り ます。 オンザフ ラ イで基準ク

ロッ ク ソースを変更しなければならないデザイ

ンの場合は、PLL1REFCLKSEL を使用してソー

スを動的に選択します。

SIM_RESET_SPEEDUP 文字列 TRUE (デフォルト ) の場合は、 近似のリセッ ト

シーケンスを使用してシ ミ ュ レーシ ョ ンの リ

セッ ト時間を短縮します。これは、リセッ ト時間

やシ ミ ュレーシ ョ ン時間を高速化する際に使用

します。FALSE の場合は、モデルがハードウェ

ア リセッ ト動作を細部にわたってエミ ュレート

します。

SIM_VERSION 文字列 シ リ コンのステッピングと一致させるため、 シ

ミ ュレーシ ョ ン バージ ョ ンを選択します。

デフォルトは 1.0 です。

Page 17: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 17UG482 (v1.8) 2016 年 6 月 21 日

シミ ュレーシ ョ ン

GTPE2_CHANNEL 属性

GTPE2_CHANNEL プリ ミ ティブには、シ ミ ュレーシ ョ ン専用の属性があ り ます。表 1-3 に、アド

レスで割り付けられた GTPE2_CHANNEL プリ ミ ティブの DRP マップを示します。これらの属性

名は SIM_ で始ま り ます。

表 1-3 : GTPE2_CHANNEL のシミ ュレーシ ョ ン専用の属性

属性 タイプ 説明

SIM_RESET_SPEEDUP 文字列

TRUE (デフォルト ) の場合は、近似のリセッ

ト シーケンスを使用してシ ミ ュレーシ ョ ン

の リ セッ ト時間を短縮します。 これは、 リ

セッ ト時間やシ ミ ュレーシ ョ ン時間を高速

化する際に使用します。FALSE の場合は、モ

デルがハードウェア リセッ ト動作を細部に

わたってエミ ュレート します。

SIM_RECEIVER_DETECT_PASS 文字列

TRUE または FALSE に設定可能であ り、シ

ミ ュ レーシ ョ ンにおいて未接続のレシー

バーを使用するか、 接続されたレシーバー

を使用するか指定します。

SIM_TX_EIDLE_DRIVE_LEVEL 文字列

0、 1、X、 または Z に設定可能であ り、外部

プルアップ抵抗を使用して、 電気的アイ ド

ル状態のシ ミ ュレーシ ョ ンや受信検出が可

能です。 デフォルトは X です。

SIM_VERSION 文字列

シ リ コ ンのステ ッ ピング と一致させるた

め、 シ ミ ュレーシ ョ ン バージ ョ ンを選択し

ます。 デフォルトは 1.0 です。

Page 18: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

18 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 1 章 : ト ランシーバーおよびツールの概要

インプリ メンテーシ ョ ン

機能の説明

このセクシ ョ ンでは、 デザインにインスタンシエート された 7 シ リーズ GTP ト ランシーバーをデ

バイス リ ソースにマップする際に必要な情報を提供します。

• 利用可能なデバイス/パッケージの組み合わせにおける GTP ト ランシーバー クワ ッ ドの位置

• 各 GTP ト ランシーバー クワ ッ ドに関連する外部信号のパッ ド番号

• デザインにインスタンシエート した GTPE2_CHANNEL プリ ミ ティブ、 GTPE2_COMMONプリ ミ テ ィブ、 およびクロ ッ ク リ ソースが、 ユーザー制約ファ イル (UCF) を使用してどのよ

うにしてマップされるか

通常、 GTP ト ランシーバー クワ ッ ドの位置は設計プロセスの初期段階で指定します。 ク ロ ッ ク リソースを適切に使用し、ボード設計時のシグナル インテグ リティの解析を容易にするため、 インプ

リ メンテーシ ョ ン フローでは UCF 内で配置制約を使用するこ とによって、 この指定を円滑に行い

ます。

このセクシ ョ ンでは、 GTP ト ランシーバーのクロ ッキング コンポーネン ト をインスタンシエート

する方法について説明します。

各 GTP ト ランシーバー チャネルと共通プリ ミ ティブの位置は、 列番号とその列内での位置を表す

XY 座標を使用して指定します。

X0Y0 座標のト ランシーバーは、与えられたデバイス/パッケージの組み合わせで一番下に位置する

バンクの も下に配置されます。

GTP ト ランシーバーを使用するデザインの UCF の生成方法は 2 とおりあ り ますが、7 Series FPGATransceivers Wizard の使用を推奨します。ウ ィザードで ト ランシーバーをコンフ ィギュレーシ ョ ン

し、 GTP ト ランシーバーの配置情報のプレースホルダーを含む UCF テンプレート を自動的に生成

します。 この方法で生成された UCF は編集可能で、 パラ メーターや配置情報をアプリ ケーシ ョ ン

用にカスタマイズできます。

UCF 生成のも う 1 つの方法は手書きによるものです。 この方法で作成する場合、 ト ランシーバー

の動作を制御するコンフ ィギュレーシ ョ ン属性およびタイル位置のパラ メーターの両方を入力する

必要があ り ます。GTP ト ランシーバーのコンフ ィギュレーシ ョ ンに必要なすべてのパラ メーターを

確実に入力するよ う、 十分に注意して ください。

ある GTP クワ ッ ドの GTP チャネルのいずれかを使用する必要がある場合は、デザインに GTPE2_COMMON プ リ ミ テ ィブをインスタンシエート します (図 1-5 参照)。 また、 GTPE2_CHANNELを少な く と も 1 つイ ンス タ ンシエー ト し ます。 図 1-5 に、 イ ンス タ ンシエー ト された 4 つの

GTPE2_CHANNEL プリ ミ ティブを示します。

Page 19: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 19UG482 (v1.8) 2016 年 6 月 21 日

インプリ メンテーシ ョ ン

デバイス/パッケージ別のシリアル ト ランシーバー チャネル

『7 シ リーズ FPGA パッケージおよびピン配置ガイ ド』 (UG475) を参照してください。

X-Ref Target - Figure 1-5

図 1-5 : 4 つのチャネルのコンフ ィギュレーシ ョ ン

UG482_c1_05_110811

TX

RX

GTPE2_CHANNEL

PLL0

PLL1

GTPE2_COMMON

TX

RX

GTPE2_CHANNEL

TX

RX

GTPE2_CHANNEL

TX

RX

GTPE2_CHANNEL

IBUFDS_GTE2GTREFCLK0

Page 21: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 21UG482 (v1.8) 2016 年 6 月 21 日

第 2 章

共有機能

基準クロック入力の構造

機能の説明

図 2-1 に、 基準クロ ッ ク入力の構造を示します。 入力は両端が 4/5 MGTAVCC に接続された 50Ωで内部終端されています。 基準ク ロ ッ クは、 IBUFDS_GTE2 ソフ ト ウェア プリ ミ テ ィブにインス

タンシエート されています。基準クロ ッ ク入力を制御するポートおよび属性は、 IBUFDS_GTE2 ソフ ト ウェア プリ ミ ティブへ接続されています。

図 2-1 に、 基準クロ ッ ク入力バッファーの内部構造を示します。

X-Ref Target - Figure 2-1

図 2-1 : 基準クロック入力の構造

MGTAVCC = 1.0V

MGTREFCLK[0/1]PMGTREFCLK[0/1]N

MGTAVSS

I

CLKRCV_TRST

CEB

O

ODIV2REFCLK_CTRL[1:0]

1'b0

/2TO HROW

Reserved

TO GTREFCLK0/1 ofGTPE2_COMMON

Nominal 50

4/5MGTAVCC

CLKCM_CFG

IB

+-2'b00

2'b01

2'b10

2'b11

UG482_c2_01_112811

Nominal 50

Page 22: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

22 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 2 章 : 共有機能

ポートおよび属性

表 2-1 に、 IBUFDS_GTE2 ソフ ト ウェア プリ ミ ティブの基準クロ ッ ク入力ポート を示します。

表 2-1 : 基準クロック入力ポート (IBUFDS_GTE2)

ポート 方向 クロック ド メイン 説明

IIB

入力 (パッ ド )

N/A GTREFCLK0P/GTREFCLK0N および GTREFCLK1P/GTREFCLK1N へマップされ

る、 基準クロ ッ ク入力ポートです。

CEB 入力 N/A ク ロ ッ ク バッファー用のアクティブ Low の非同期クロ ッ ク イネーブル信号です。 この信

号が High になる と、 ク ロ ッ ク バッファーへ

の電力供給が停止します。

O 出力 N/A GTPE2_COMMON ソフ ト ウェア プリ ミ ティ

ブの GTREFCLK[0/1] 信号を駆動します。 詳

細は、24 ページの「基準クロ ッ クの選択および

分配」 を参照して ください。

この出力は、Hrow 配線を介して BUFG または

BUFH ソフ ト ウェア プリ ミティブも駆動でき

ます。IBUFDS_GTE2 の出力または ODIV2 出力のいずれか一方のみ FPGA ロジッ クへ接続

できます。この選択は、ポート O または ODIV2が接続されているかに基づき ソフ ト ウェアに

よって制御されます。 詳細は、 『7 シ リーズ FPGA ク ロ ッキング リ ソース ユーザー ガイ

ド』 (UG472) を参照してください。

ODIV2(1)出力 N/A O 信号を 2 分周したバージ ョ ンであ り、 Hrow

配線を介して BUFG または BUFH ソフ ト ウェ

ア プリ ミティブを駆動できます。 この選択は、

ポート O または ODIV2 が接続されているか

に基づきソフ ト ウェアによって制御されます。

詳細は、 『7 シ リ ーズ FPGA ク ロ ッ キング リ

ソース ユーザー ガイ ド』 (UG472) を参照して

ください。

注記 :

1. O 出力と ODIV2 出力は、 互いに位相一致の関係にあ り ません。

Page 23: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 23UG482 (v1.8) 2016 年 6 月 21 日

基準クロック入力の構造

表 2-2 に、 基準クロ ッ ク入力を構成する IBUFDS_GTE2 ソフ ト ウェア プリ ミ ティブの属性を示し

ます。

使用モード : 基準クロック終端

基準クロ ッ ク入力は、 外部で AC カップリ ングされています。 これには、 表 2-3 に示すポートおよ

び属性の設定が必要です。

表 2-2 : 基準クロック入力の属性 (IBUFDS_GTE2)

属性 タイプ 説明

CLK_RCV_TRST ブール型 予約。 信号パスの 50Ω 抵抗で切り替わり ます。 常に TRUE に設定する必要があ り ます。

CLKCM_CFG ブール型 予約。 信号パスの 50Ω 抵抗の終端電圧で切り替わり

ます。 常に TRUE に設定する必要があ り ます。

CLKSWING_CFG 2 ビッ ト バイナリ 予約。クロ ッ クの内部振幅を制御します。常に 2'b11に設定する必要があ り ます。

表 2-3 : ポートおよび属性の設定

入力の種類 設定

ポート CEB = 0

属性

CLKRCV_TRST = TRUE

CLKCM_CFG = TRUE

CLKSWING_CFG = 2'b11

Page 24: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

24 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 2 章 : 共有機能

基準クロックの選択および分配

機能の説明

7 シ リーズ FPGA の GTP ト ランシーバーには複数の基準クロ ッ ク入力オプシ ョ ンがあ り ます。 ク

ロ ッ クの選択や可用性が 7 シ リーズの GTX/GTH ト ランシーバーとは多少異なり、基準クロ ッ クの

配線は上下方向ではなく左右方向です。

構造的には、 クワッ ド (Q) と呼ばれるグループの中に 4 つの GTPE2_CHANNEL プリ ミ ティブ、

1 つの GTPE2_COMMON プリ ミ ティブ、 2 つの専用の外部基準クロ ッ ク ピン ペア、 および専用

の基準クロ ッ ク配線が含まれています。GTPE2_COMMON プリ ミ ティブは常にインスタンシエー

ト され、 各ト ランシーバーに GTPE2_CHANNEL プ リ ミ テ ィブがインスタンシエート される必要

があ り ます。大規模な Artix™-7 デバイス (XC7A200T-FFG1156) の場合、 あるクワ ッ ドの PLL に供給される基準クロ ッ クは、 デバイスの同じ片側半分にある隣接配置されたクワッ ドから も供給で

きます。 デバイスの上半分にあるクワ ッ ドは、 上半分のも う一方のクワッ ド と 2 つのローカル基準

クロ ッ クを共有できます。同様に、デバイスの下半分にあるクワッ ドは、下半分のも う一方のクワッ

ド と 2 つの基準クロ ッ クを共有できます。

基準クロ ッ クの特徴は次のとおりです。

• 左右方向へクロ ッ ク配線

• PLL0 および PLL1 へ柔軟に基準クロ ッ クを入力

• PLL0 および PLL1 の基準クロ ッ クを静的または動的に選択可能

図 2-2 に、 GTPE2_COMMON プリ ミ テ ィブ、 2 つの専用基準クロ ッ ク ピン ペア、 および専用の

左右方向の基準クロ ッ ク配線を持つ基準クロ ッ ク アーキテクチャを示します。 1 クワ ッ ド内にある

各 GTPE2_COMMON には 4 つのクロ ッ ク入力があ り ます。

• 2 つのローカル基準クロ ッ ク ピン ペア、 GTREFCLK0 または GTREFCLK1

• デバイスの同じ片側半分にある別のクワッ ドから受ける 2 つの基準クロ ッ ク ピン

Page 25: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 25UG482 (v1.8) 2016 年 6 月 21 日

基準クロックの選択および分配

図 2-3 に、単一 GTPE2_COMMON プリ ミ ティブ内にある基準クロ ッ クのマルチプレクサー構造図

を示します。 このマルチプレクサーへ複数の基準クロ ッ ク ソースを接続する場合には、

PLL0REFCLKSEL および PLL1REFCLKSEL ポートが必要です。 通常は、 単一基準クロ ッ クの使

用が も一般的です。 この場合、 PLL[0/1]REFCLKSEL ポート を 3'b001 に接続します。 複雑な

マルチプレクサーおよび関連配線の処理は、ザイ リ ンクス ツールで実行されます。詳細は、31 ペー

ジの 「外部基準クロ ッ クを使用する場合」 を参照して ください。

X-Ref Target - Figure 2-2

図 2-2 : GTP ト ランシーバー リファレンス クロッキングの概念

UG482_c2_01_012413

PLL0

To GTPE2_CHANNEL

GTPE2_COMMON_

X0Y0PLL1

1 2 3 4 5 6 7 1 2 3 4 5 6 7

GTEASTREFCLK0

Controlled by Software

GTEASTREFCLK1

GTP Quad

PLL0

To GTPE2_CHANNEL

GTPE2_COMMON_X1Y0

PLL1

1 2 3 4 5 6 7 1 2 3 4 5 6 7

GTWESTREFCLK1

Controlled by Software

GTWESTREFCLK0

GTP QuadM

GT

RE

FC

LK0P

MG

TR

EF

CLK

0N

IBUFDS_GTE2

GT

GR

EF

CLK

0

GT

GR

EF

CLK

1

GT

RE

FC

LK0

GT

RE

FC

LK1

GT

GR

EF

CLK

0

GT

GR

EF

CLK

1

GT

RE

FC

LK0

GT

RE

FC

LK1

MG

TR

EF

CLK

1P

MG

TR

EF

CLK

1N

MG

TR

EF

CLK

0P

MG

TR

EF

CLK

0N

MG

TR

EF

CLK

1P

MG

TR

EF

CLK

1N

IBUFDS_GTE2IBUFDS_GTE2 IBUFDS_GTE2

Page 26: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

26 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 2 章 : 共有機能

ポートおよび属性

表 2-4 ~表 2-5 に、 GTPE2_COMMON プリ ミ ティブのクロ ッ ク ポート と属性を示します。

X-Ref Target - Figure 2-3

図 2-3 : PLL0 および PLL1 の基準クロック選択マルチプレクサー

UG482_c2_03_112811

PLL0PLL0 Output CLK

0

GTPE2_COMMON

GTREFCLK01

GTREFCLK1

PLL1PLL1 Output CLK

2GTEASTREFCLK0

34

GTEASTREFCLK1

5GTWESTREFCLK0

6GTWESTREFCLK1

7GTGREFCLK0

GTGREFCLK1

PLL1REFCLKSEL[2:0]

PLL0REFCLKSEL[2:0]

01234567

表 2-4 : GTPE2_COMMON のクロック ポート

ポート 方向クロック ド メイン

説明

GTGREFCLK0 入力 クロ ッ ク FPGA 内部のロジッ クで生成される基準ク

ロ ッ クです。 内部テス ト専用です。

GTGREFCLK1 入力 クロ ッ ク FPGA 内部のロジッ クで生成される基準ク

ロ ッ クです。 内部テス ト専用です。

GTREFCLK0 入力 クロ ッ ク IBUFDS_GTE2 で駆動される PLL0 および PLL1 用の外部クロ ッ クです。

GTREFCLK1 入力 クロ ッ ク IBUFDS_GTE2 で駆動される PLL0 および PLL1 用の外部クロ ッ クです。

GTWESTREFCLK0 入力 クロ ッ ク デバイ スの右側にある ク ワ ッ ドから左方向

へのクロ ッ クです。

GTWESTREFCLK1 入力 クロ ッ ク デバイ スの右側にある ク ワ ッ ドから左方向

へのクロ ッ クです。

Page 27: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 27UG482 (v1.8) 2016 年 6 月 21 日

基準クロックの選択および分配

GTEASTREFCLK0 入力 クロ ッ ク デバイ スの左側にある ク ワ ッ ドから右方向

へのクロ ッ クです。

GTEASTREFCLK1 入力 クロ ッ ク デバイ スの左側にある ク ワ ッ ドから右方向

へのクロ ッ クです。

PLL0OUTCLK 出力 クロ ッ ク PLL0 ク ロ ッ ク出力です。

GTPE2_CHANNEL プリ ミ ティブの PLL0CLK へ接続する必要があ り ます。

PLL1OUTCLK 出力 クロ ッ ク PLL1 ク ロ ッ ク出力です。

GTPE2_CHANNEL プリ ミ ティブの PLL1CLK へ接続する必要があ り ます。

PLL0OUTREFCLK 出力 クロ ッ ク GTPE2_CHANNEL プリ ミ ティブの PLL0REFCLK へ接続する必要があ り ます。

PLL1OUTREFCLK 出力 クロ ッ ク GTPE2_CHANNEL プリ ミ ティブの PLL1REFCLK へ接続する必要があ り ます。

表 2-4 : GTPE2_COMMON のクロック ポート (続き)

ポート 方向クロック ド メイン

説明

Page 28: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

28 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 2 章 : 共有機能

PLL0REFCLKSEL[2:0] 入力 非同期 PLL0 の入力基準クロ ッ クを動的に選択する

ための入力です。 PLL0 の基準クロ ッ ク選択

マルチプレクサーへクロ ッ ク ソース 1 つの

みを接続する場合は、 3'b001 に接続して く

ださい。

基準クロ ッ ク入力の変更後は、 PLL0 を リ

セッ トする必要があ り ます。

000 : 予約

001 : GTREFCLK0 を選択

010 : GTREFCLK1 を選択

011 : GTEASTREFCLK0 を選択

100 : GTEASTREFCLK1 を選択

101 : GTWESTREFCLK0 を選択

110 : GTWESTREFCLK1 を選択

111 : GTGREFCLK0 を選択

PLL1REFCLKSEL[2:0] 入力 非同期 PLL1 の入力基準クロ ッ クを動的に選択する

ための入力です。 PLL1 の基準クロ ッ ク選択

マルチプレクサーへクロ ッ ク ソース 1 つの

みを接続する場合は、 3'b001 に接続して く

ださい。

基準クロ ッ ク入力の変更後は、 PLL1 を リ

セッ トする必要があ り ます。

000 : 予約

001 : GTREFCLK0 を選択

010 : GTREFCLK1 を選択

011 : GTEASTREFCLK0 を選択

100 : GTEASTREFCLK1 を選択

101 : GTWESTREFCLK0 を選択

110 : GTWESTREFCLK1 を選択

111 : GTGREFCLK1 を選択

表 2-4 : GTPE2_COMMON のクロック ポート (続き)

ポート 方向クロック ド メイン

説明

Page 29: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 29UG482 (v1.8) 2016 年 6 月 21 日

基準クロックの選択および分配

表 2-6 に、 GTPE2_CHANNEL プリ ミ ティブのクロ ッ ク ポート を示します。

表 2-5 : GTPE2_COMMON 属性

属性 タイプ 説明

SIM_PLL0REFCLK_SEL 3 ビッ ト バイナリ

PLL0 が常に同じ基準クロ ッ ク ソースで駆動され

るデザインをシ ミ ュレーシ ョ ンする際に使用す

る基準クロ ッ ク ソースを選択します。 ピンの切

り替えを変更した前と後のシ ミ ュレーシ ョ ンを

可能にします。 これによ り、 ポート切り替えの

前後に正しいクロ ッ ク ソースでブロ ッ クをシ

ミ ュレーシ ョ ンできるよ うにな り ます。 この属

性は、 PLL0REFCLK SEL[2:0] と同じ値に設定

する必要があ り ます。 オンザフライで基準ク

ロ ッ ク ソースを変更しなければならないデザイ

ンの場合は、 PLL0REFCLKSEL を使用してソー

スを動的に選択します。

SIM_PLL1REFCLK_SEL 3 ビッ ト バイナリ

PLL1 が常に同じ基準クロ ッ ク ソースで駆動され

るデザインをシ ミ ュレーシ ョ ンする際に使用す

る基準クロ ッ ク ソースを選択します。 ポートの

切り替えを変更した前と後のシ ミ ュレーシ ョ ン

を可能にします。 これによ り、 ポート切り替え

の前後に正しいクロ ッ ク ソースでブロ ッ クをシ

ミ ュレーシ ョ ンできるよ うにな り ます。 この属

性は、 PLL1REFCLK SEL[2:0] と同じ値に設定

する必要があ り ます。 オンザフライで基準ク

ロ ッ ク ソースを変更しなければならないデザイ

ンの場合は、 PLL1REFCLKSEL を使用してソー

スを動的に選択します。

Page 30: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

30 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 2 章 : 共有機能

表 2-6 : GTPE2_CHANNEL のクロック ポート

ポート 方向クロック ド メイン

説明

RXSYSCLKSEL[1:0] 入力 非同期 RX データパスを駆動する PLL ク ロ ッ ク ソースを選択します。

RXSYSCLKSEL[0] = 1'b0 (PLL0)

RXSYSCLKSEL[0] = 1'b1 (PLL1)

RXOUTCLK を駆動する基準クロ ッ ク ソー

スを選択します。

RXSYSCLKSEL[1] = 1'b0 (PLL0 からの

基準クロ ッ ク )

RXSYSCLKSEL[1] = 1'b1 (PLL1 からの

基準クロ ッ ク )

TXSYSCLKSEL[1:0] 入力 非同期 TX データパスを駆動する PLL ク ロ ッ ク ソースを選択します。

TXSYSCLKSEL[0] = 1'b0 (PLL0)

TXSYSCLKSEL[0] = 1'b1 (PLL1)

TXOUTCLK を駆動する基準クロ ッ ク ソー

スを選択します。

TXSYSCLKSEL[1] = 1'b0 (PLL0 からの

基準クロ ッ ク )

TXSYSCLKSEL[1] = 1'b1 (PLL1 からの

基準クロ ッ ク )

PLL0CLK 入力 クロ ッ ク PLL0 ク ロ ッ ク入力です。

GTPE2_COMMON プリ ミ ティブの PLL0OUTCLK へ接続する必要があ り ます。

PLL1CLK 入力 クロ ッ ク PLL1 ク ロ ッ ク入力です。

GTPE2_COMMON プリ ミ ティブの PLL1OUTCLK へ接続する必要があ り ます。

PLL0REFCLK 入力 クロ ッ ク GTPE2_COMMON プリ ミ ティブの PLL0OUTREFCLK へ接続する必要があ り

ます。

PLL1REFCLK 入力 クロ ッ ク GTPE2_COMMON プリ ミ ティブの PLL1OUTREFCLK へ接続する必要があ り

ます。

Page 31: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 31UG482 (v1.8) 2016 年 6 月 21 日

基準クロックの選択および分配

外部基準クロックを使用する場合

各クワッ ドには、 外部基準クロッ ク ソースへ接続可能な専用の差動基準クロッ ク入力が 2 つあり ま

す。これらの専用基準クロッ ク ピン ペアを使用する際には、IBUFDS_GTE2 プリ ミティブをインス

タンシエートする必要があり ます。ユーザー デザインでは、 IBUFDS_GTE2 出力 (O) を基準クロ ッ

ク選択マルチプレクサーが配置されている GTPE2_COMMON プ リ ミ テ ィブの GTREFCLK[0/1]、GTEASTREFCLK[0/1]、 または GTWESTREFCLK[0/1] ポートへ接続します。 ラ イン レート要件

に応じて、PLL0 または PLL1 のいずれかを柔軟に使い分けて TX または RX データパスを駆動でき

ます (図 2-4 参照)。

X-Ref Target - Figure 2-4

図 2-4 : 外部基準クロックを使用する場合

UG482_c2_04_110811

TX

RX

GTPE2_CHANNEL

PLL0

PLL1

GTPE2_COMMON

IBUFDS_GTE2

GTREFCLK0

IBUFDS_GTE2

GTREFCLK1

0

1

0

1

TXSYSCLKSEL[0]

PLL0CLKPLL0OUTCLK

PLL0OUTREFCLK

PLL1OUTREFCLK

PLL1CLK

PLL0REFCLK

PLL1REFCLK

PLL1OUTCLK

RXSYSCLKSEL[0]

0

1

0

1

TXSYSCLKSEL[1] TXOUTCLKSEL

TXOUTCLK

RXOUTCLK

RXSYSCLKSEL[1] RXOUTCLKSEL

Page 32: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

32 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 2 章 : 共有機能

外部基準クロックを 1 つ使用する場合

1 つの外部基準ク ロ ッ クを使用する場合、 IBUFDS_GTE2 出力 (O) を GTPE2_COMMON プ リ ミ

ティブの GTREFCLK0 入力ポートへ接続してください。 ユーザー デザインでは、 も う一方の未使

用基準クロッ ク ポート をフローティング状態にできます。IBUFDS_GTE2 入力ピンには、UCF ファ

イルで制約を適用できます。図 2-5 に、IBUFDS_GTE2 プリ ミティブへ接続する GTPE2_COMMONプリ ミティブを示します。

図 2-6 に、 2 つの GTP クワ ッ ドへ接続する 1 つの基準クロ ッ クを示します。 IBUFDS_GTE2 出力

(O) を両方の GTPE2_COMMON プリ ミ ティブ インスタンスの GTREFCLK0 入力ポートへ接続す

る必要があ り ます。 これは、 GTP クワ ッ ドが左右に隣接配置されている大規模な Artix-7 デバイス

(XC7A200T-FFG1156) でのみ可能です。

図 2-6 に示すデザインの場合、必要に応じてザイ リ ンクスのインプリ メンテーシ ョ ン ツールを使用

し、 25 ページの図 2-2 の左右配線を調整するだけでなく、 2 つのクワッ ド間に基準クロ ッ クを配線

するために、 GTPE2_COMMON ク ロ ッ ク入力のピン切り替えを行います。

X-Ref Target - Figure 2-5

図 2-5 : 1 つのローカル基準クロックを使用する GTP クワッ ド

UG482_c2_05_110811

GTPE2_CHANNEL

GTREFCLK0GTPE2_COMMON

GTPE2_CHANNEL

GTPE2_CHANNEL

GTPE2_CHANNEL

GTP Quad

IBUFDS_GTE2

X-Ref Target - Figure 2-6

図 2-6 : 1 つの基準クロックを共有する 2 つの GTP クワッ ド

UG482_c2_06_110811

GTPE2_CHANNEL

GTREFCLK0

GTPE2_COMMON

GTPE2_CHANNEL

GTPE2_CHANNEL

GTPE2_CHANNEL

GTP Quad

GTPE2_CHANNEL

GTREFCLK0

GTPE2_COMMON

GTPE2_CHANNEL

GTPE2_CHANNEL

GTPE2_CHANNEL

GTP Quad

IBUFDS_GTE2

Page 33: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 33UG482 (v1.8) 2016 年 6 月 21 日

基準クロックの選択および分配

複数の外部基準クロックを使用する場合

図 2-7 および図 2-9 のよ うに、GTPE2_COMMON の基準クロ ッ ク マルチプレクサーに複数の基準

クロ ッ ク ソースがある場合は、 IBUFDS_GTE2 の出力を GTPE2_COMMON プリ ミ ティブの適切

なクロ ッ ク入力ポートへ接続しなければなり ません。 図 2-7 に、 専用の差動基準クロ ッ ク入力を両

方使用する 1 つの GTP ク ワ ッ ドの例を示します。 IBUFDS_GTE2 プ リ ミ テ ィブが 2 つ、 そして

GTPE2_COMMON プリ ミ ティブが 1 つインスタンシエート されています。

図 2-8 は 2 つの GPT クワ ッ ドを示していますが、 こ こでの各クワ ッ ドは自ら持つ専用の差動基準

クロ ッ ク入力と、 隣接した GTP クワ ッ ドの専用差動基準クロ ッ クを使用します。 これは、 GTP クワ ッ ドが左右に隣接配置されている大規模な Artix-7 デバイス (XC7A200T-FFG1156) でのみ可能

です。 IBUFDS_GTE2 の出力を GTPE2_COMMON プリ ミ ティブの適切な GTREFCLK[0/1]、GTWESTREFCLK[0/1]、 および GTEASTREFCLK[0/1] 入力ポートへ接続する必要があ り ます。

X-Ref Target - Figure 2-7

図 2-7 : 複数のローカル基準クロックを使用する 1 つの GTP クワッ ド

UG482_c2_07_110811

GTPE2_CHANNEL

GTREFCLK0

GTPE2_COMMON

GTPE2_CHANNEL

GTPE2_CHANNEL

GTPE2_CHANNEL

GTP Quad

IBUFDS_GTE2

GTREFCLK1

IBUFDS_GTE2

X-Ref Target - Figure 2-8

図 2-8 : 異なるクワッ ドからの複数の基準クロックを使用する 2 つの GTP クワッ ド

UG482_c2_08_110811

GTPE2_CHANNEL

GTREFCLK0 GTREFCLK1 GTWESTREFCLK0 GTWESTREFCLK1

GTPE2_COMMON

GTPE2_CHANNEL

GTPE2_CHANNEL

GTPE2_CHANNEL

GTP Quad

IBUFDS_GTE2

IBUFDS_GTE2

GTPE2_CHANNEL

GTREFCLK0 GTREFCLK1 GTEASTREFCLK0 GTEASTREFCLK1

GTPE2_COMMON

GTPE2_CHANNEL

GTPE2_CHANNEL

GTPE2_CHANNEL

GTP Quad

IBUFDS_GTE2

IBUFDS_GTE2

Page 34: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

34 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 2 章 : 共有機能

リ アルタイムに基準クロ ッ ク ソースを変更する必要があるマルチレート デザインでは、

PLL0REFCLKSEL および PLL1REFCLKSEL ポート を使用してソースを動的に選択します。選択

後、 ユーザー デザインでは PLL0RESET または PLL1RESET で PLL を リセッ トする必要があ り

ます。

PLL

機能の説明

GTP ク ワ ッ ドには、 2 つの リ ング オシレーター型 PLL (PLL0 および PLL1) があ り ます。 図 2-9に、 内部のクロ ッキング アーキテクチャを示します。 TX データパス と RX データパスが同じライ

ン レート範囲で動作する場合は、 PLL0 または PLL1 を両データパスで共有します。 TX ク ロ ッ ク

分周器および RX ク ロ ッ ク分周器は、それぞれ個別に PLL0 または PLL1 からのクロ ッ クを選択で

きるため、 TX データパス と RX データパスは異なる基準クロ ッ ク入力を使用する非同期周波数で

動作できます。

PLL 入力クロ ッ クの選択については、 24 ページの 「基準クロ ッ クの選択および分配」 で説明しま

す。 PLL 出力は TX および RX ク ロ ッ ク分周器ブロ ッ クへ接続し、 これらのブロ ッ クでは PMA ブロ ッ クや PCS ブロ ッ クで使用されるシ リ アルおよびパラレル ク ロ ッ クの生成が制御されます。

図 2-10 に、 PLL アーキテクチャの詳細を示します。 入力クロ ッ クは、 位相周波数検出器へ接続す

る前に M で分周できます。 VCO の逓倍率および PLL 出力周波数は、 フ ィードバッ ク分周器 (N1および N2) で決定されます。 ロ ッ ク検出 (Lock Indicator) ブロ ッ クは、 基準ク ロ ッ クの周波数と

VCO フ ィードバッ ク ク ロ ッ クの周波数を比較して、 PLL のロ ッ ク条件を判断します。

X-Ref Target - Figure 2-9

図 2-9 : 内部クロッキング アーキテクチャ

X-Ref Target - Figure 2-10

図 2-10 : PLL のブロック図

UG482_c2_09_110811

TXClock

Dividers

TX PMA

TX PCS

RX PMA

RX PCS

RXClock

Dividers

GTPE2_CHANNEL

PLL0REFCLK

Distribution

PLL1

GTPE2_COMMON

PLLCLKIN

/ M

LockIndicator

PhaseFrequencyDetector

ChargePump

LoopFilter VCO

PLLLOCKED

PLLCLKOUT

/ N1

UG482_c2_10_011612

/ N2

Page 35: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 35UG482 (v1.8) 2016 年 6 月 21 日

PLL

PLL の公称動作範囲は 1.6GHz ~ 3.3GHz です。7 Series FPGA Transceivers Wizard がアプリ ケー

シ ョ ン要件に応じて適切な PLL 設定値を選択します。

PLL 出力周波数 (GHz) は式 2-1 から求められます。

式 2-1

ラ イン レート (Gb/s) は式 2-2 から求められます。 D は、 チャネル内の TX/RX ク ロ ッ ク分周器の

値を示します。

式 2-2

表 2-7 に、 分周器の有効な設定値を示します。

ポートおよび属性

表 2-8 および表 2-9 に、 PLL のポートおよび属性を示します。

表 2-7 : PLL 分周器の設定

ファクター 属性 有効値

M PLL0_REFCLK_DIV

PLL1_REFCLK_DIV

1、 2

N2 PLL0_FBDIV

PLL1_FBDIV

1、 2、 3、 4、 5

N1 PLL0_FBDIV_45

PLL1_FBDIV_45

4、 5

D RXOUT_DIV

TXOUT_DIV

1、 2、 4、 8

fPLLClkout fPLLClkinN1 N2×

M---------------------×=

fLineRate

fPLLClkout 2×D

----------------------------------=

表 2-8 : PLL のポート

ポート 方向 クロック ド メイン 説明

PLL0LOCKDETCLK

PLL1LOCKDETCLK

入力 クロ ッ ク PLL へのフ ィードバッ ク信号や基準ク ロ ッ ク信号を検

出するための安定した基準クロ ッ クです。 このクロ ッ ク

は、 PLL へ入力される基準クロ ッ クまたは PLL から生

成される出力クロ ッ ク (TXOUTCLK など) を使用して

駆動できません。

このクロッ クは PLL[0/1]FBCLKLOST および PLL[0/1]REFCLKLOST ポートの使用時にのみ必要です。PLL のロッ ク検出、 リセッ トおよびパワーダウン機能への影響

はあ り ません。

PLL0LOCKEN

PLL1LOCKEN

入力 非同期 PLL のロ ッ ク検出を有効にします。常に High に接続す

る必要があ り ます。

PLL0PD

PLL1PD

入力 非同期 消費電力削減のために PLL の電源を切断するアクティ

ブ High 信号です。

Page 36: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

36 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 2 章 : 共有機能

BGBYPASSB 入力 非同期 予約。1'b1 に設定する必要があ り ます。この値は変更し

ないでください。

BGMONITORENB 入力 非同期 予約。1'b1 に設定する必要があ り ます。この値は変更し

ないでください。

BGPDB 入力 非同期 予約。1'b1 に設定する必要があ り ます。この値は変更し

ないでください。

BGRCALOVRD[4:0] 入力 非同期 予約。5'b111111 に設定する必要があ り ます。この値は

変更しないでください。

RCALENB 入力 非同期 予約。1'b1 に設定する必要があ り ます。この値は変更し

ないでください。

PLL0REFCLKSEL[2:0]

PLL1REFCLKSEL[2:0]

入力 非同期 PLL へ入力される基準クロッ クを動的に選択するための

入力です。 PLL の基準クロッ ク選択マルチプレクサーへ 1 つのクロッ ク ソースのみを接続する場合は、3'b001 に接続してください。

基準クロ ッ ク入力の変更後は、PLL を リセッ トする必要

があ り ます。

000 : 予約

001 : GTREFCLK0 を選択

010 : GTREFCLK1 を選択

011 : GTEASTREFCLK0 を選択

100 : GTEASTREFCLK1 を選択

101 : GTWESTREFCLK0 を選択

110 : GTWESTREFCLK1 を選択

111 : GTGREFCLK0 (PLL0) または GTGREFCLK1 (PLL1) を選択

PLL0RESET

PLL1RESET

入力 非同期 アクティブ High の場合、PLL 内の分周器のほかに、PLLロ ッ ク検出とステータス ブロ ッ ク も リセッ ト します。

PLL0FBCLKLOST

PLL1FBCLKLOST

出力 PLL0LOCKDETCLK

PLL1LOCKDETCLK

High の場合、PLL フ ィードバッ ク分周器から PLL の位

相周波数検出器へのフ ィードバッ ク ク ロ ッ クが失われ

たこ とを示します。

PLL0LOCK

PLL1LOCK

出力 非同期 High の場合、 この PLL 周波数ロッ ク信号は、PLL 周波

数があらかじめ判断した耐性範囲内である こ と を示し

ます。 この条件が満たされるまで、 ト ランシーバーおよ

びそのクロ ッ ク出力は信頼できません。

PLL0REFCLKLOST

PLL1REFCLKLOST

出力 PLL0LOCKDETCLK

PLL1LOCKDETCLK

High の場合、 PLL の位相周波数検出器への基準クロ ッ

クが失われたこ とを示します。

表 2-8 : PLL のポート (続き)

ポート 方向 クロック ド メイン 説明

Page 37: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 37UG482 (v1.8) 2016 年 6 月 21 日

リセッ トおよび初期化

リセッ トおよび初期化

GTP ト ランシーバーは、FPGA デバイスに電源を投入してコンフ ィギュレーシ ョ ンが完了後、使用

する前に初期化が必要です。GTP ト ランシーバーのト ランス ミ ッ ター (TX) と レシーバー (RX) は、

図 2-11 に示すよ うに個別に並行して初期化できます。 GTP ト ランシーバーの TX/RX の初期化に

は 2 つの手順があ り ます。

1. TX/RX を駆動する PLL を初期化

2. TX と RX のデータパスを初期化 (PMA+PCS)

GTP ト ランシーバーの TX および RX は、PLL0 または PLL1 のいずれかから クロ ッ ク信号を受信

できます。 TX/RX で使用される PLL (PLL0 /PLL1) は、 TX/RX を初期化する前に初期化する必要

があ り ます。 TX/RX で使用されるすべての PLL は個別にリセッ ト され、 そのリセッ ト動作は、 す

べての TX/RX リ セッ ト動作から完全に独立しています。 TX と RX のデータパスの初期化は、 関

連する PLL がロッ ク された後にのみ実行してください。

表 2-9 : PLL の属性

属性 タイプ 説明

PLL0_CFG

PLL1_CFG

27 ビッ トの 16 進数

予約。 PLL のコンフ ィギュレーシ ョ ン設定です。

7 Series FPGA Transceivers Wizard からの推奨値

を使用して ください。

PLL0_FBDIV

PLL1_FBDIV

整数 34 ページの図 2-10 に示す、PLL のフ ィードバッ ク

分周器の設定です。 有効な値は、 1、 2、 3、 4、 およ

び 5 です。

PLL0_FBDIV_45

PLL1_FBDIV_45

整数 34 ページの図 2-10 に示す、PLL のフ ィードバッ ク

分周器の設定です。 有効な値は、 4 および 5 です。

PLL0_LOCK_CFG

PLL1_LOCK_CFG

9 ビッ トの 16 進数

予約。 7 Series FPGA Transceivers Wizard からの

推奨値を使用して ください。

PLL0_REFCLK_DIV

PLL1_REFCLK_DIV

整数 34 ページの図 2-10 に示す、PLL の基準クロ ッ ク分

周器 M の設定です。有効な値は、 1 および 2 です。

PLL0_INIT_CFG

PLL1_INIT_CFG

24 ビッ トの 16 進数

予約。 7 Series FPGA Transceivers Wizard からの

推奨値を使用して ください。

PLL0_DMON_CFG

PLL1_DMON_CFG

1 ビッ ト バイナリ

予約。 7 Series FPGA Transceivers Wizard からの

推奨値を使用して ください。

Page 38: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

38 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 2 章 : 共有機能

GTP ト ランシーバーの TX および RX は、 ステート マシンを使用して初期化プロセスを制御しま

す。 このステート マシンは、 複数の リセッ ト領域に分割されています。 これによ り、 リ セッ ト ステート マシンは、 PMA を先にリセッ ト して、 TXUSERRDY または RXUSERRDY がアサート さ

れた後に PCS を リセッ トするシーケンスでリセッ ト プロセスを制御できます。 また、 通常動作時

に必要に応じて、 PMA、 PCS、 またはそれらの中にあるファンクシ ョ ン ブロ ッ クを個別にリセッ

トするこ と も可能です。

GTP ト ランシーバーには、 初期化リセッ トおよびコンポーネン ト リ セッ トの 2 種類のリセッ ト方

法があ り ます。

• 初期化リセッ ト : この リセッ トは、 GTP ト ランシーバーを完全に初期化する場合に使用しま

す。 デバイスへの電源投入およびコンフ ィギュレーシ ョ ンが完了した後に実行してください。

通常動作時は、 GTTXRESET や GTRXRESET を使用し、 必要に応じて GTP ト ランシーバー

の TX および RX を再初期化するこ と も可能です。 GTTXRESET は、 GTP ト ランシーバー TX 用の初期化リセッ ト ポートです。 GTRXRESET は、 GTP ト ランシーバー RX 用の初期化

リセッ ト ポートです。

• コンポーネン ト リセッ ト : この リセッ トは、 GTP ト ランシーバーの通常動作時、 特殊なケー

スおよび特殊なサブセクシ ョ ンを リセッ トする場合に使用されます。 TX のコンポーネン ト リセッ ト ポートは、 TXPMARESET および TXPCSRESET です。 RX のコンポーネン ト リセッ

ト ポートは、 RXPMARESET、 RXLPMRESET、 EYESCANRESET、 RXPCSRESET、RXBUFRESET、 および RXOOBRESET です。

X-Ref Target - Figure 2-11

図 2-11 : GTP ト ランシーバーの初期化の概要

After FPGAConfiguration

Initialize PLL(PLL0/PLL1)used by TX

TX Initialization ByGTTXRESET

TXRESETDONE RXRESETDONE

RX Initialization ByGTRXRESET

Initialize PLL(PLL0/PLL1)used by RX

UG482_c2_15_040412

Page 39: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 39UG482 (v1.8) 2016 年 6 月 21 日

リセッ トおよび初期化

初期化リセッ ト と コンポーネン ト リ セッ ト の主な リセッ ト範囲については、 GTP ト ランシーバー

の TX の場合は表 2-16 を、GTP ト ランシーバーの RX の場合は表 2-20 および表 2-21 を参照して

ください。

このセクシ ョ ンで説明するすべてのリセッ ト ポートは、High 駆動時に内部リセッ ト ステータス マシンを開始します。 これらのリセッ ト ポートが Low 駆動されるまで、内部リセッ ト ステート マシ

ンはリセッ ト状態を保持します。 これらのリセッ トはすべて非同期です。 これらの非同期リセッ ト

のパルス幅ガイ ド ラインは、 特記のない限り、 基準クロ ッ クの 1 周期分です。

注記 : リセッ ト ポートは、パワーダウンの目的では使用できません。 パワーダウンの正しい使用に

ついては、 「パワーダウン」 を参照して ください。

リセッ ト モード

GTP ト ランシーバー RX リセッ トは、 シーケンシャル モードおよびシングル モードの 2 つのモー

ドで実行できます。 GTP ト ランシーバーの TX のリセッ トはシーケンシャル モードでしか実行で

きません。

• シーケンシャル モード : リセッ ト ステート マシンは、 初期化リセッ ト またはコンポーネン ト リセッ トの入力信号が High になる と開始し、 GTP ト ランシーバーの TX の場合は図 2-13、GTP ト ランシーバーの RX の場合は図 2-18 に示すリセッ ト ステート マシンで、 要求された

リセッ ト ステートからすべてのステートへ遷移して完了します。 このモードのリセッ ト フローが完了する と、 (TX/RX) RESETDONE 信号が Low から High に遷移します。

• シングル モード : リセッ ト ステート マシンは、 属性で設定された定義済みの時間内に要求さ

れた リセッ トのみを個別に実行します。 図 2-18 (GTP ト ランシーバーの RX) に示す要求され

たステート以外のリセッ ト ステートへは遷移しません。 あらゆるコンポーネン ト リセッ トが

要求対象となるため、 PMA、 PCS、 あるいはそれらの中にあるファンクシ ョ ン ブロ ッ クを リ

セッ トできます。 このモードのリセッ ト フローが完了する と、 RXRESETDONE 信号が Low から High に遷移します。

GTP ト ランシーバーの初期化リセッ トには、必ずシーケンシャル モードを使用して ください。シー

ケンシャル モードでのみ動作可能な TX リセッ ト を除くすべてのコンポーネン ト リセッ トは、シー

ケンシャル モードまたはシングル モードのいずれかで実行できます。

GTP ト ランシーバーでは、GTRESETSEL を使用してシーケンシャル リセッ ト モード /シングル リセッ ト モードを選択します。表 2-10 に、GTP ト ランシーバーの TX および RX の両方に適用され

るコンフ ィギュレーシ ョ ンの詳細を示します。 これらのリセッ ト モードは、 PLL0 または PLL1 リセッ トには影響を与えません。GTP ト ランシーバーの TX や RX は、通常動作時にシーケンシャル

モードまたはシングル モード (GTP ト ランシーバーの RX のみ) のアプリ ケーシ ョ ンでリセッ トで

きるため、 GTP ト ランシーバーの一部のみを柔軟にリセッ トできます。 シーケンシャル モードま

たはシングル モードのいずれかを使用している場合、 RESETOVRD 信号は必ず Low 駆動します (表 2-10 参照)。 RESETOVRD および GTRESETSEL は、 リセッ トがアサート される前に 300 ~500ns の値に設定する必要があ り ます。

表 2-10 : GTP ト ランシーバーのリセッ ト モード動作

動作モード RESETOVRD GTRESETSEL

シーケンシャル モード 0 0

シングル モード 0 1

Page 40: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

40 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 2 章 : 共有機能

PLL リセッ ト

PLL (PLL0 および PLL1) の電源は、ロジッ クで基準クロ ッ クのエッジが検出されるまで、PLL0PDおよび PLL1PD ポート を使用して切断する必要があ り ます。 PLL0PD/PLL1PD がディアサート さ

れた後、 PLL0 および PLL1 は使用前に必ずリ セッ ト が必要です。 各 GTPE2_COMMON には、

PLL のリセッ ト用の専用ポートが 6 本あり ます。 図 2-12 に示すとおり、 PLL0RESET は PLL0 をリセッ トする入力で、 PLL1RESET は PLL1 を リセッ トする入力です。 PLL0LOCK および PLL1LOCK は、 リセッ ト プロセスが終了したこ とを示す出力です。この非同期 PLL0RESET およ

び PLL1RESET 信号のパルス幅のガイ ド ラインは、 基準クロ ッ クの 1 周期分です。 内部の GTP トランシーバー回路で生成される実際の PLL0 および PLL1 リ セッ ト信号は、 PLL0RESET および

PLL1RESET の High パルス時間よ り も大幅に長くな り ます。 PLL がロ ッ ク されるまでの時間は、

帯域幅の設定やクロ ッ ク周波数などの影響を受けます。

表 2-11 : GTP ト ランシーバー リセッ ト モードのポート

ポート 方向 クロック ド メ イン 説明

GTRESETSEL 入力 非同期 リセッ ト モードのイネーブル ポートです。

Low : シーケンシャル モード (推奨)

High : シングル モード

RESETOVRD 入力 非同期 予約。 グランドに接続して ください。

X-Ref Target - Figure 2-12

図 2-12 : PLL リセッ トのタイ ミング図

PLL0RESET/PLL1RESET

PLL0LOCK/PLL1LOCK

PLL[0/1] Lock Time

PLL[0/1]RESET_TIME

Internal PLL0/PLL1Reset Signal(Active Low)

UG482_c2_112_021113

表 2-12 : PLL リセッ トのポート

ポート 方向クロック ド メイン

説明

PLL0RESET/

PLL1RESET

入力 非同期 PLL のリセッ ト を開始するため、 このポートは High 駆動された後ディアサート されます。

PLL0LOCK/

PLL1LOCK

出力 非同期 アクティブ High の場合、 この PLL 周波数ロッ

ク信号は、 PLL 周波数があらかじめ判断した耐

性範囲内であるこ とを示します。 この条件が満

たされるまで、 GTP ト ランシーバーおよびその

クロ ッ ク出力は信頼できません。

PLL0LOCKEN/

PLL1LOCKEN

入力 非同期 アクティブ High の場合、PLL のロ ッ ク検出を有

効にします。

Page 41: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 41UG482 (v1.8) 2016 年 6 月 21 日

リセッ トおよび初期化

TX の初期化およびリセッ ト

GTP ト ランシーバー TX は、リセッ ト ステート マシンを使用して リセッ ト プロセスを制御します。

この GTP ト ランシーバーの TX は、 2 つのリセッ ト領域 (TX PMA および TX PCS) に分割されて

います。 これらの領域が分割されるこ とで、 TX の初期化およびリセッ トがシーケンシャル モード

でのみ可能とな り ます (図 2-13 参照)。

TX の初期化には、 GTTXRESET をシーケンシャル モードで使用する必要があ り ます。

GTTXRESET 入力を High 駆動する と、 完全非同期の TX リセッ ト を自動的にト リガーできます。

リセッ ト ステート マシンは、図 2-13 に示すリセッ ト シーケンスを実行して、TX PMA および TXPCS をすべて リセッ ト します。 通常動作時は、 必要に応じてシーケンシャル モードを使用するこ

とで TXPMARESET を High 駆動し、 TXRESETDONE 信号が Low から High へ遷移するまでリ

セッ ト ステート マシンの遷移を続けて リセッ トできます。

TXUSERRDY が High になるまで TX リセッ ト ステート マシンは PCS を リセッ ト しません。 次

に示す条件が満たされた後に、 ユーザーが TXUSERRDY 信号を High 駆動する必要があ り ます。

1. PLL または MMCM が使用されている場合、アプリケーシ ョ ン内の TXUSRCLK/TXUSRCLK2を含むすべてのクロッ クが安定 (ロ ッ ク ) している。

2. ユーザー インターフェイスが GTP ト ランシーバーへデータを送信できる状態である。

表 2-13 : PLL リセッ トの属性

属性 タイプ 説明

PLL[0/1]RESET_TIME (PLL[0/1]_INIT_CFG[9:0])

10 ビッ ト バイナリ

予約。 内部 PLL リセッ トに適用される時間を示しま

す。この値は、必ず 0 以外に設定する必要があ り ます。

7 Series FPGA Transceivers Wizard からの推奨値を

使用してください。

X-Ref Target - Figure 2-13

図 2-13 : GTP ト ランシーバー TX のリセッ ト時のステート マシン シーケンス

WAIT UntilGTTXRESET From

High to Low

TXPMARESETProcess

GTTXRESETHigh

TXPMARESETHigh

TXPCSRESETProcess

TXPCSRESETHigh

Sequence Mode & TXUSERRDY

TXRESETDONEHigh

WAIT UntilTXPMARESET

From High to Low

WAIT UntilTXPCSRESET

From High to Low

UG482_c2_113_020713

Page 42: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

42 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 2 章 : 共有機能

ポートおよび属性

表 2-14 に、 TX 初期化プロセスで必要なポート を示します。

表 2-15 に、 GTP ト ランシーバー TX の初期化プロセスで必要な属性を示します。 通常、 TX PMAまたは TX PCS のリセッ トに要する時間は、 ライン レートによって異なり ます。 PMA リセッ ト時

間および PCS リセッ ト時間を制御する属性には、ユーザー指定可能な TXPMARESET_TIME およ

び TXPCSRESET_TIME があ り ます。

表 2-14 : TX の初期化およびリセッ ト ポート

ポート 方向 クロック ド メイン 説明

GTTXRESET 入力 非同期 TX のリセッ ト シーケンスを開始するため、

このポートは High 駆動された後ディアサー

ト されます。

TXPMARESET 入力 非同期 TX PMA のリセッ トに使用します。TX PMAのリセッ ト シーケンスを開始するため、この

ポートは High 駆動された後ディアサート さ

れます。 シーケンシャル モードの場合、 この

ポート を High 駆動する と TX PMA と TX PCS の両方がリセッ ト されます。

TXPCSRESET 入力 非同期 TX PCS のリセッ トに使用します。PCS のリ

セッ ト シーケンスを開始するため、このポー

トは High 駆動された後ディアサート されま

す。 シーケンシャル モードの場合、 このポー

ト を High 駆動する と TX PCS のみリセッ ト

されます。

TXUSERRDY 入力 非同期 このポートは、 TXUSRCLK および TXUSRCLK2 が安定する と、 ユーザー アプ

リ ケーシ ョ ンによって High 駆動されます。

たとえば、MMCM を使用して TXUSRCLK および TXUSRCLK2 の両方を生成する場合

は、 MMCM ロ ッ ク信号を使用できます。

TXRESETDONE 出力 TXUSRCLK2 GTP ト ランシーバー TX がリセッ ト を完了

して使用可能になる とアクティブ High にな

り ます。 GTTXRESET が High 駆動する と、

このポートは Low に遷移し、 GTP ト ラン

シーバー TX で TXUSERRDY 信号の High 駆動が検出されるまで High になり ません。

TXPMARESETDONE

出力 非同期 GTP TX PMA リセッ トの完了を示すアク

ティブ High の信号です。 GTTXRESET または TXPMARESET がアサート される と、

Low に駆動されます。

CFGRESET 入力 非同期 予約。 7 Series FPGA Transceivers Wizard からの推奨値を使用してください。

PCSRSVDOUT 出力 非同期 予約。

Page 43: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 43UG482 (v1.8) 2016 年 6 月 21 日

リセッ トおよび初期化

コンフ ィギュレーションの完了に応答する GTP ト ランシーバー TX のリセッ ト

図 2-13 に示す TX リセッ ト シーケンスは、GSR 信号に続いて自動的に開始されるこ とはあ り ませ

ん。 次の条件を満たす必要があ り ます。

1. シーケンシャル モードを使用するため、 GTRESETSEL が Low 駆動している。

2. GTTXRESET が使用されている。

3. TXRESETDONE が High になる前、 リセッ ト プロセス全体で TXPMARESET および TXPCSRESET が常に Low 駆動している。

4. 関連する PLL がロッ ク されるまで、 GTTXRESET は Low 駆動できない。

コンフ ィギュレーシ ョ ン時に リセッ ト モードがデフォルトでシーケンシャル モードになる と、 コ

ンフ ィギュレーシ ョ ンが完了してから少なく と も 500ns 間待機した後に、 PLL[0/1]RESET および

GTTXRESET をアサートできます。

リセッ ト モードがデフォルトでシングル モードになる と、ユーザーは次を実行する必要があり ます。

1. コンフ ィギュレーシ ョ ンの完了後、 少なく と も 500ns 間待機します。

2. リセッ ト モードをシーケンシャル モードに変更します。

3. さ らに 300 ~ 500ns 間待機します。

4. PLL[0/1]RESET および GTTXRESET をアサート します。

図 2-14 で示すよ うに、 PLL0 または PLL1 のいずれかからの PLLLOCK 信号を使用して、

GTTXRESET を High から Low へ遷移させるこ とを推奨します。TX リセッ ト ステート マシンは、

GTTXRESET の High が検出される と待機し、 Low になる と リセッ ト シーケンスを開始します。

表 2-15 : TX の初期化およびリセッ ト属性

属性 タイプ 説明

TXPMARESET_TIME 5 ビッ ト バイナリ

予約。TX PMA リセッ トに適用される時間を示します。

7 Series FPGA Transceivers Wizard からの推奨値を使

用してください。GTTXRESET または TXPMARESETを使用して リセッ ト プロセスを開始する場合は、 0 以外の値に設定してください。

TXPCSRESET_TIME 5 ビッ ト バイナリ

予約。 TX PCS リセッ トに適用される時間を示します。

7 Series FPGA Transceivers Wizard からの推奨値を使

用して ください。 TXPCSRESET を使用して リ セッ ト

プロセスを開始する場合は、0 以外の値に設定して くだ

さい。

Page 44: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

44 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 2 章 : 共有機能

GTTXRESET パルスに応答する GTP ト ランシーバー TX のリセッ ト

GTP ト ランシーバーでは、 ユーザーが GTTXRESET 信号を High 駆動するこ とで、 TX 全体を随

時リセッ トできます。 TXPMARESET_TIME および TXPCSRESET_TIME は、 あらかじめ設定で

きますが、GTTXRESET を適用する前に DRP ポート を使用して適切な リセッ ト時間に変更するこ

と も可能です。 GTTXRESET を使用する際は、 次の条件を満たす必要があ り ます。

1. シーケンシャル モードを使用するため、 GTRESETSEL が Low 駆動している。

2. TXRESETDONE が High に遷移するまでのリセッ ト プロセス中は、 TXPMARESET および TXPCSRESET が常に Low 駆動している。

3. 関連する PLL がロッ ク されている。

4. 非同期 GTTXRESET 信号のパルス幅のガイ ド ラインは、 基準クロ ッ クの 1 周期分である。

X-Ref Target - Figure 2-14

図 2-14 : FPGA コンフ ィギュレーシ ョ ン後の GTP ト ランシーバー ト ランスミ ッ ターの初期化

PLL0RESET/PLL1RESET

PLL0LOCK/PLL1LOCK

GTTXRESET

TXUSERRDY

TXRESETDONE

TX RESET FSM IDLE IDLEWAIT TXPMARESET TXPCSRESET

TXPMARESET_TIME TXPCSRESET_TIME

UG482_c2_114_102914

X-Ref Target - Figure 2-15

図 2-15 : GTTXRESET パルスによる GTP ト ランシーバー ト ランスミ ッ ターのリセッ ト

GTTXRESET

TXUSERRDY

TXRESETDONE

TX RESET FSM IDLE IDLEWAIT TXPMARESET TXPCSRESET

TXPMARESET_TIME TXPCSRESET_TIME

UG482_c2_115_020713

Page 45: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 45UG482 (v1.8) 2016 年 6 月 21 日

リセッ トおよび初期化

GTP ト ランシーバー TX コンポーネン トのリセッ ト

TX PMA と TX PCS は個別にリセッ トできます。TXPMARESET または TXPCSRESET が実行さ

れている間、 GTTXRESET は常に Low 駆動します。

TXPMARESET が High から Low へ遷移する と、 PMA リセッ ト プロセスが開始します。

TXPMARESET が実行されている間、 TXPCSRESET は常に Low 駆動します。 シーケンシャル

モード (図 2-16 参照) の場合、 TXUSERRDY が High のと き、 PMA リ セッ トの完了後に PCS リセッ トが自動的に開始されます。

TXUSERRDY が High の場合、TXPCSRESET が High から Low へ遷移する と、PCS リセッ ト プロセスが開始します。 PCS のリセッ トが実行されている間、 TXPMARESET は常に Low 駆動しま

す。シーケンシャル モードの場合、リセッ ト ステート マシンは PCS のみを リセッ ト します (図 2-17参照)。

表 2-16 では、 シーケンシャル モードにおける GTP ト ランシーバー TX で利用できるすべての リ

セッ ト とそれらの対象となるコンポーネン ト を示します。シーケンシャル モードで TXPMARESETを使用した場合、TX リセッ ト ステート マシン以外のすべてのコンポーネン トがリセッ ト されます。

X-Ref Target - Figure 2-16

図 2-16 : シーケンシャル モードの TXPMARESET

X-Ref Target - Figure 2-17

図 2-17 : シーケンシャル モードの TXPCSRESET

TXPMARESET

TXUSERRDY

TXRESETDONE

TX RESET FSM IDLE IDLEWAIT TXPMARESET TXPCSRESET

TXPMARESET_TIME TXPCSRESET_TIME

UG482_c2_116_020713

TXPCSRESET

TXUSERRDY

TXRESETDONE

TX RESET FSM IDLE IDLEWAIT TXPCSRESET

TXPCSRESET_TIME

UG482_c2_116_020713

Page 46: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

46 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 2 章 : 共有機能

表 2-17 に、 状況に応じた リセッ ト方法を示します。

表 2-16 : TX 初期化リセッ トおよびコンポーネン ト リセッ トの範囲 (シーケンシャル モードの場合)

ファンクシ ョ ン ブロック GTTXRESET TXPMARESET TXPCSRESET

TX PCS

FPGA TX ファブリ ッ ク インターフェイス

√ √ √

TX 8B/10B エンコーダー √ √ √

TX ギアボッ クス √ √ √

TX バッファー √ √ √

TX パターン ジェネレーター √ √ √

TX 極性制御 √ √ √

TX の OOB 信号 √ √ √

TX リセッ ト FSM √

TX PMA

TX コンフ ィギュレーシ ョ ン ド ラ イバー

√ √

PCI Express デザイン用の TX レシーバー検出機能

√ √

TX PISO √ √

表 2-17 : 一般的な状況で推奨されるリセッ ト方法

状況 リセッ トする

コンポーネン ト推奨リセッ ト (1)

電源投入およびコンフ ィギュレーシ ョ ン後 TX 全体 GTTXRESET

使用されている PLL への基準クロ ッ クの電

源投入後

TX 全体 GTTXRESET

使用されている PLL への基準クロ ッ クの変

更後

TX 全体 GTTXRESET

使用されている PLL に対する PLL[0/1]PDのアサート /ディアサート後

TX 全体 GTTXRESET

TXPD[1:0] のアサート /ディアサート後 TX 全体 GTTXRESET

TX レートの変更 TX PCS リセッ トが自動的に実行される

TX パラレル ク ロ ッ ク ソースのリセッ ト TX PCS TXPCSRESET

遠端 PMA ループバッ クへの、 または遠端 PMA ループバッ クからの遷移

TX 全体 GTTXRESET

近端 PMA ループバッ クへの、 または近端 PMA ループバッ クからの遷移

RX 全体 GTRXRESET

1. 推奨する リセッ ト方法を使用する と、 GTP ト ランシーバーのほかのコンポーネン トへの影響が 小になります。

Page 47: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 47UG482 (v1.8) 2016 年 6 月 21 日

リセッ トおよび初期化

電源投入およびコンフ ィギュレーシ ョ ン後

コンフ ィギュレーシ ョ ン後、GTP TX 全体を リセッ トする必要があ り ます。手順は、「コンフ ィギュ

レーシ ョ ンの完了に応答する GTP ト ランシーバー TX のリセッ ト 」 を参照して ください。

使用されている PLL への基準クロックの電源投入後

コンフ ィギュレーシ ョ ン後に基準クロ ッ クが変更した、 または GTP ト ランシーバーに電源が投入

された場合、 PLL のリセッ トが完全に終了した後に GTTXRESET を ト グルする必要があ り ます。

使用されている PLL への基準クロックの変更後

PLL への基準クロ ッ ク入力の変更時には、新たな周波数に対して確実にロ ッ クするよ う、PLL を リ

セッ トする必要があ り ます。 PLL のリセッ トが完全に終了したら、 GTTXRESET を ト グルする必

要があ り ます。

使用されている PLL に対する PLL[0/1]PD のアサート /ディアサート後

電源切断後に使用されている PLL が通常動作に戻った場合、PLL を リセッ トする必要があ り ます。

PLL のリセッ トが完全に終了したら、 GTTXRESET を ト グルする必要があ り ます。

TXPD[1:0] のアサート /ディアサート後

TXPD 信号がディアサート されたら、 GTTXRESET を ト グルする必要があ り ます。

TX レートの変更

TXRATE ポート を使用してレートが変更され、TXRATEMODE が 1'b0 に設定されている場合に

は、 必要な リセッ ト シーケンスが自動的に実行されます。 レート変更と必要な リセッ ト シーケン

スの両方が適用されて完了する と、 TXRATEDONE がアサート されます。

TX バッファーが有効の場合、 レー ト の変更後に TX バッファーが自動的に リ セッ トする よ う に

TXBUF_RESET_ON_RATE_CHANGE 属性を TRUE に設定する必要があ り ます。

TX バッファーをバイパスする場合は、TXRATEDONE のアサート後にアライ メン ト を反復実行し

ます。

TX パラレル クロック ソースのリセッ ト

正常動作を行うには、 TXUSRCLK および TXUSRCLK2 を駆動するクロ ッ クが安定している必要

があ り ます。

これらのクロ ッ クは、位相および周波数要件を満たすため、 FPGA の MMCM から駆動されるこ と

が多くあ り ます。 MMCM のロ ッ クが解除され、 誤った値が出力され始めた場合、 クロ ッ ク ソース

が再度ロッ ク された後に TXPCSRESET を ト グルする必要があ り ます。

TX バッファーをバイパスする場合は、 リセッ トの完了後にアライ メン ト を反復実行します。

Page 48: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

48 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 2 章 : 共有機能

RX の初期化およびリセッ ト

GTP ト ランシーバーの RX は、 リセッ ト ステート マシンを使用して リセッ ト プロセスを制御しま

す。 GTP ト ランシーバーの RX は複雑なため、 GTP ト ランシーバーの TX よ り も多くの リセッ ト

領域があ り ます。 これらの領域が分割されるこ とで、 シーケンシャル モードまたはシングル モー

ドのいずれかで RX の初期化およびリセッ ト を実行できます (図 2-18 参照)。

1. シーケンシャル モードの RX

GTP ト ランシーバーの RX を初期化する場合は、GTRXRESET をシーケンシャル モードで使

用する必要があ り ます。GTRXRESET 入力を High 駆動する と、完全非同期の RX リセッ ト を

自動的にト リガーできます。 リセッ ト ステート マシンは、図 2-18 に示すリセッ ト シーケンス

を実行して、RX PMA および RX PCS をすべて リセッ ト します。通常動作時は、シーケンシャ

ル モードを使用するこ とで RXPMARESET、 RXLPMRESET、 EYESCANRESET、RXPCSRESET、および RXBUFRESET のいずれかを High 駆動し、RXRESETDONE が Lowから High へ遷移するまでリセッ ト ステート マシンの遷移を続けて リセッ トできます。

2. シングル モードの RX

GTP ト ランシーバー RX がシングル モードの場合は、ほかのリセッ ト領域へ影響を与えずにリ

セッ ト シーケンスの RXPMARESET、RXLPMRESET、EYESCANRESET、RXPCSRESET、および RXBUFRESET を個別に実行できます。

シーケンシャル モードまたはシングル モードのいずれの場合でも、RXUSERRDY が High になる

まで RX リセッ ト ステート マシンは PCS を リセッ ト しません。 次に示す条件が満たされた後に、

ユーザーが RXUSERRDY 信号を High 駆動する必要があ り ます。

1. PLL または MMCM が使用されている場合、 アプリ ケーシ ョ ン内の RXUSRCLK および RXUSRCLK2 を含むすべてのクロ ッ クが安定 (ロ ッ ク ) している。

2. ユーザー インターフェイスが GTP ト ランシーバーからデータを受信できる状態である。

Page 49: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 49UG482 (v1.8) 2016 年 6 月 21 日

リセッ トおよび初期化

X-Ref Target - Figure 2-18

図 2-18 : GTP ト ランシーバー RX のリセッ ト時のステート マシン シーケンス

WAIT untilRXPMARESET

from High to Low

RXPMARESETProcess

WAIT untilGTRXRESET

from High to Low

RXPMARESET Donewhen

RXRESETDONE High

RXPMARESETHigh

GTRXRESETHigh

SingleMode

WAIT untilRXLPMRESET

from High to Low

RXLPMRESETProcess

RXLPMRESET Done when

RXRESETDONE High

RXLPMRESETHigh

SingleMode

WAIT untilEYESCANRESETfrom High to Low

EYESCANRESETProcess

EYESCANRESETDone when

RXRESETDONE High

EYESCANRESETHigh

SingleMode

WAIT untilRXPCSRESET

from High to Low

RXPCSRESETProcess

RXPCSRESET Donewhen

RXRESETDONE High

RXPCSRESETHigh

SingleMode

WAIT untilRXBUFRESET

from High to Low

RXBUFRESETProcess

RXRESETDONEHigh

RXBUFRESET Donewhen

RXRESETDONE High

RXBUFRESETHigh

SingleMode

Sequence Mode & RXUSERRDY

UG482_c2_118_021113

Page 50: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

50 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 2 章 : 共有機能

ポートおよび属性

表 2-18 に、 GTP ト ランシーバー RX の初期化プロセスで必要なポート を示します。

表 2-18 : RX の初期化およびリセッ ト ポート

ポート 方向クロック ド メイン

説明

GTRXRESET 入力 非同期 チャネルの RX リセッ ト シーケンスを開始

するため、 このポートは High 駆動された後

ディアサート されます。

RXOSCALRESET 入力 非同期 予約。 7 Series FPGA Transceivers Wizard からの推奨値を使用してください。

RXOSINTDONE 出力 非同期 予約。

RXPMARESET 入力 非同期 RX PMA のリセッ ト シーケンスを開始する

ため、 このポートは High 駆動された後ディ

アサート されます。 シングル モードの場

合、 RXPMARESET を High 駆動する と RX PMA ブロ ッ クのみがリセッ ト されます (CDR および LPM はリセッ ト されない)。シーケンシャル モードの場合、

RXPMARESET を High 駆動する と、

図 2-18 の RX リセッ ト プロセスが RXPMARESET から開始され、 その後 RXCDRPHASERESET、RXCDRFREQRESET、 RXLPMRESET、EYESCANRESET、 RXPCSRESET、RXBUFRESET という順でリセッ トが実行

されます。 シーケンシャル モードで リセッ

ト対象となる部分は、 表 2-20 を参照してく

ださい。

RXCDRRESET 入力 非同期 予約。 Low に接続してください。

RXCDRFREQRESET 入力 非同期 予約。 Low に接続してください。

RXLPMRESET 入力 非同期 LPM のリセッ ト シーケンスを開始するた

め、 このポートは High 駆動された後ディア

サート されます。 シングル モードの場合、

RXLPMRESET を High 駆動する と RX LPM 回路のみがリセッ ト されます。 シーケ

ンシャル モードの場合、RXLPMRESET を High 駆動する と、 図 2-18 の RX リセッ ト プロセスが RXLPMRESET から開始され、

その後 EYESCANRESET、RXPCSRESET、 RXBUFRESET という順

でリセッ トが実行されます。 シーケンシャ

ル モードで リセッ ト対象となる部分は、

表 2-20 を参照してください。

Page 51: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 51UG482 (v1.8) 2016 年 6 月 21 日

リセッ トおよび初期化

EYESCANRESET 入力 非同期 EYESCAN のリセッ ト シーケンスを開始す

るため、 このポートは High 駆動された後

ディアサート されます。 シングル モードの

場合、 EYESCANRESET を High 駆動する

と RX アイ スキャン回路のみがリセッ ト さ

れます。 シーケンシャル モードの場合、

EYESCANRESET を High 駆動する と、

図 2-18 の RX リセッ ト プロセスが EYESCANRESET から開始され、 その後 RXPCSRESET、 RXBUFRESET という順

でリセッ トが実行されます。 シーケンシャ

ル モードで リセッ ト対象となる部分は、

表 2-20 を参照してください。

RXPCSRESET 入力 非同期 PCS のリセッ ト シーケンスを開始するた

め、 このポートは High 駆動された後ディア

サート されます。 シングル モードの場合、

RXPCSRESET を High 駆動する と RX PCS 回路のみがリセッ ト されます。 シーケン

シャル モードの場合、 RXPCSRESET を High 駆動する と、 図 2-18 の RX リセッ ト プロセスが RXPCSRESET から開始され、

その後 RXBUFRESET が実行されます。

シーケンシャル モードで リセッ ト対象とな

る部分は、 表 2-20 を参照してください。

いずれのモードの場合でも、RXPCSRESETは、 RXUSERRDY が High に遷移するまで

リセッ ト プロセスを開始しません。

RXBUFRESET 入力 非同期 RX エラスティ ッ ク バッファーのリセッ ト シーケンスを開始するため、 このポートは High 駆動された後ディアサート されます。

シングル モードまたはシーケンシャル モー

ドのいずれかでこのポート を High 駆動する

と RX エラスティ ッ ク バッファーのみがリ

セッ ト されます。

RXUSERRDY 入力 非同期 このポートは、 RXUSRCLK および RXUSRCLK2 が安定する と、 ユーザー アプリ ケーシ ョ ンによって High 駆動されま

す。 たとえば、 MMCM を使用して RXUSRCLK および RXUSRCLK2 の両方

を生成する場合は、 MMCM ロ ッ ク信号を

使用できます。

表 2-18 : RX の初期化およびリセッ ト ポート (続き)

ポート 方向クロック ド メイン

説明

Page 52: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

52 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 2 章 : 共有機能

表 2-19 に、GTP ト ランシーバーの RX の初期化プロセスで必要な属性を示します。通常、RX デー

タパス上の各リセッ トに要する時間は、 ライン レートや関数によって異なり ます。 表 2-19 に示す

ユーザー指定の属性を使用して、 各リセッ ト時間を設定します。

RXRESETDONE 出力 RXUSRCLK2 アサート される と、GTP ト ランシーバー RXが リ セッ ト を完了して使用可能になったこ

とを示す、 アクティブ High になり ます。

GTRXRESET が High の場合は Low 駆動し

ます。 また、 RXUSERRDY が High に遷移

するまで High 駆動しません。

RXPMARESETDONE 出力 非同期 GTP RX PMA リセッ トの完了を示す、アク

ティブ High の信号です。 GTRXRESET または RXPMARESET がアサート される と、

Low に駆動します。

RXOOBRESET 入力 非同期 OOB の個別リセッ トに使用します。OOB 機能を使用しない場合、つま り OOB 信号のリ

セッ トが不要な場合は Low に接続してくだ

さい。

RXOOBRESET は、 図 2-18 に示す GTP トランシーバーの RX のリセッ ト ステート マシンのシーケンス とは独立したものです。ま

た、 シーケンシャル モードやシングル モー

ドは適用されません。

RXOOBRESET を実行した場合、

RXRESETDONE の Low-High 遷移および

High-Low 遷移はあ り ません。

表 2-19 : RX の初期化およびリセッ ト属性

属性 タイプ 説明

RXOSCALRESET_TIME 5 ビッ ト バイナリ

予約。7 Series FPGA Transceivers Wizard からの

推奨値を使用して ください。 GTRXRESET を使

用して リセッ ト プロセスを開始する場合は、0 以外の値に設定して ください。

RXOSCALRESET_TIMEOUT 5 ビッ ト バイナリ

予約。7 Series FPGA Transceivers Wizard からの

推奨値を使用して ください。 GTRXRESET を使

用して リセッ ト プロセスを開始する場合は、0 以外の値に設定して ください。

表 2-18 : RX の初期化およびリセッ ト ポート (続き)

ポート 方向クロック ド メイン

説明

Page 53: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 53UG482 (v1.8) 2016 年 6 月 21 日

リセッ トおよび初期化

RXPMARESET_TIME 5 ビッ ト バイナリ

予約。 RX PMA リセッ トに適用される時間を示

します。 7 Series FPGA Transceivers Wizard からの推奨値を使用して ください。 GTRXRESET または RXPMARESET を使用して リセッ ト プロセスを開始する場合は、 0 以外の値に設定し

て ください。

RXCDRPHRESET_TIME 5 ビッ ト バイナリ

予約。 RX CDR 位相リセッ トに適用される時間

を示します。 RXCDRRESET を使用して リセッ

ト プロセスを開始する場合は、0 以外の値に設定

して ください。

RXCDRFREQRESET_TIME 5 ビッ ト バイナリ

予約。 RX CDRFREQ リセッ トに適用される時

間を示します。 7 Series FPGA Transceivers Wizard からの推奨値を使用してください。

RXCDRFREQRESET を使用して リセッ ト プロ

セスを開始する場合は、 0 以外の値に設定して

ください。

RXLPMRESET_TIME 7 ビッ ト バイナリ

予約。 RX LPM リセッ トに適用される時間を示

します。7 Series FPGA Transceivers Wizard から

の推奨値を使用して ください。 RXLPMRESETを使用して リセッ ト プロセスを開始する場合は、

0 以外の値に設定してください。

RXISCANRESET_TIME 5 ビッ ト バイナリ

予約。RX EYESCAN リセッ トに適用される時間

を示します。 7 Series FPGA Transceivers Wizardからの推奨値を使用してください。

EYESCANRESET_TIME を使用して リセッ ト プロセスを開始する場合は、 0 以外の値に設定し

てください。

RXPCSRESET_TIME 5 ビッ ト バイナリ

予約。RX PCS リセッ トに適用される時間を示し

ます。7 Series FPGA Transceivers Wizard からの

推奨値を使用してください。RXPCSRESET を使

用して リセッ ト プロセスを開始する場合は、0 以外の値に設定してください。

RXBUFRESET_TIME 5 ビッ ト バイナリ

予約。RX BUFFER リセッ トに適用される時間を

示します。7 Series FPGA Transceivers Wizard からの推奨値を使用してください。RXBUFRESETを使用して リセッ ト プロセスを開始する場合は、

0 以外の値に設定してください。

表 2-19 : RX の初期化およびリセッ ト属性 (続き)

属性 タイプ 説明

Page 54: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

54 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 2 章 : 共有機能

コンフ ィギュレーションの完了に応答する GTP ト ランシーバー RX のリセッ ト

図 2-18 に示す RX リ セッ ト シーケンスは、 グローバル GSR 信号に続いて自動的に開始されるこ

とはあ り ません。 次の条件を満たす必要があ り ます。

1. シーケンシャル モードを使用するため、 GTRESETSEL が Low 駆動している。

2. GTRXRESET が使用されている。

3. RXRESETDONE が High に遷移するまでのリセッ ト プロセス全体で、 RXPMARESET、RXCDRRESET、RXCDRFREQRESET、RXLPMRESET、EYESCANRESET、RXPCSRESET、および RXBUFRESET を含むすべてのシングル リセッ ト入力が常に Low に維持されている。

4. 関連する PLL がロッ ク されるまで、 GTRXRESET は Low 駆動できない。

コンフ ィギュレーシ ョ ン時に リセッ ト モードがデフォルトでシーケンシャル モードになる と、 コ

ンフ ィギュレーシ ョ ンが完了してから少なく と も 500ns 間待機した後に、 PLL[0/1]RESET および

GTRXRESET をアサートできます。

リセッ ト モードがデフォルトでシングル モードになる と、ユーザーは次を実行する必要があり ます。

1. コンフ ィギュレーシ ョ ンの完了後、 少なく と も 500ns 間待機します。

2. リセッ ト モードをシーケンシャル モードに変更します。

3. さ らに 300 ~ 500ns 間待機します。

コンフ ィギュレーシ ョ ン後に GTRXRESET を発行する場合は、図 2-19 の手順を実行する必要があ

り ます。

図 2-19 について説明します。

1. DRP wr は、アドレス 9'h011 への DRP の書き込みを実行する関数です。正確な DRP ト ラン

ザクシ ョ ンは表示されてません。

2. 図 2-19 はイベン ト シーケンスを表しており、 正確な縮尺ではあ り ません。

X-Ref Target - Figure 2-19

図 2-19 : FPGA コンフ ィギュレーシ ョ ン後の GTP ト ランシーバー レシーバー

UG482_c2_119_021113

IDLE RXPMARESET RXCDRFREQRESET IDLEWAIT RXCDRRESET RXLPMRESET RXISCANRESET RXPCSRESET RXBUFRESETRXOSCALRESET RXOSCALWAIT

restore setting1’b0

RXOSCALRESET_TIME

RXPMARESET_TIME RXPCDRPHRESET

_TIME

RXPCDRFREQRESET_TIME RXLPMRESET

_TIME

PLL0RESET/PLL1RESET

PLL0LOCK/PLL1LOCK

GTRXRESET

RXUSERRDY

TX RESET FSM

RXRESETDONE

RXPMARESETDONE

DRPRDY

DRP(wr addr ‘h011, bit[11])

RXISCANRESET_TIME RXPCSRESET

_TIME

RXBUFRESET_TIME

Page 55: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 55UG482 (v1.8) 2016 年 6 月 21 日

リセッ トおよび初期化

3. コンフ ィギュレーシ ョ ン後に RX リセッ ト を ト リガーしたい場合は、 GTRXRESET がアサー

ト されている間に PLL[0/1]RESET をアサート して リ リースします。 GTRXRESET がアサー

ト されるこ とによって RXPMARESETDONE が Low に遷移します。

4. GTPE2_CHANNEL プリ ミ ティブ、 DRPADDR 9'h011 に対して DRP 書き込みを発行し、

bit[11] を 1'b0 に設定します。

a. DRPADDR 9'h011 の bit[11] のみを確実に変更するには、 read-modify-write 関数を実行

するのが 善です。

5. DRP 書き込みが完了したら、 ユーザーは GTRXRESET を Low に設定し、 必要に応じてその

状態を維持させるこ とができます。 DRP 書き込みが完了するまで GTRXRESET を High に維

持する限り、 ユーザーは GTRXRESET のアサート を延長できます。

注記 : 図 2-19 で示すよ うに、 PLL0 または PLL1 のいずれかからの PLLLOCK 信号を使用し

て、 GTRXRESET を High から Low へ遷移させるこ とを推奨します。

6. RXPMARESETDONE の立ち下がりエッジを待機します。

7. GTPE2_CHANNEL プリ ミ ティブ、 DRPADDR 9'h011 に対して DRP 書き込みを発行し、

bit[11] を元の設定に戻します。 この DRP 書き込みは、 RXPMARESETDONE が Low から High に切り替わる前に完了させる必要があ り ます。 RXPMARESETDONE は、 少なく と も 0.66µs 間 Low のままです。

8. グ リ ッチを回避するため、 GTRXRESET はレジスタの出力で駆動します。

9. RXPMARESET_TIME は 5'h3 に設定する必要があ り ます。 これをデフォルト設定と します。

10. SIM_RESET_SPEEDUP を FALSE に設定した場合、上記シーケンスが正し くシ ミ ュレーシ ョ

ンを実行します。SIM_RESET_SPEEDUP を TRUE に設定した場合、上記シーケンスにはバイ

パスを使用する必要があ り ます。

GTRXRESET パルスに応答する GTP ト ランシーバー RX のリセッ ト

GTP ト ランシーバーでは、 ユーザーが GTRXRESET 信号を High 駆動するこ とで、 GTP ト ラン

シーバーの RX 全体を随時リセッ トできます。 表 2-18 にリ ス ト されたすべての RX リセッ ト属性

は、 あらかじめ設定できますが、 GTRXRESET を適用する前に DRP ポート を使用して適切な リ

セッ ト時間に変更するこ と も可能です。 GTRXRESET を使用する際は、 次の条件を満たす必要が

あ り ます。

1. シーケンシャル モードを使用するため、 GTRESETSEL が Low 駆動している。

2. RXRESETDONE が High に遷移するまでのリセッ ト プロセス中は、 RXPMARESET、RXCDRRESET、RXCDRFREQRESET、RXLPMRESET、EYESCANRESET、RXPCSRESET、および RXBUFRESET を含む図 2-18 の左側にあるすべてのリセッ ト入力が常に Low を保持

している。

3. 関連する PLL がロッ ク されている。

4. 図 2-20 に、 GTRXRESET を発行する手順を示します。

Page 56: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

56 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 2 章 : 共有機能

図 2-20 について説明します。

1. DRP wr は、アドレス 9'h011 への DRP の書き込みを実行する関数です。正確な DRP ト ラン

ザクシ ョ ンは表示されてません。

2. 図 2-20 はイベン ト シーケンスを表しており、 正確な縮尺ではあ り ません。

3. コンフ ィギュレーシ ョ ン後に RX リセッ ト を ト リ ガーしたい場合は、 GTRXRESET がアサー

ト されている間に PLL[0/1]RESET をアサート して リ リースします。GTRXRESET がアサート

されるこ とによって RXPMARESETDONE が Low に遷移します。

4. GTPE2_CHANNEL プリ ミ ティブ、 DRPADDR 9'h011 に対して DRP 書き込みを発行し、

bit[11] を 1'b0 に設定します。

a. DRPADDR 9'h011 の bit[11] のみを確実に変更するには、 read-modify-write 関数を実行

するのが 善です。

5. DRP 書き込みが完了したら、 ユーザーは GTRXRESET を Low に設定し、 必要に応じてその

状態を維持させるこ とができます。 DRP 書き込みが完了するまで GTRXRESET を High に維

持する限り、 ユーザーは GTRXRESET のアサート を延長できます。

6. RXPMARESETDONE の立ち下がりエッジを待機します。

7. GTPE2_CHANNEL プリ ミ ティブ、 DRPADDR 9'h011 に対して DRP 書き込みを発行し、

bit[11] を元の設定に戻します。 この DRP 書き込みは、 RXPMARESETDONE が Low から High に切り替わる前に完了させる必要があ り ます。 RXPMARESETDONE は、 少なく と も 0.66µs 間 Low のままです。

8. グ リ ッチを回避するため、 GTRXRESET はレジスタの出力で駆動します。

9. RXPMARESET_TIME は 5'h3 に設定する必要があ り ます。 これをデフォルト設定と します。

SIM_RESET_SPEEDUP を FALSE に設定した場合、上記シーケンスが正し くシ ミ ュレーシ ョ

ンを実行します。SIM_RESET_SPEEDUP を TRUE に設定した場合、上記シーケンスにはバイ

パスを使用する必要があ り ます。

X-Ref Target - Figure 2-20

図 2-20 : GTRXRESET パルスによる GTP レシーバーのリセッ ト

UG482_c2_120_021113

IDLE RXPMARESET RXCDRFREQRESET IDLE

GTRXRESET

RXRESETDONE

WAIT RXCDRRESET RXLPMRESET RXISCANRESET RXPCSRESET RXBUFRESET

RXPMARESETDONE

DRPRDY

RXOSCALWAIT

restore setting1’b0DRP

wr (addr ‘h011, bit[11])

RXOSCALRESET_TIME

RXMPARRESET_TIME RXCDRPHRESET

_TIME

RXCDRFREQRESET_TIME

RXUSERRDY

RX RESET FSM

RXLPMRESET_TIME

RXISCANRESET_TIME

RXMPARRESET_TIME

RXPCSRESET_TIME

RXBUFRESET_TIME

Page 57: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 57UG482 (v1.8) 2016 年 6 月 21 日

リセッ トおよび初期化

GTP ト ランシーバー RX PMA リセッ ト RXPMARESET を発行する場合は、 図 2-21 の手順を実行する必要があ り ます。

1. DRP wr は、アドレス 9'h011 への DRP の書き込みを実行する関数です。正確な DRP ト ラン

ザクシ ョ ンは表示されてません。

2. 図 2-21 はイベン ト シーケンスを表しており、 正確な縮尺ではあ り ません。

3. RXPMARESET を ト リガーしたい場合は、 GTPE2_CHANNEL プリ ミ ティブ、 DRPADDR 9'h011 に対して DRP 書き込みを発行し、 bit[11] を 1'b0 に設定します。

a. DRPADDR 9'h011 の bit[11] のみを確実に変更するには、 read-modify-write 関数を実行

するのが 善です。

4. DRP 書き込みの完了後、 RXPMARESET を High に設定してその状態を維持します。

5. RXPMARESETDONE が Low に駆動されるまで待機します。

6. GTPE2_CHANNEL プリ ミ ティブ、 DRPADDR 9'h011 に対して DRP 書き込みを発行し、

bit[11] を元の設定に戻します。

7. DRP 書き込みが完了したら、ユーザーは RXPMARESET を Low に設定し、必要に応じてその

状態を維持させるこ とができます。 DRP 書き込みが完了するまで RXPMARESET を High に維持する限り、 ユーザーは RXPMARESET のアサート を延長できます。

8. グ リ ッチを回避するため、 RXPMARESET はレジスタの出力で駆動します。

GTP ト ランシーバー RX コンポーネン トのリセッ ト

GTP ト ランシーバー RX コンポーネン トの リセッ トには、 シーケンシャル モードまたはシングル

モードのいずれかを使用できます。 これらのリセッ トは、 主に特別な場合に使用され、 特定のサブ

セクシ ョ ンの リセッ トが必要な場合にのみ実行します。 表 2-20 および表 2-21 では、 GTP ト ラン

シーバーの RX で利用できるすべてのリセッ ト とそれらの対象となるコンポーネン ト をモード別 (シーケンシャル モード /シングル モード ) に示します。 これらのリセッ トはすべて非同期です。

X-Ref Target - Figure 2-21

図 2-21 : GTP ト ランシーバー RXPMARESET シーケンス

UG482_c2_121_020713

RXPMARESET

RXPMARESETDONE

RXRESETDONE

DRP wr(addr ’h011, bit[11])

DRPRDY

1’b0 restoresetting

Page 58: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

58 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 2 章 : 共有機能

表 2-20 : RX コンポーネン ト リセッ トの範囲 (シーケンシャル モードの場合)

ファンクシ ョ ン ブロック

GTRXRESET

RXPMARESET

RXLPMRESET

EYESCANRESET

RXPCSRESET

RXBUFRESET

RX PCS

FPGA RX

ファブ リ ッ ク インターフェイス

√ √ √ √ √

RX ギアボッ クス √ √ √ √ √

RX ステータス制御 √ √ √ √ √

RX エラスティ ッ ク バッファーの

遅延調整機能

√ √ √ √ √

RX 8B/10B エン

コーダー√ √ √ √ √

RX カンマ検出

およびアライ メン ト√ √ √ √ √

RX 極性 √ √ √ √ √

PRBS チェッカー √ √ √ √ √

RX エラスティ ッ ク バッファー

√ √ √ √ √ √

RX リ セッ ト FSM √

RX PMA

RX アナログ フロン ト エンド

√ √

RX の OOB 信号 √ √

RX SIPO √ √

RX CDR 位相パス √ √

RX CDR 周波数パス √ √

RX LPM √ √ √

RX ISCAN √ √ √ √

Page 59: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 59UG482 (v1.8) 2016 年 6 月 21 日

リセッ トおよび初期化

表 2-22 に、 状況に応じた リセッ ト方法を示します。

表 2-21 : RX コンポーネン ト リセッ トの範囲 (シングル モードの場合)

ファンクシ ョ ン ブロック

GTRXRESET

RXPMARESET

RXLPMRESET

EYESCANRESET

RXPCSRESET

RXBUFRESET

RXOOBRESET

RX PCS

FPGA RX

ファブ リ ッ ク インターフェイス

RX ギアボッ クス √

RX ステータス制御 √

RX 遅延調整機能 √

RX 8B/10B

エンコーダー√

RX カンマ検出

およびアライ メン ト√

RX 極性 √

PRBS チェッカー √

RX エラスティ ッ ク バッファー

RX リ セッ ト FSM

RX PMA

RX アナログ フロン ト エンド

RX の OOB 信号 √ √

RX SIPO √

RX CDR 位相パス

RX CDR 周波数パス

RX LPM √

RX ISCAN √

表 2-22 : 一般的な状況で推奨されるリセッ ト方法

状況リセッ トする

コンポーネン ト推奨リセッ ト (1)

電源投入およびコンフ ィギュレーシ ョ ン後 RX 全体 GTRXRESET

使用されている PLL への基準クロ ッ クの電

源投入後

RX 全体 GTRXRESET

使用されている PLL への基準クロ ッ クの変

更後

RX 全体 GTRXRESET

使用されている PLL に対する PLL[0/1]PDのアサート /ディアサート後

RX 全体 GTRXRESET

Page 60: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

60 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 2 章 : 共有機能

電源投入およびコンフ ィギュレーシ ョ ン後

コンフ ィギュレーシ ョ ン後、GTP RX 全体を リセッ トする必要があ り ます。手順は、「コンフ ィギュ

レーシ ョ ンの完了に応答する GTP ト ランシーバー RX のリセッ ト 」 を参照してください。

使用されている PLL への基準クロックの電源投入後

コンフ ィギュレーシ ョ ン後に基準クロ ッ クが変更した、 または GTP ト ランシーバーに電源が投入

された場合、 PLL のリセッ トが完全に終了した後に GTRXRESET を ト グルする必要があ り ます。

RXPD[1:0] のアサート /ディアサート後 RX 全体 GTRXRESET

RX レートの変更 RX 全体 GTRXRESET、 も し くは RXRATE ポートによって自

動的に実行される リセッ ト シーケンス

RX パラレル ク ロ ッ ク ソースのリセッ ト RX PCS RXPCSRESET

リモート側の電源投入後 RX 全体 GTRXRESET

電気的アイ ドル状態 RX 全体 適切な属性の設定で自動的に処理される

RXN/RXP の接続後 RX 全体 GTRXRESET

リ カバリ ク ロ ッ クの安定後 RX エラスティ ッ ク バッファー

RXBUFRESET

RXBUFFER エラーの後 RX エラスティ ッ ク バッファー

RXBUFRESET

オンザフライでチャネル ボンディング モー

ドを変更後 RX エラスティ ッ ク

バッファー RXBUF_RESET_ON_CB_CHANGE を TRUE に設

定し、 チャネル ボンディング モードが変更される と RX エラスティ ッ ク バッファーが自動的にリセッ ト さ

れる

PRBS エラー後 PRBS エラー カウンター

PRBSCNTRESET

カンマ リ アライ メン ト後 RX エラスティ ッ ク バッファー

(オプシ ョ ン)

RXBUF_RESET_ON_COMMAALIGN を TRUE に設定し、 カンマ リ アライ メン トが実行される と RX エラスティ ッ ク バッファーが自動的にリセッ ト される

遠端 PMA ループバッ クへの、 または遠端

PMA ループバッ クからの遷移 TX 全体 GTTXRESET

近端 PMA ループバッ クへの、 または近端

PMA ループバッ クからの遷移 RX 全体 GTRXRESET

注記 :

1. 推奨する リセッ ト方法を使用する と、 GTP ト ランシーバーのほかのコンポーネン トへの影響が 小になり ます。

2. RXN/RXP の同時接続を前提と しています。

表 2-22 : 一般的な状況で推奨されるリセッ ト方法 (続き)

状況リセッ トする

コンポーネン ト推奨リセッ ト (1)

Page 61: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 61UG482 (v1.8) 2016 年 6 月 21 日

リセッ トおよび初期化

使用されている PLL への基準クロックの変更後

PLL への基準クロ ッ ク入力の変更時には、新たな周波数に対して確実にロ ッ クするよ う、PLL を リ

セッ トする必要があ り ます。 PLL のリセッ トが完全に終了したら、 GTRXRESET を ト グルする必

要があ り ます。

使用されている PLL に対する PLL[0/1]PD のアサート /ディアサート後

電源切断後に使用されている PLL が通常動作に戻った場合、PLL を リセッ トする必要があ り ます。

PLL のリセッ トが完全に終了したら、 GTRXRESET を ト グルする必要があ り ます。

RXPD[1:0] のアサート /ディアサート後

RXPD 信号がディアサート された後は、 GTRXRESET 信号をアサート される必要があ り ます。

RX レートの変更

ほとんどの場合、出力分周器の変更に加え、RX レート を変更する際も DRP を介して RX CDR ルー

プ フ ィルターの設定を変更する必要があ り ます ( 「RX CDR」 参照)。 DRP によって RX CDR ルー

プ フ ィルターを適切に設定し、 RX_OUTDIV 属性を更新した うえで、 GTRXRESET ポート を ト グ

ルするこ とで RX を リセッ トする必要があり ます。 DRP による CDR ループ フ ィルターの更新が不

要の場合、 レートは、 RXRATEMODE が 1'b0 に設定されている と きに RXRATE ポート を使用す

る と変更できます。 その場合、 必要な リセッ ト シーケンスが自動的に実行されます。 レート変更と

必要な リセッ ト シーケンスの両方が適用されて完了する と、 RXRATE に応答して RXRATEDONEがアサート されます。

RX バッファーが有効の場合、RXRATE ポートによるレートの変更後に RX バッファーが自動的に

リセッ トするよ うに RXBUF_RESET_ON_RATE_CHANGE 属性を TRUE に設定する必要があ り

ます。RX バッファーをバイパスする場合は、RXRATEDONE のアサート後にアライ メン ト を反復

実行します。

RX パラレル クロック ソースのリセッ ト

適切な動作を実現するには、 RXUSRCLK および RXUSRCLK2 を駆動するクロ ッ クが安定してい

る必要があ り ます。 これらのクロ ッ クは、 位相および周波数要件を満たすため、 FPGA の MMCMから駆動されるこ とが多くあ り ます。 MMCM のロ ッ クが解除され、 誤った値が出力され始めた場

合、 ク ロ ッ ク ソースが再度ロ ッ ク された後に RXPCSRESET を ト グルする必要があ り ます。 RXバッファーをバイパスする場合は、 リセッ トの完了後にアライ メン ト を反復実行します。

リモート側の電源投入後

入力データのソースの電源が、 そのデータを受信して動作している GTP ト ランシーバーよ り後に

投入された場合は、 RX 側を リセッ ト し、 入力データに対して確実にロ ッ クする必要があ り ます。

電気的アイドル リセッ ト

OOB および電気的アイ ドルをサポートするプロ ト コルについては、 ト ランシーバーへの RX 入力

の差動電圧が OOB または電気的アイ ドル レベルまで降下する と き、電気的アイ ドルに関連する属

性が適切な値に設定される と RX CDR が自動的に制御される可能性があ り ます。 7 Series FPGATransceivers Wizard からの推奨値を使用して ください。

RXN/RXP の接続後

GTP ト ランシーバーへの RX データが接続および接続解除可能なコネク タから入力されている場

合、 データ ソースが接続されたと きに入力データに対して適切にロ ッ クするよ う、 RX 側を リセッ

トする必要があ り ます。

Page 62: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

62 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 2 章 : 共有機能

リカバリ クロックの安定後

ク ロ ッキング手法の設計によっては、CDR が入力データに対してロ ッ ク される前に、RX のリセッ

ト シーケンスを完了させるこ とができます。 この場合、 リ カバリ ク ロ ッ クは、 RXRESETDONEがアサート される と安定しな く なる可能性があ り ます。 RX バッファーの使用時は、 リ カバ リ クロ ッ クが安定した後に RXBUFRESET を ト リガーする必要があ り ます。RX バッファーのバイパス

使用時は、 リ カバリ ク ロ ッ クが安定するまでアライ メン ト を開始できません。 CDR のデータへの

ロ ッ クに関する基準は、 『Artix-7 FPGA データシート : DC 特性およびスイ ッチ特性』 (DS181) を参照して ください。

RX バッファー エラー後

RX エラスティ ッ ク バッファーのオーバーフローまたはアンダーフローが発生した場合、 適切に動

作させるため、 RXBUFRESET を使用して RX エラスティ ッ ク バッファーを リセッ トする必要が

あ り ます。

オンザフライでチャネル ボンディング モードを変更後

RXBUF_RESET_ON_CB_CHANGE を TRUE に設定する と、 RXCHANBONDMASTER、

RXCHANBONDSLAVE、 または RXCHANBONDLEVELRX が変更された後、 エラスティ ッ ク バッファーが自動的にリセッ ト されます。

PRBS エラー後

PRBSCNTRESET がアサート される と PRBS エラー カウンターがリセッ ト されます。

カンマ リアライメン ト後

RXBUF_RESET_ON_COMMAALIGN を TRUE に設定する と、 カンマ リ アラ イ メン ト中に RX エラスティ ッ ク バッファーを自動的にリセッ トできます。

パワーダウン

機能の説明

GTP ト ランシーバーは、 さまざまなパワーダウン モードをサポート します。 これらのモードでは、

一般的なパワー マネージ メ ン ト 機能と PCI Express® および SATA 規格で定められたパワー マネージメン ト機能を使用できます。

GTP ト ランシーバーには異なるレベルの電力制御があ り ます。 各方向のチャネルは、 それぞれ

TXPD および RXPD を使用して個別に電源を切断できます。 PLL1PD ポートが PLL1 に影響を与

える一方で、 PLL0PD ポートが PLL0 に直接影響を与えます。

Page 63: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 63UG482 (v1.8) 2016 年 6 月 21 日

パワーダウン

ポートおよび属性

表 2-23 に、 パワーダウンのポート を示します。

表 2-23 : パワー ダウン用のポート

ポート 方向 クロック ド メイン 説明

PLL0PD 入力 非同期 アクティブ High の場合、 PLL0 の電源を切断します。

PLL1PD 入力 非同期 アクティブ High の場合、 PLL1 の電源を切断します。

RXPD[1:0] 入力 非同期 PCI Express PIPE プロ ト コル エンコードに応じて RX レーンの

電源を切断します。

00 : P0 (通常動作)

01 : P0s (短いリ カバリ時間の

電源切断)

10 : P1 (長いリ カバリ時間の

電源切断)

11 : P2 (電力が も低い状態)

TXPD[1:0] 入力 TXUSRCLK2 (TXPDELECIDLEMODE

によって非同期になる)

PCI Express PIPE プロ ト コル エンコードに応じて TX レーンの

電源を切断します。

00 : P0 (通常動作)

01 : P0s (短いリ カバリ時間の

電源切断)

10 : P1 (長いリ カバリ時間の

電源切断、 受信検出はオンの

まま)

11 : P2 (電力が も低い状態)

これらのパワーダウン ステート

間の移行時間は属性で制御でき

ます。

TXPDELECIDLEMODE 入力 非同期 TXELECIDLE および TXPD が同

期信号または非同期信号のいずれ

で処理されるかを決定します。

TXPHDLYPD 入力 非同期 TX の位相および遅延調整回路の

電源切断に使用します。 TX バッ

ファー バイパス モードで 1'b0 に設定されます。

0 : TX の位相および遅延調整

回路に電源を投入

1 : TX の位相および遅延調整

回路の電源を切断

Page 64: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

64 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 2 章 : 共有機能

表 2-24 に、 パワーダウンの属性を示します。

RXPHDLYPD 入力 非同期 RX の位相および遅延調整回路

の電源切断に使用します。 RX バッファー バイパス モードで 1'b0 に設定されます。

0 : RX の位相および遅延調整

回路に電源を投入

1 : RX の位相および遅延調整

回路の電源を切断

表 2-24 : パワーダウンの属性

属性 タイプ 説明

PD_TRANS_TIME_FROM_P2 12 ビッ トの 16 進数

PCIe 動作用の P2 ステートから別のパワー

ダウン モードへの移行時間を設定します。

7 Series FPGA Transceivers Wizard からの

推奨値を使用してください。

PD_TRANS_TIME_NONE_P2 8 ビッ トの 16 進数

PCIe 動作の P2 ステート以外のモード間の

移行時間を設定します。 7 Series FPGA Transceivers Wizard からの推奨値を使用し

てください。

PD_TRANS_TIME_TO_P2 8 ビッ トの 16 進数

PCIe 動作用の P2 ステートへの移行時間を

設定します。 7 Series FPGA Transceivers Wizard からの推奨値を使用してください。

TRANS_TIME_RATE 8 ビッ トの 16 進数

PCIe プロ ト コル (Gen2/Gen1 データ レー

ト ) を含むすべての規格において [TX/RX]RATE ピンを使用してライン レート を変更

する際の移行時間 (変更完了までの時間) を指定します。 7 Series FPGA Transceivers Wizard からの推奨値を使用してください。

RX_CLKMUX_PD 1 ビッ ト バイナリ

7 Series FPGA Transceivers Wizard からの

推奨値を使用して ください。

TX_CLKMUX_PD 1 ビッ ト バイナリ

7 Series FPGA Transceivers Wizard からの

推奨値を使用して ください。

表 2-23 : パワー ダウン用のポート (続き)

ポート 方向 クロック ド メイン 説明

Page 65: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 65UG482 (v1.8) 2016 年 6 月 21 日

パワーダウン

一般的なパワーダウン機能

GTP ト ランシーバーは、 多様なアプリ ケーシ ョ ンで使用可能なパワーダウン機能を提供します。

表 2-25 に、 これらの機能の基本概要を示します。

PLL のパワーダウン

PLL0 のパワーダウン モードをアクティブにするには、アクティブ High の PLL0PD 信号をアサー

ト します。 同様に、 PLL1 のパワーダウン モード をアクテ ィブにするには、 アクテ ィブ High のPLL1PD 信号をアサート します。 PLL0PD または PLL1PD のいずれかがアサート される と、 対応

する PLL の電力が切断されます。 つま り、 PLL から派生するすべてのクロ ッ クが停止します。

初のコンフ ィギュレーシ ョ ンおよび電源投入時、基準クロ ッ クのエッジが検出されるまで、PLL0/PLL1 の電力は、 PLL0PD/PLL1PD ポート を使用して切断しておく必要があ り ます。基準クロ ッ ク

が停止した場合、 PLL0/PLL1 の電力を切断する必要があ り ます。 PLL0 ベースのデザインで PLL1を使用しない場合は、 PLL1PD を High に接続できます。 PLL1 ベースのデザインで PLL0 を使用

しない場合は、 PLL0PD を High に接続できます。

この省電力モードから通常モードへ戻る と、 対応する PLL ロ ッ ク信号がアサート されます。

TX および RX のパワーダウン

PCI Express を使用しないデザインで、TX および RX パワーダウン信号を使用する場合は、TXPDおよび RXPD を個別に使用できます。これらのインターフェイスが PCI Express 以外のアプリ ケー

シ ョ ンで使用される場合、表 2-26 に示す 2 つの電力ステートのみがサポート されます。このパワー

ダウン方法を使用する場合は、 次の要件を満たす必要があ り ます。

• TXPD[1] と TXPD[0] が接続されている

• RXPD[1] と RXPD[0] が接続されている

• TXDETECTRX が Low に固定されている

• TXELECIDLE が TXPD[1] および TXPD[0] に固定されている

表 2-25 : 基本的なパワーダウン機能の概要

機能 制御ポート 影響

PLL0 の制御 PLL0PD PLL0 への電力供給を切断します。

PLL1 の制御 PLL1PD PLL1 への電力供給を切断します。

TX の電力制御 TXPD[1:0] GTP ト ランシーバーの TX 側が影響を受けます。

RX の電力制御 RXPD[1:0] GTP ト ランシーバーの RX 側が影響を受けます。

表 2-26 : PCI Express デザイン以外の動作における TX および RX 電力ステート

TXPD[1:0] または RXPD[1:0] 説明

00通常モード ト ランシーバーの TX または RX はデータの送信/受信が可能な

状態です。

11 パワーダウン モード。ト ランシーバーの TX または RX はアイ ドル状態です。

Page 66: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

66 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 2 章 : 共有機能

ループバック

機能の説明

ループバッ ク モードは、 ト ラフ ィ ッ ク ス ト リームが折り返してソースに戻るよ うにデータパスを

構成するものです。通常は、特定のト ラフ ィ ッ ク パターンが送信され、 エラーがないかをチェッ ク

するために比較されます。 図 2-22 に、 4 つのループバッ ク モードを備えたループバッ ク テス トの

コンフ ィギュレーシ ョ ンを示します。

ループバッ ク テス ト モードは、 大き く次の 2 つに分類されます。

• 近端ループバッ ク モードの場合、 送信データが ト ラフ ィ ッ ク ジェネレーターに も近接して

いる ト ランシーバーに戻り ます。

• 遠端ループバッ ク モードの場合、受信データがリ ンクの も遠い位置にある ト ランシーバーに

戻り ます。

ループバッ ク テス トは、開発段階またはシステム展開後に故障隔離のために実施できます。テス ト

には、 アプリ ケーシ ョ ン ト ラフ ィ ッ ク パターンあるいは擬似ランダム ビッ ト シーケンスのどちら

の ト ラフ ィ ッ ク パターンも使用可能です。各 GTP ト ランシーバーに PRBS ジェネレーターおよび

チェッカーが内蔵されています。

GTP ト ランシーバーは、 テス ト用にいくつかのループバッ ク モードを備えています。

• 近端 PCS ループバッ ク (図 2-22 のパス ①)

近端 PCS ループバッ クが正し く機能するよ うにするには、RX エラスティ ッ ク バッファーを有

効にし、 RX_XCLK_SEL を RXREC に設定する必要があ り ます。

近端 PCS ループバッ クでは、RX XCLK ド メ インには TX PMA パラレル クロ ッ ク (TX XCLK)によってクロッ クが供給されます。 FPGA ロジッ クにクロ ッ クを供給するために RXOUTCLKが用いられ、通常動作時に RXOUTCLKSEL が RXOUTCLKPMA に設定されている場合、GTPト ランシーバーを近端 PCS ループバッ クに配置する際に次の 2 つのうちの 1 つを変更する必要

があり ます。

1.) RXOUTCLKPCS を選択するよ うに RXOUTCLKSEL を設定する

X-Ref Target - Figure 2-22

図 2-22 : ループバック テストの概略図

Test Logic Near-End GTP

1 2 3 4

Far-End GTP

Link Near-End Test Structures Link Far-End Test Structures

TrafficChecker

TrafficGenerator

RX-PCS

RX-PCS

TX-PCS

TX-PCS

TX-PMA

TX-PMA

RX-PMA

RX-PMA

UG482_c2_11_111111

Page 67: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 67UG482 (v1.8) 2016 年 6 月 21 日

ループバック

フ ィルターを有効に設定した場合は、 次のよ うにな り ます。

2.) RXCDRHOLD = 1'b1 に設定する

• 近端 PMA ループバッ ク (図 2-22 のパス ②)

近端 PMA ループバッ クへ/からの遷移後に GTRXRESET が必要です。

• 遠端 PMA ループバッ ク (図 2-22 のパス ③)

遠端 PMA ループバッ クが正し く機能するよ うにするには、 TX バッファーを有効にし、

TX_XCLK_SEL を TXOUT に設定する必要があ り ます。

遠端 PMA ループバッ クでは、 TX バッファーの書き込み側には RX PMA パラレル ク ロ ッ ク

(RX XCLK) によってクロ ッ クが供給されます。

遠端 PMA ループバッ クへ/からの遷移後に GTTXRESET が必要です。

• 遠端 PCS ループバッ ク (図 2-22 のパス ④)

ク ロ ッ ク コレクシ ョ ン機能を使用しない場合、 遠端 PCS ループバッ クの ト ランシーバーは、

ループバッ ク データを供給する ト ランシーバーで使用されている同じ基準クロ ッ クを用いる

必要があ り ます。TXUSRCLK ポート と RXUSRCLK ポートは、ク ロ ッ ク コレクシ ョ ン機能の

使用有無にかかわらず、同じクロ ッキング ソース (BUFG、BUFH) で駆動する必要があ り ます。

チャネルの両方またはどちらか一方のギアボッ クスが有効の場合は、遠端 PCS ループバッ クは

サポート されません。

ポートおよび属性

表 2-27 ~表 2-28 に、 ループバッ クのポート と属性を示します。

表 2-27 : ループバック用のポート

ポート 方向 クロック ド メイン 説明

LOOPBACK[2:0] 入力 非同期 000 : 通常動作

001 : 近端 PCS ループバッ ク

010 : 近端 PMA ループバッ ク

011 : 予約

100 : 遠端 PMA ループバッ ク

101 : 予約

110 : 遠端 PCS ループバッ ク

表 2-28 : ループバックの属性

属性 タイプ 説明

LOOPBACK_CFG 1 ビッ ト バイナリ 予約。

PMA_LOOPBACK_CFG 1 ビッ ト バイナリ 予約。

Page 68: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

68 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 2 章 : 共有機能

ダイナミ ック リコンフ ィギュレーシ ョ ン ポート

機能の説明

ダイナミ ッ ク リ コンフ ィギュレーシ ョ ン ポート (DRP) を使用するこ とで、 動作中に GTPE2_CHANNEL および GTPE2_COMMON プリ ミ ティブのパラ メーターを変更できます。DRP はプロ

セッサとの併用が容易な同期インターフェイスで、1 つのアドレス バス (DRPADDR) とプ リ ミ ティ

ブへのコンフ ィギュレーシ ョ ン データの読み出し (DRPDO) 用および書き込み (DRPDI) 用に別々

のデータ バスを備えています。 イネーブル信号 (DRPEN)、 読み出し /書き込み信号 (DRPWE)、 お

よび Ready/Valid 信号 (DRPRDY) は、 読み出しおよび書き込み動作の制御信号であ り、 処理の完

了や有効なデータの有無を示します。

ポートおよび属性

表 2-29 に、 GTPE2_CHANNEL の DRP ポート を示します。

表 2-29 : GTPE2_CHANNEL の DRP ポート

ポート 方向クロック ド メ イン

説明

DRPADDR[8:0] 入力 DRPCLK DRP アドレス バス

DRPCLK 入力 N/A DRP インターフェイス ク ロ ッ ク

DRPEN 入力 DRPCLK DRP のイネーブル信号

0 : 読み出しまたは書き込み処理が無効

1 : 読み出しまたは書き込み処理が有効

書き込み処理の場合、DRPWE および DRPEN を DRPCLK の 1 サイクル間のみ High に駆動する

必要があ り ます (正しい動作については図 2-23 参照)。 読み出し処理の場合、 DRPEN を DRPCLK の 1 サイ クル間のみ High に駆動する必要があ り

ます (正しい動作については図 2-24 参照)。

DRPDI[15:0] 入力 DRPCLK FPGA ロジッ クから ト ランシーバーへコンフ ィ

ギュレーシ ョ ン データを書き込むためのデータ バスです。

DRPRDY 出力 DRPCLK DRP 書き込み処理が完了し、 読み出しデータが

有効であるこ とを示します。 読み出しおよび書き

込み実行後の DRPRDY 信号のアサートについて

は、 図 2-23 および図 2-24 を参照して ください。

DRPDO[15:0] 出力 DRPCLK GTP ト ランシーバーから FPGA ロジッ クへコン

フ ィギュレーシ ョ ン データを読み出すための

データ バスです。

Page 69: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 69UG482 (v1.8) 2016 年 6 月 21 日

ダイナミ ック リ コンフ ィギュレーシ ョ ン ポート

表 2-30 に、 GTPE2_COMMON の DRP ポート を示します。

DRPWE 入力 DRPCLK DRP の書き込みイネーブル

0 : DRPEN が 1 のと きに読み出し処理を実行

1 : DRPEN が 1 のと きに書き込み処理を実行

書き込み処理の場合、DRPWE および DRPEN をDRPCLK の 1 サイクル間のみ High に駆動する

必要があ り ます。正しい動作については、図 2-23を参照して ください。

表 2-30 : GTPE2_COMMON の DRP ポート

ポート 方向 クロック ド メイン 説明

DRPADDR[7:0] 入力 DRPCLK DRP アドレス バス

DRPCLK 入力 N/A DRP インターフェイス ク ロ ッ ク

DRPEN 入力 DRPCLK DRP のイネーブル信号

0 : 読み出しまたは書き込み処理が無効

1 : 読み出しまたは書き込み処理が有効

書き込み処理の場合、DRPWE および DRPEN を DRPCLK の 1 サイ クル間のみ High に駆動

する必要があ り ます (正しい動作については

図 2-23 参照)。 読み出し処理の場合、 DRPEN を DRPCLK の 1 サイ クル間のみ High に駆動

する必要があ り ます (正しい動作については

図 2-24 参照)。

DRPDI[15:0] 入力 DRPCLK FPGA ロジッ クから ト ランシーバーへコン

フ ィギュレーシ ョ ン データを書き込むための

データ バスです。

DRPRDY 出力 DRPCLK DRP 書き込み処理が完了し、読み出しデータが

有効であるこ とを示します。

DRPDO[15:0] 出力 DRPCLK GTP ト ランシーバーから FPGA ロジッ クへコ

ンフ ィギュレーシ ョ ン データを読み出すため

のデータ バスです。

DRPWE 入力 DRPCLK DRP の書き込みイネーブル

0 : DRPEN が 1 のときに読み出し処理を実行

1 : DRPEN が 1 のときに書き込み処理を実行

書き込み処理の場合、DRPWE および DRPEN を DRPCLK の 1 サイ クル間のみ High に駆動

する必要があ り ます。 正しい動作については、

図 2-23 を参照してください。

表 2-29 : GTPE2_CHANNEL の DRP ポート (続き)

ポート 方向クロック ド メ イン

説明

Page 70: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

70 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 2 章 : 共有機能

使用モデル

書き込み

図 2-23 に、 DRP の書き込み処理のタイ ミ ングを示します。 DRPRDY がアサート される と、 新た

な DRP 動作を開始できます。

読み出し

図 2-24 に、 DRP の読み出し処理のタイ ミ ングを示します。 DRPRDY がアサート される と、 新た

な DRP 動作を開始できます。

X-Ref Target - Figure 2-23

図 2-23 : DRP の書き込みタイ ミング

UG482_c2_12_040213

(1)

(1) After a DRP write is requested, it takes 5 DRPCLK clock cycles for the DRPRDY signal to be asserted.

DRPCLK

DRPEN

DRPRDY

DRPWE

DRPADDR

DRPDI

DRPDO

ADR

DAT

Page 71: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 71UG482 (v1.8) 2016 年 6 月 21 日

デジタル モニター

デジタル モニター

機能の説明

レシーバーは、 リ ンクの 適化に適応アルゴ リズムを使用します。デジタル モニターでは、 これら

の適応ループの収束ステート を視覚的に監視できます。 このデジタル モニターの動作には RXUSRCLK2 ク ロ ッ クが必要です。 どの適応ループを監視するかを決定するセレク ト ラインは、

RX_DEBUG_CFG 属性で制御されます。 選択したループの収束コードは、 出力ポート DMONITOROUT に含まれます。 すべてのループは連続しています。 1 つの連続ループには、 3 つの可能な収束ステート (min、 max、 または dithering) があ り ます。

X-Ref Target - Figure 2-24

図 2-24 : DRP の読み出しタイ ミング

UG482_c2_13_040213

(1)

(1) After a DRP read is requested, it takes:- R/W registers: 5 DRPCLK clock cycles for the DRPRDY signal to be asserted.- Read-only registers: Ceiling((DRPCLK freq/USRCLK freq)*6)+7 DRPCLK clock cycles for the DRPRDY signal to be asserted. The duration depends on the ratio between the DRP clock frequency and the USRCLK clock frequency.

DRPCLK

DRPEN

DRPRDY

DRPWE

DRPADDR

DRPDI

DRPDO

ADR

DAT

Page 72: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

72 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 2 章 : 共有機能

ポートおよび属性

表 2-31 に、 デジタル モニターのポート を示します。

表 2-32 に、 デジタル モニターの属性を示します。

表 2-31 : デジタル モニターのポート

ポート 方向 クロック ド メイン 説明

DMONITOROUT[14:0] 出力 非同期/ローカル ク ロ ッ ク

デジタル モニター出力バス :

• [14:8] 未使用

• [7] - 内部クロ ッ ク

適応ループ :

• [6:0] RXOS

• [6:3] RXLPMHF、 RXLPMLF

DMONITORCLK 入力 非同期 デジタル モニターのクロ ッ ク

DMONFIFORESET 入力 DMONITORCLK 予約。GND に接続する。同期モー

ドの動作に使用する リセッ ト 。

表 2-32 : デジタル モニターの属性

属性 タイプ 説明

RX_DEBUG_CFG[13:0] 14 ビッ ト バイナリ

[13:8] - 予約。 6'h00 に設定する。

[7:6] - 予約。 2'b11 に設定する。

[5] - 予約。 1'b0 に設定する。

[4:0] - 適応ループを選択する。 表 2-33 を参照。

CFOK_CFG[42] 1 ビッ ト バイナリ

予約。 1'b1 に設定する。

DMONITOR_CFG[23:0] 24 ビッ ト バイナリ

予約。 24'h008101 に設定する。

表 2-33 : 適応ループ選択の詳細

DRP アドレス DRP DI ループの説明 コード マッピング

0x0A5 0x00C2

RXLPMOS - ベースライン ワンダーのキャ

ンセレーシ ョ ン

2 つのニュート ラルによる 7 ビッ ト符号化

7'd0 - 小 (負)

7'63 - ニュート ラル

7'64 - ニュート ラル

7'127 - 大 (正)

0x0A5 0x00C3RXLPMHF - LPM 高周波ゲイン 4'd0 - 小

4'd15 - 大

0x0A5 0x00C4RXLPMLF - LPM 低周波ゲイン 4'd0 - 小

4'd15 - 大

Page 73: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 73UG482 (v1.8) 2016 年 6 月 21 日

デジタル モニター

使用モード

デジタル モニターからループ値を読み出すには DMONITORCLK 入力クロ ッ ク ポートにクロ ッ ク

が必要とな り、 DRP を介して適応ループの選択を変更し、 DMONITOROUT 出力を監視します。

DRP ポート を介して DMONITOR_CFG 属性をモニターに適切なループに設定します。

DMONITOR_CFG の DRP 位置は次のとおりです。

0x086[15:0] = DMONITOR_CFG[15:0]

0x087[7:0] = DMONITOR_CFG[23:16]

出力は、 DMONITOROUT で確認できます。デジタル モニターからの信号は LSB に揃えられ、非

同期です。

デジタル モニター出力のキャプチャ

DMONITOROUT 信号は、 RXUSRCLK2 と比較する と緩やかに変化します。 こ こでは、

DMONITOROUT のキャプチャ方法の 1 つを説明します。

reg [7:0] compare1, compare2, dmonitorout_sync;always@ (posedge RXUSRCLK2)beginif (reset)begincompare1 <= 8'd0;compare2 <= 8'd0;dmonitorout_sync <= 8'd0;

endelsebegincompare1 <= DMONITOROUT;compare2 <= compare1;

if (compare1 == compare2)dmonitorout_sync <= compare2;

elsedmonitorout_sync<=dmonitorout_sync;

end //elseend //always

情報が正常にキャプチャされる方法はいずれも有効です。

ソフ トウェアでデジタル モニター出力をキャプチャ

上記セクシ ョ ンの Verilog コードで記述された dmonitorout_sync をホス ト プロセッサ メモ リ に

マップして、デジタル モニターの出力をキャプチャできます。チャネル DRP ポート をホス ト プロ

セッサ メモ リにマップする と、 監視対象の適応ループを選択できます。

次に C コードの実例を示します。 drpread 関数と drpwrite 関数は、 70 ページの 「使用モデル」 で

説明し た DRP の動作です。 captureDMON 関数は、 上記の Verilog コー ド で記述されている

dmonitorout_sync レジスタを読み出します。

//////////////////////////////////////////// Function Prototypes//////////////////////////////////////////

void drpwrite(unsigned int drpaddress, unsigned int drpvalue);

usigned int drpread(unsigned int drpaddress);

Page 74: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

74 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 2 章 : 共有機能

unsigned int captureDMON(unsigned int msb, unsigned int lsb);//////////////////////////////////////////////////////////// Initialize Digital Monitor/////////////////////////////////////////////////// Write CFOK_CFG[41] Attributedrpwrite(0x08B, 0x0490);// Write DMONITOR_CFG[23:0]drpwrite(0x087, 0x0000);drpwrite(0x086, 0x8101);

/////////////////////////////////////////////////// Read Digital Monitor as often as required/////////////////////////////////////////////////

while(!done)

// RXOSdrpwrite(0x0A5, 0x00C2);captureDMON(6, 0);

/////////////////////////////////////////////////// LPM Mode Only/////////////////////////////////////////////////

// LPM Mode Only:RXLPMHFdrpwrite(0x0A5, 0x00C3);captureDMON(6, 3);

// LPM Mode Only:RXLPMLFdrpwrite(0x0A5, 0x00C4);captureDMON(6, 3);

デジタル モニター出力の解釈

このセクシ ョ ンでは、 DMON_CFG を選択するのに適切な DMONITOROUT バスのビッ ト とその

出力を解釈する方法を説明します。

• RXLPMOS[6:0] = DMONITOROUT[6:0]

7'd0 = –Full scale

7'd63、 7'd64 = 0

7'd127 = +Full scale

• RXLPMHF [3:0] = RXLPMLF [3:0] = DMONITOROUT[6:3]

4'd0 = 0

4'd15 = Full scale

Page 75: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 75UG482 (v1.8) 2016 年 6 月 21 日

第 3 章

ト ランスミ ッ ター

ト ランスミ ッ ター (TX) の概要

機能の説明

この章では、 ト ランス ミ ッ ター (TX) にある各ファンクシ ョ ン ブロ ッ クのコンフ ィギュレーシ ョ ン

および使用方法について説明します。 各ト ランシーバーには、 PCS と PMA で構成される独立した

ト ランス ミ ッ ターが含まれます。 図 3-1 に、 ト ランス ミ ッ ターのブロ ッ ク図を示します。 PGA ロジッ クからのパラレル データは、 FPGA TX インターフェイスを介して入力されて PCS および

PMA を通り、 高速シ リ アル データ と して TX ド ラ イバーから出力されます。

GTP ト ランシーバー TX は、 次のよ うなエレ メン トで構成されています。

1. 76 ページの 「FPGA TX インターフェイス」

2. 83 ページの 「TX 8B/10B エンコーダー」

3. 86 ページの 「TX ギアボッ クス」

X-Ref Target - Figure 3-1

図 3-1 : GTP ト ランシーバー TX のブロック図

TX PMA TX PCS

FPGATX

Interface

TXGearbox

UG482_c3_01_11281

TX PIPEControl

PhaseAdjustFIFO

PCIeBeacon

From RX Parallel Data(Far-End PMA Loopback)

To RX Parallel Data(Near-End PCS Loopback)

Clock from PLL0 or PLL1 From RX Parallel Data(Far-End PCS Loopback)

PISO

TXPre/PostEmp

TX ClockDividers

TX PhaseInterpolator

TX PhaseInterpolatorController

TXOOBandPCIe

TXDriver

Polarity

SATAOOB

8B/10BEncoder

PatternGenerator

Page 76: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

76 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 3 章 : ト ランスミ ッ ター

4. 94 ページの 「TX バッファー」

5. 104 ページの 「TX パターン ジェネレーター」

6. 104 ページの 「TX パターン ジェネレーター」

7. 108 ページの 「TX 極性制御」

8. 108 ページの 「TX のファブ リ ッ ク ク ロ ッ ク出力制御」

9. 115 ページの 「コンフ ィギュレーシ ョ ン可能な TX ド ラ イバー」

10. 122 ページの 「PCI Express デザイン用の TX レシーバー検出機能」

11. 124 ページの 「TX の OOB 信号」

FPGA TX インターフェイス

機能の説明

FPGA TX インターフェイスは、 GTP ト ランシーバーの TX データパスへの入り口です。 アプ リ

ケーシ ョ ンは、 TXUSRCLK2 の立ち上がりエッジで TXDATA ポート にデータを書き込むこ とで

GTP ト ランシーバーにデータを送信します。 ポート幅は、 2 または 4 バイ トに設定できます。実際

のポート幅は、 TX_DATA_WIDTH 属性と TX8B10BEN ポートの設定に依存します。 有効なポー

ト幅は、16、20、32、および 40 ビッ トです。インターフェイスでのパラレル ク ロ ッ ク (TXUSRCLK2)のレートは、 TX ライン レート、 TXDATA ポート幅、 8B/10B エンコードが有効か無効かによって

異なり ます。 2 番目のパラレル ク ロ ッ ク (TXUSRCLK) は、 ト ランス ミ ッ ターの内部 PCS ロジッ

クに使用する必要があ り ます。 こ こでは、 パラレル ク ロ ッ クがどのよ うに駆動されるかを示し、 そ

れらが正し く動作するための制約について説明します。

インターフェイス幅の設定

7 シ リーズ FPGA の GTP ト ランシーバーには内部に 2 バイ トのデータパスがあ り ます。 FPGA インターフェイス幅は、 TX_DATA_WIDTH 属性で設定できます。 8B/10B エンコーダーが有効の場

合、 TX_DATA_WIDTH 属性は 20 ビッ ト または 40 ビッ トで設定される必要があ り ます。 この場

合、FPGA TX インターフェイスは TXDATA ポートのみを使用します。たとえば、FPGA インター

フェイス幅が 16 の場合、 TXDATA[15:0] が使用されます。 8B/10B エンコーダーをバイパスする

場合、 TX_DATA_WIDTH 属性は 16 ビッ ト 、 20 ビッ ト、 32 ビッ ト 、 または 40 ビッ トに設定でき

ます。

表 3-1 に、TX データパスのインターフェイス幅がどのよ うに決定されるかを示します。8B/10B エンコードについては、 83 ページの 「TX 8B/10B エンコーダー」 で詳し く説明します。

表 3-1 : FPGA TX インターフェイスのデータパス設定

TX8B10BEN TX_DATA_WIDTHFPGA

インターフェイス幅内部データ幅

1 20 16 20

40 32 20

0 16 16 16

20 20 20

32 32 16

40 40 20

Page 77: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 77UG482 (v1.8) 2016 年 6 月 21 日

FPGA TX インターフェイス

8B/10B エンコーダーがバイパスされ、 TX_DATA_WIDTH は 20 または 40 の場合、

TXCHARDISPMODE および TXCHARDISPVAL ポート を使用して TXDATA ポート を 16 から 20 へ、 または 32 から 40 へ拡張します。表 3-2 に、 8B/10B エンコーダーが無効の場合のデータ転

送を示します。 TX ギアボッ クスを使用する場合のデータ転送順は、 86 ページの 「TX ギアボッ ク

ス」 を参照して ください。

TXUSRCLK および TXUSRCLK2 の生成

FPGA TX インターフェイスには、TXUSRCLK および TXUSRCLK2 の 2 つのパラレル ク ロ ッ ク

があ り ます。 TXUSRCLK は、 GTP ト ランシーバー TX の PCS ロジッ ク用の内部クロ ッ クです。

TXUSRCLK で必要なレートは、 GTPE2_CHANNEL プリ ミ ティブの内部データパス幅および GTP ト ランシーバー TX の TX ライン レートによって決ま り ます。TXUSRCLK のレートは式 3-1から求められます。

式 3-1

TXUSRCLK2 は、 GTP ト ランシーバーの TX 側に入る信号すべてを同期化するためのプライマ リ

クロ ッ クです。GTP ト ランシーバーの TX 側に入力される信号のほとんどは、TXUSRCLK2 の立ち

上がりエッジで取り込まれます。 XUSRCLK2 と TXUSRCLK は、 TX_DATA_WIDTH の設定に基

づく固定されたレート関係があ り ます。 表 3-3 に、 TX_DATA_WIDTH 値に対する TXUSRCLK2と TXUSRCLK の関係を示します。

TXUSRCLK と TXUSRCLK2 の関係には、 次のよ うな規則があ り ます。

• TXUSRCLK および TXUSRCLK2 は、クロ ッ ク スキューを可能な限り 小限に抑えた状態で、

立ち上がりエッジで揃える必要があ り ます。 このため、 スキューが小さいク ロ ッ ク リ ソース

(BUFG および BUFH) を使用して TXUSRCLK および TXUSRCLK2 を駆動する必要があ り

ます。

• TXUSRCLK、 TXUSRCLK2、 およびト ランス ミ ッ ターの基準クロ ッ ク周波数が異なる場合で

も、同じオシレーターをクロ ッ ク ソース と して使用する必要があ り ます。つま り、TXUSRCLKおよび TXUSRCLK2 は、 ト ランス ミ ッ ターの基準ク ロ ッ クを逓倍または分周した周波数ク

ロ ッ クにする必要があ り ます。

表 3-2 : 8B/10B エンコーダーがバイパスされている場合の転送データ

< < < 右から左へデータ転送 (LSB から MSB) < < <

39 38 37 36 35 34 33 32 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0

データ転送

TX

CH

AR

DIS

PM

OD

E[3

]T

XC

HA

RD

ISP

VA

L[3

]

TX

DA

TA[3

1:24

]

TX

CH

AR

DIS

PM

OD

E[2

]T

XC

HA

RD

ISP

VA

L[2

]

TX

DA

TA[2

3:16

]

TX

CH

AR

DIS

PM

OD

E[1

]T

XC

HA

RD

ISP

VA

L[1

]

TX

DA

TA[1

5:8]

TX

CH

AR

DIS

PM

OD

E[0

]T

XC

HA

RD

ISP

VA

L[0

]

TX

DA

TA[7

:0]

表 3-3 : TXUSRCLK2 と TXUSRCLK の周波数関係

FPGA インターフェイス幅 TX_DATA_WIDTH TXUSRCLK2 の周波数

2 バイ ト 16、 20 FTXUSRCLK2 = FTXUSRCLK

4 バイ ト 32、 40 FTXUSRCLK2 = FTXUSRCLK/2

TXUSRCLK RateLine Rate

Internal Datapath Width-------------------------------------------------------------------=

Page 78: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

78 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 3 章 : ト ランスミ ッ ター

ポートおよび属性

表 3-4 に、 FPGA TX インターフェイスのポート を示します。

表 3-5 に、 FPGA TX インターフェイスの属性を示します。

表 3-4 : FPGA TX インターフェイスのポート

ポート 方向クロック ド メイン

説明

TXCHARDISPMODE[3:0] 入力 TXUSRCLK2 8B/10B エンコードが無効のと きは、 20 または 40 ビッ ト TX インターフェイスの

データ バスの拡張に使用されます。

TXCHARDISPVAL[3:0] 入力 TXUSRCLK2 8B/10B エンコードが無効のと きは、 20 または 40 ビッ ト TX インターフェイスの

データ バスの拡張に使用されます。

TXDATA[31:0] 入力 TXUSRCLK2 データ送信用のバスです。 ポート幅は TX_DATA_WIDTH によって決定します。

TX_DATA_WIDTH = 16、 20 :

TXDATA[15:0] = 16 ビッ ト幅

TX_DATA_WIDTH = 32、 40 :

TXDATA[31:0] = 32 ビッ ト幅

20 ビッ ト または 40 ビッ トのバスが必要な

場合は、 8B/10B エンコーダーの TXCHARDISPVAL および TXCHARDISPMODE ポート と TXDATA ポート を結合します (77 ページの表 3-2 参照)。

TXUSRCLK 入力 クロ ッ ク 内部 TX PCS データパスのクロ ッ クの提

供に使用します。

TXUSRCLK2 入力 クロ ッ ク FPGA ロジッ ク と TX インターフェイス

の同期に使用します。 ユーザーが TXUSRCLK を提供する場合、 このク

ロ ッ クは TXUSRCLK の立ち上がりエッ

ジに揃う必要があ り ます。

表 3-5 : FPGA TX インターフェイスの属性

属性 タイプ 説明

TX_DATA_WIDTH 整数 TXDATA ポートのビッ ト幅を設定します。8B/10B エン

コーダーが有効の場合、TX_DATA_WIDTH は 20 ビッ

ト または 40 ビッ トで設定される必要があり ます。 有効

な値は、 16、 20、 32、および 40 です。詳細は、 76 ペー

ジの「インターフェイス幅の設定」を参照してください。

Page 79: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 79UG482 (v1.8) 2016 年 6 月 21 日

FPGA TX インターフェイス

TXOUTCLK を使用して TX インターフェイスを駆動

TXUSRCLK および TXUSRCLK2 の周波数によって、 FPGA のクロ ッ ク リ ソースを使用して TXインターフェイスのパラレル ク ロ ッ クを駆動する方法は異なり ます。 図 3-2 ~図 3-5 に、 TX イン

ターフェイスのパラレル ク ロ ッ クの駆動に使用するいくつかの FPGA ク ロ ッ ク リ ソースを示しま

す。 これらの例では、 109 ページの図 3-20 に示すとおり、 TXOUTCLK が MGTREFCLK0[P/N]または MGTREFCLK1[P/N] から派生しており、 TXOUTCLKSEL = 011 と設定するこ とで TXPLLREFCLK_DIV1 パスを選択しています。

• 入力基準クロ ッ ク周波数および必要なライン レートに応じて、 MMCM および適切な TXOUTCLKSEL ポート設定が必要です。 CORE Generator™ ツールは、 通常異なるデザイン

要件に基づいてサンプル デザインを作成します。

• TX バッファーがバイパスされる使用モデルの場合、クロ ッ ク リ ソースに制約が追加されます。

詳細は、 104 ページの 「TX パターン ジェネレーター」 を参照してください。

2 バイ ト モードで TXOUTCLK を使用して GTP ト ランシーバー TX を駆動

シングル レーンのコンフ ィ ギュレーシ ョ ンで 2 バイ ト モード (TX_DATA_WIDTH = 16 または

20) の場合、 TXOUTCLK を使用して TXUSRCLK および TXUSRCLK2 を駆動します (図 3-2 参照)。 TXUSRCLK2 の周波数は TXUSRCLK と同じ値です。

図 3-2 について説明します。

1. BUFR の使用には特定の制限が伴います。 クロ ッ ク リ ソース (MMCM、 BUFH、 BUFG など)の配置制約および制限の詳細は、 『7 シ リーズ FPGA ク ロ ッキング リ ソース ユーザー ガイ ド』

(UG472) を参照して ください。

2. FTXUSRCLK2 = FTXUSRCLK です。

X-Ref Target - Figure 3-2

図 3-2 : シングル レーン - TXOUTCLK を使用して TXUSRCLK2 を駆動 (2 バイ ト モード )

UG482_c3_02_110911

BUFG 1

2

7 Series FPGAsGTP Transceiver

TXOUTCLK

TXUSRCLK2

2TXUSRCLK

TXDATA (TX_DATA_WIDTH = 16 / 20 bits)

Design inFPGA

Page 80: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

80 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 3 章 : ト ランスミ ッ ター

図 3-3 に、 同じ設定を適用したマルチ レーンのコンフ ィギュレーシ ョ ンを示します。

図 3-3 について説明します。

1. BUFR の使用には特定の制限が伴います。 クロ ッ ク リ ソース (MMCM、 BUFH、 BUFG など)の配置制約および制限の詳細は、 『7 シ リーズ FPGA ク ロ ッキング リ ソース ユーザー ガイ ド』

(UG472) を参照して ください。

2. FTXUSRCLK2 = FTXUSRCLK です。

X-Ref Target - Figure 3-3

図 3-3 : マルチ レーン — TXOUTCLK を使用して TXUSRCLK2 を駆動 (2 バイ ト モード )

UG482_c3_03_110911

BUFG 1

2

7 Series FPGAsGTP Transceiver

TXOUTCLK

TXUSRCLK2

2TXUSRCLK

TXDATA (TX_DATA_WIDTH = 16 / 20 bits)

Design inFPGA

2

7 Series FPGAsGTP Transceiver

TXUSRCLK2

2TXUSRCLK

TXDATA (TX_DATA_WIDTH = 16 / 20 bits)

Page 81: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 81UG482 (v1.8) 2016 年 6 月 21 日

FPGA TX インターフェイス

4 バイ ト モードで TXOUTCLK を使用して GTP ト ランシーバー TX を駆動

図 3-4 では、 TXOUTCLK を使用して 4 バイ ト モード (TX_DATA_WIDTH = 32 または 40) のTXUSRCLK2 を駆動しています。 TXUSRCLK2 の周波数は TXUSRCLK の半分です。 デバイス

の上半分にあるクロ ッ ク マネージメン ト タイル (CMT) の一部である MMCM または PLL は、 同

じ側の BUFG しか駆動できません。 同様に、 デバイスの下半分にある BUFG を駆動できるのは、

同じ側にある MMCM または PLL のみです。

図 3-4 について説明します。

1. FTXUSRCLK2 = FTXUSRCLK/2 です。

2. XC7A200T デバイスの場合、 BUFH の使用に特定の制約が伴います。 クロ ッ ク リ ソース (MMCM、BUFH、BUFG など) の配置制約および制限の詳細は、『7 シ リーズ FPGA ク ロ ッキ

ング リ ソース ユーザー ガイ ド』 (UG472) を参照して ください。

X-Ref Target - Figure 3-4

図 3-4 : シングル レーン - TXOUTCLK を使用して TXUSRCLK2 を駆動 (4 バイ ト モード )

UG482_c3_04_041012

BUFGor BUFH

1

7 Series FPGAsGTP Transceiver

TXOUTCLK

TXUSRCLK2

CLKIN

1TXUSRCLK

TXDATA (32 / 40 bits) Design inFPGA

MMCME2or

PLLE2

BUFG 2

CLKOUT0

BUFG 2

CLKOUT1

LOCKED

Page 82: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

82 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 3 章 : ト ランスミ ッ ター

図 3-5 に、 同じ設定を適用したマルチ レーンのコンフ ィギュレーシ ョ ンを示します。

図 3-5 について説明します。

1. FTXUSRCLK2 = FTXUSRCLK/2 です。

2. XC7A200T デバイスの場合、 BUFH の使用に特定の制約が伴います。 クロ ッ ク リ ソース (MMCM、BUFH、BUFG など) の配置制約および制限の詳細は、『7 シ リーズ FPGA ク ロ ッキ

ング リ ソース ユーザー ガイ ド』 (UG472) を参照して ください。

X-Ref Target - Figure 3-5

図 3-5 : マルチ レーン — TXOUTCLK を使用して TXUSRCLK2 を駆動 (4 バイ ト モード )

UG482_c3_05_041012

BUFGor BUFH

1

Artix-7 FPGAGTP Transceiver

TXOUTCLK

TXUSRCLK2

CLKIN

1TXUSRCLK

TXDATA (TX_DATA_WIDTH = 32 / 40 bits)

Design inFPGA

TXDATA (TX_DATA_WIDTH = 32 / 40 bits)

MMCME2or

PLLE2

1

Artix-7 FPGAGTP Transceiver

TXUSRCLK2

1TXUSRCLK

BUFG 2

CLKOUT0

BUFG 2

CLKOUT1

LOCKED

Page 83: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 83UG482 (v1.8) 2016 年 6 月 21 日

TX 8B/10B エンコーダー

TX 8B/10B エンコーダー

機能の説明

多数のプロ ト コルが出力されるデータに 8B/10B エンコーダーを使用します。 8B/10B は業界標準

のエンコード方式で、 DC バランスおよび確かなディ スパリティを達成するために 1 バイ ト ごとに

2 ビッ ト を付加するこ とでクロ ッ クを回復できます。GTP ト ランシーバーは 8B/10B エンコーダー

を備えており、 FPGA のリ ソースを使用するこ とな く TX データをエンコード します。 8B/10B エンコーダーを有効にした場合は、 TX パスのレイテンシが増加します。エンコードが不要な場合は、

8B/10B エンコーダーを無効に (バイパス) できます。

8B/10B のビッ トおよびバイ ト順序

8B/10B エンコードではビッ ト a0 を 初に送信する必要があ り、 GTP ト ランシーバーでは常に

も右にあるビッ トが 初に送信されます。 このため、 8B/10B エンコーダーを通過したビッ ト順序

は、 付録 C 「8B/10B の符号」 に示す順序の逆にな り ます。 GTP ト ランシーバーの 8B/10B エン

コーダーは、 8B/10B と一致するよ う自動的にビッ ト順序を反転します。 図 3-6 に、 TX_DATA_WIDTH = 20 および 40 の場合の GTP ト ランシーバーによるデータ転送を示します。 TXDATA で使用されるビッ トの数と対応するバイ トの順序は、 TX_DATA_WIDTH によって異なり ます。

• TX_DATA_WIDTH = 20 の場合は、 TXDATA[15:0] のみを使用

• TX_DATA_WIDTH = 40 の場合は、 すべての TXDATA[31:0] を使用

8B/10B エンコーダーがバイパスされ、TX_DATA_WIDTH が 10 の倍数に設定されている場合は、

次のフォーマッ トで 10 ビッ トのキャラ ク ターが TX データ インターフェイスへ送られます。

• 対応する TXCHARDISPMODE は 9 番目のビッ ト を示す

• 対応する TXCHARDISPVAL は 8 番目のビッ ト を示す

• 対応する TXDATA バイ トは [7:0] ビッ ト を示す

K 符号

8B/10B テーブルには、 機能制御で頻繁に使用される特殊文字 (K 符号) が含まれます。

TXCHARISK ポート を使用して、 TXDATA 上のデータが K 符号か通常データかを判断します。

TXCHARISK ビッ トが High に遷移する と、8B/10B エンコーダーは K 符号の有効性を確認するた

め、 受信した TXDATA バイ ト を確認します。

Page 84: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

84 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 3 章 : ト ランスミ ッ ター

ランニング ディスパリテ ィ

8B/10B コーディングは DC バランスを保つための手法です。 つま り、 送信された 1 と 0 の割合を

長期間にわたって正確に 50% にする必要があ り ます。 このため、 エンコーダーは送信された -1 と0 の数の差を常に計算し、 送信した各キャラ クターの 後で +1 または -1 の差を算出します。 この

差をランニング ディ スパリティ といいます。

ディ スパリティを使用して制御情報を送信するプロ ト コルに対応するために、ランニング ディ スパ

リティは 8B/10B エンコーダーで生成されるだけでなく、 TXCHARDISPMODE および TXCHARDISPVAL (表 3-6 参照) で直接制御するこ と も可能です。たとえば、反転したディ スパリ

テ ィ と共に送信されたアイ ドル キャラ ク ターを使用し、 ク ロ ッ ク コレクシ ョ ンを開始する場合も

あ り ます。

X-Ref Target - Figure 3-6

図 3-6 : 8B/10B のビッ トおよびバイ ト順序

15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0

31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0

H1 G1 F1 E1 D1 C1 B1 A1 H0 G0 F0 E0 D0 C0 B0 A0

H3 G3 F3 E3 D3 C3 B3 A3 H2 G2 F2 E2 D2 C2 B2 A2 H1 G1 F1 E1 D1 C1 B1 A1 H0 G0 F0 E0 D0 C0 B0 A0

j1 h1 g1 f1 i1 e1 d1 c1 b1 a1 j0 h0 g0 f0 i0 e0 d0 c0 b0 a0

j3 h3 g3 f3 i3 e3 d3 c3 b3 a3 j2 h2 g2 f2 i2 e2 d2 c2 b2 a2 j1 h1 g1 f1 i1 e1 d1 c1 b1 a1 j0 h0 g0 f0 i0 e0 d0 c0 b0 a0

TX_DATA_WIDTH = 20

TX_DATA_WIDTH = 40

8B/10B

8B/10B

TXDATA

TXDATA

MSB

MSB LSB

LSB

TransmittedLast

TransmittedFirst

TransmittedLast

TransmittedFirst

UG482_c3_06_110911

表 3-6 : TXCHARDISPMODE と TXCHARDISPVAL および出力されるディスパリテ ィ値

TXCHARDISPMODE TXCHARDISPVAL 出力されるディスパリテ ィ値

0 0 8B/10B エンコーダーで計算されます。

0 1 TXDATA のエンコード時に、 ランニング ディ ス

パリティを反転します。

1 0 TXDATA のエンコード時に、 強制的に負のラン

ニング ディ スパリティを送信します。

1 1 TXDATA のエンコード時に、 強制的に正のラン

ニング ディ スパリティを送信します。

Page 85: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 85UG482 (v1.8) 2016 年 6 月 21 日

TX 8B/10B エンコーダー

ポートおよび属性

表 3-7 に、 TX 8B/10B エンコーダーで必要なポート を示します。

注記 : TX エンコーダーの属性はあ り ません。

表 3-7 : TX 8B/10B エンコーダーのポート

ポート 方向クロック ド メイン

説明

TX8B10BBYPASS[3:0] 入力 TXUSRCLK2 このアクティブ High ポートは、バイ ト インターリーブされたデータ

がバイ ト単位で 8B/10B をバイパスできるよ うにします。このバイ ト

単位のバイパス モードを使用するには、 TX8B10BEN を High にし

ます。

TX8B10BBYPASS [3] は TXDATA[31:24] に対応

TX8B10BBYPASS [2] は TXDATA[23:16] に対応

TX8B10BBYPASS [1] は TXDATA[15:8] に対応

TX8B10BBYPASS [0] は TXDATA[7:0] に対応

TX8B10BBYPASS[x] = 1 のと き、バイ ト x のエンコーダーをバイパ

スします。

TX8B10BBYPASS[x] = 0 のと き、バイ ト x のエンコーダーを使用し

ます。

TX8B10BEN 入力 TXUSRCLK2 8B/10B エンコーダーを有効にするには、TX8B10BEN を High に設

定します。 8B/10B エンコーダーが有効の場合、 TX_DATA_WIDTHは 20 または 40 に設定されます。

0 : 8B/10B エンコーダーをバイパス。 このオプシ ョ ンでレイテン

シが削減される

1 : 8B/10B エンコーダーを使用

TXCHARDISPMODE[3:0] 入力 TXUSRCLK2 TXDATA のエンコード時、 TXCHARDISPVAL を使用して強制的に

正または負のランニング ディスパリティを送信する場合は、このポー

ト を High に設定します。通常のランニング ディスパリティを使用す

る場合は、 Low に設定します。 詳細は、 表 3-6 を参照してください。

TXCHARDISPMODE[3] は TXDATA[31:24] に対応

TXCHARDISPMODE[2] は TXDATA[23:16] に対応

TXCHARDISPMODE[1] は TXDATA[15:8] に対応

TXCHARDISPMODE[0] は TXDATA[7:0] に対応

TXCHARDISPVAL[3:0] 入力 TXUSRCLK2 TXCHARDISPMODE と共に使用してランニング ディ スパリティ

を制御します。 詳細は、 表 3-6 を参照して ください。

TXCHARDISPVAL[3] は TXDATA[31:24] に対応

TXCHARDISPVAL[2] は TXDATA[23:16] に対応

TXCHARDISPVAL[1] は TXDATA[15:8] に対応

TXCHARDISPVAL[0] は TXDATA[7:0] に対応

Page 86: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

86 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 3 章 : ト ランスミ ッ ター

8B/10B エンコーダーの有効/無効

8B/10B エンコーダーを有効にするには TX8B10BEN を High に駆動する必要があり ます。 TX 8B/10B エンコーダーは、 バイ ト インターリーブされたデータがバイ ト単位でエンコーダーをバイパス

できるよ うにします。 TX8B10BEN が Low 駆動の場合、 すべてのエンコーダーが無効となり、

TXDATA からのデータがエンコード されません。TX8B10BEN が High の場合、TX8B10BBYPASSからのビッ ト を High 駆動するこ とで、 TXDATA からの対応バイ ト チャネルが 8B/10B エンコード

をバイパスできます。 エンコーダーを無効にしたと きの TXDATA ポートの動作は、 FPGA TX イン

ターフェイスで説明しています。

TX ギアボックス

機能の説明

一部の高速データ レート プロ ト コルは、64B/66B エンコードを使用して 8B/10B エンコードのオー

バーヘッ ドを削減しながらエンコード手法の利点を利用します。 TX ギアボッ クスが、 64B/66B と64B/67B のヘッダーおよびペイロードの結合をサポート します。Interlaken インターフェイス プロ

ト コルの仕様書では、 64B/67B エンコード手法を使用しています。 詳細は、 Interlaken の仕様書を

参照して ください。Interlaken の仕様書は、http://www.interlakenalliance.com/ からダウンロード可

能です。

TX ギアボッ クスは 、 2 バイ トおよび 4 バイ トのインターフェイスをサポート します。 データのス

ク ランブルは FPGA ロジッ クで実行されます。

TXCHARISK[3:0] 入力 TXUSRCLK2 TXDATA の対応するデータ バイ トが有効な K 符号である場合、

High になり ます。

TXCHARISK[3] は TXDATA[31:24] に対応

TXCHARISK[2] は TXDATA[23:16] に対応

TXCHARISK[1] は TXDATA[15:8] に対応

TXCHARISK[0] は TXDATA[7:0] に対応

TXDATA からの対応するデータ バイ トが 8B/10B エンコーダー

をバイパスするよ うに設定されている場合は、 Low 駆動します。

表 3-7 : TX 8B/10B エンコーダーのポート (続き)

ポート 方向クロック ド メイン

説明

Page 87: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 87UG482 (v1.8) 2016 年 6 月 21 日

TX ギアボックス

ポートおよび属性

表 3-8 に、 TX ギアボッ クスのポート を示します。

表 3-9 に、 TX ギアボッ クスの属性を示します。

表 3-8 : TX ギアボックスのポート

ポート名 方向 クロック ド メ イン 説明

TXGEARBOXREADY 出力 TXUSRCLK2 ギアボッ クスを使用するよ うに GEARBOX_MODE が設定されてい

る場合、 64B/66B または 64B/67B ギアボッ クスへデータが適用されるか

を示します。

0 : データは適用されない

1 : データは適用される

TXHEADER[2:0] 入力 TXUSRCLK2 ヘッダー入力用ポート。64B/66B ギア

ボッ クスには [1:0] が使用され、 64B/67B ギアボッ クスには [2:0] が使用さ

れます。

TXSEQUENCE[6:0] 入力 TXUSRCLK2 TX ギアボッ クスが使用される際、

ファブ リ ッ クのシーケンス カウン

ター用に使用される入力です。 64B/66B ギアボッ クスには [5:0] が使用さ

れ、 64B/67B ギアボッ クスには [6:0] が使用されます。

TXSTARTSEQ 入力 TXUSRCLK2 64B/66B または 64B/67B ギアボッ ク

スの リ セッ ト 後に適用される 後の

ワードを示します。

表 3-9 : TX ギアボックスの属性

属性 タイプ 説明

GEARBOX_MODE 3 ビッ ト バイナリ TX および RX ギアボッ クス モードを示します。

• ビッ ト 2 : 0 に設定される。 未使用

• ビッ ト 1 : 0 に設定される。

0 : 外部シーケンス カウンターを使用し、

TXSEQUENCE へ入力を適用する

1 : サポート されていない

• ビッ ト 0 :

0 : Interlaken 用の 64B/67B ギアボッ クス モード

1 : 64B/66B ギアボッ クス

TXGEARBOX_EN 文字列 TRUE の場合、 TX ギアボッ クスが有効になり ます。

Page 88: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

88 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 3 章 : ト ランスミ ッ ター

TX ギアボックスの有効化

GTP ト ランシーバーの TX ギアボッ クスを有効にする場合は、 TXGEARBOX_EN 属性を TRUEに設定します。 GEARBOX_MODE 属性によって GTP ト ランシーバーの TX および RX ギアボッ

クス使用モードを制御します。

TX ギアボックスのビッ トおよびバイ ト順序

図 3-7 に、 2 バイ ト インターフェイス (TX_DATA_WIDTH = 16 (2 バイ ト )) を使用した場合で、

64B/66B エンコードのために TX ギアボッ クスへ入力されるデータおよび TX ギアボッ クスから出

力されるデータの 初の 4 サイ クルの例を示します。 入力は 2 ビッ ト のヘッダーと 16 ビッ ト の

データで構成されています。 初のサイ クルで、 ヘッダーと 14 ビッ トのデータが TX ギアボッ ク

スから出力されます。 2 番目のサイクルでは、 前のサイ クルの TXDATA で残った 2 ビッ トのデー

タが、 現在の TXDATA からの 14 ビッ ト データ と共に TX ギアボッ クスから出力されます。 3 番目と 4 番目のサイ クルでも同じ動作が繰り返されます。 5 番目のサイ クルでは、 TX ギアボッ クス

の出力に、 初の 66 ビッ ト ブロ ッ クからの残りの 2 ビッ ト データ、 2 番目の 66 ビッ ト ブロ ッ ク

のヘッダー、 および 2 番目の 66 ビッ ト ブロ ッ クからの 28 ビッ ト データが含まれます。

Page 89: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 89UG482 (v1.8) 2016 年 6 月 21 日

TX ギアボックス

図 3-7 について説明します。

1. IEEE802.3ae の命名規則に従って、H1、H0 はそれぞれ TxB0、TxB1 に対応し、以降も同様です。

X-Ref Target - Figure 3-7

図 3-7 : TX ギアボックスのビッ ト順序

UG482_c3_07_110911

H1 H0 D15 D14 D5 D4 D3 D2………………………

TransmittedFirst

TransmittedLast

TransmittedFirst

TransmittedLast

TransmittedFirst

TransmittedLast

TransmittedFirst

TransmittedLast

H1 H0 D15 D14 D5 D4 D3 D2……………………… D1 D0

TXDATATXHEADER

Output of the TXGearboxCycle 0

D1 D0 D15 D14 D5 D4 D3 D2………………………

D15 D14 D5 D4 D3 D2……………………… D1 D0

TXDATA

Output of the TXGearbox

Cycle 1

D1 D0 D15 D14 D5 D4 D3 D2………………………

H1 H0

D15 D14 D5 D4 D3 D2……………………… D1 D0

TXDATA

TXHEADER

Output of the TXGearboxCycle 2

D1 D0 D15 D14 D5 D4 D3 D2………………………

D15 D14 D5 D4 D3 D2……………………… D1 D0

TXDATA

Output of the TXGearboxCycle 3

TransmittedFirst

TransmittedLast

D1 D0 H1 H0 D7 D6 D5 D4………………………

D15 D14 D5 D4 D3 D2……………………… D1 D0

TXDATA

Output of the TXGearboxCycle 4

Page 90: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

90 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 3 章 : ト ランスミ ッ ター

TX ギアボックスの動作モード

GTP ト ランシーバーの TX ギアボッ クスは外部シーケンス カウンター モード しかサポート しませ

ん。 このモードはユーザー ロジッ クにインプ リ メ ン トする必要があ り ます。 TX ギアボッ クスは、

FPGA ロジッ クに対して 2 バイ トおよび 4 バイ トのインターフェイスをサポート します。

外部シーケンス カウンター動作モード

外部シーケンス カウンター動作 (図 3-8 参照) は、 TXSEQUENCE[6:0]、 TXDATA[31:0]、 および

TXHEADER[2:0] 入力を使用します。 TXSEQUENCE 入力ポー ト を駆動するには、 ユーザー ロジッ クにバイナリ カウンターが必要です。 64B/66B エンコードの場合、 カウンターは 0 から 32 までインク リ メ ン ト し、 また 0 から開始します。 64B/67B エンコードの場合、 カウンターは 0 から

66 までイ ン ク リ メ ン ト し、 また 0 から開始し ます。 64B/66B エン コード を使用する場合は、

TXSQUENCE [6] をロジッ ク 0 に接続し、 未接続の TXHEADER [2] をロジッ ク 0 へ接続します。

シーケンス カウンターのインク リ メン ト範囲 (0 ~ 32、 0 ~ 66) は、 2 バイ ト と 4 バイ トのイ

ンターフェイスのいずれの場合も同じです。 ただし、 2 バイ ト インターフェイスの場合、 カウン

ターは TXUSRCLK2 の 2 サイクルに 1 回のみインク リ メン ト し、4 バイ ト インターフェイスの場

合、 カウンターは TXUSRCLK2 の 1 サイクルに 1 回インク リ メン ト します。

X-Ref Target - Figure 3-8

図 3-8 : 外部シーケンス カウンター モードの TX ギアボックス

TX Gearbox(in 7 Series FPGAs

GTP Transceiver)

Data Source

Sequence Counter(0–32 or 0–66)

Design in FPGA Logic

Pause

TXHEADER[2:0]

TXDATA[15:0] or TXDATA[31:0]

TXSEQUENCE[6:0]

UG482_c3_08_110911

Page 91: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 91UG482 (v1.8) 2016 年 6 月 21 日

TX ギアボックス

64B/66B および 64B/67B エンコードの特性によって、 さまざまなシーケンス カウンター値でユー

ザー データが保留 (ポーズ) されます。 2 バイ ト モードの場合、 データは TXUSRCLK2 の 2 サイ

クル間保持され、 4 バイ ト モードの場合は TXUSRCLK2 の 1 サイクル間保持されます。 そして次

の TXUSRCLK2 サイ クルでデータ転送が再開されます。 データ保留は、 TXDATA にのみ適用さ

れ、 TXHEADER には適用されません。 表 3-10 および表 3-11 に、 TXSEQUENCE のデータ保留

位置をモード別に示します。

表 3-10 : 64B/66B エンコード使用時の TXSEQUENCE のデータ保留サイクルとその位置

TX_DATA_WIDTH TXSEQUENCE のデータ保留サイクル

TXSEQUENCE のデータ保留位置

32

(4 バイ ト )

1 XTXUSRCLK2

31

16

(2 バイ ト )

2 XTXUSRCLK2

31

表 3-11 : 64B/67B エンコード使用時の TXSEQUENCE のデータ保留サイクルとその位置

TX_DATA_WIDTH TXSEQUENCE のデータ保留サイクル

TXSEQUENCE のデータ保留位置

32

(4 バイ ト )

1 XTXUSRCLK2

21、 44、 65

16

(2 バイ ト )

2 XTXUSRCLK2

21、 44、 65

Page 92: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

92 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 3 章 : ト ランスミ ッ ター

図 3-9 に、64B/66B エンコード実行時に外部シーケンス カウンター モードでカウンター値が 31 のと きにデータ保留が生じるプロセスを示します。 こ こでは、4 バイ ト ファブリ ッ ク インターフェイ

スを使用しています。

図 3-10 に、 64B/67B エンコード実行時に外部シーケンス カウンター モードでカウンター値が 44のと きにデータ保留が生じるプロセスを示します。 こ こでは、2 バイ ト ファブリ ッ ク インターフェ

イスを使用しています。

外部シーケンス カウンター モードの 64/67 データ転送シーケンスは次のとおりです。

1. GTTXRESET を適用し、 リセッ ト サイクルが完了するまで待機します。

2. リセッ ト中、TXSEQUENCE に 7'h00 を、TXHEADER にヘッダー情報を、TXDATA に初期

データを適用します。 データ転送可能な状態になるまでこのステートは無限に保持できます。

3. カウン ト 0 のと き、TXDATA にデータを、TXHEADER にヘッダー情報を適用します。2 バイ

ト インターフェイス (TX_DATA_WIDTH = 16) の場合、 カウン ト 0 が保持されているため、

TXDATA に 2 つ目の 2 バイ ト データを提供します。

4. TXDATA でデータが駆動される と、 シーケンス カウンターは 1 にインク リ メン ト します。

5. 4 バイ ト データの適用後、 カウンターは 2 にインク リ メン ト します。 TXDATA にデータを、

TXHEADER にヘッダー情報を適用します。

6. カウン ト値が 21 のと き、 データ パイプラインが停止します。

X-Ref Target - Figure 3-9

図 3-9 : シーケンス カウンター値が 31 でデータ保留

UG482_c3_09_110911

1

28 29 30 31 32 0 1 2 3 4 5 6 7

Da Db Dc Dd De Df Dg Dh Di Dj Dk Dl

1

Pause for 1 TXUSRCLK2 cycle.Data is ignored.

2

TXUSRCLK2

TXHEADER[1:0]

TXSEQUENCE[5:0]

TXDATA[31:0]

X-Ref Target - Figure 3-10

図 3-10 : シーケンス カウンター値が 44 でデータ保留

UG482_c3_10_110911

1

42 43 44 45 46

Da Db Dc Dd De Df Dg

2

TXUSRCLK2

TXHEADER[2:0]

TXSEQUENCE[6:0]

TXDATA[15:0]

Pause for 2 TXUSRCLK2 cycle.Data is ignored.

Page 93: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 93UG482 (v1.8) 2016 年 6 月 21 日

TX ギアボックス

7. カウン ト値が 22 のと き、 TXDATA にデータを駆動します。

8. カウン ト値が 44 のと き、 データ パイプラインが停止します。

9. カウン ト値が 45 のと き、 TXDATA にデータを駆動します。

10. カウン ト値が 65 のと き、 データ パイプラインが停止します。

11. カウン ト値が 66 のと き、 TXDATA にデータを駆動します。

外部シーケンス カウンター モードの 64/66 データ転送シーケンスは次のとおりです。

1. GTTXRESET を適用し、 リセッ ト サイクルが完了するまで待機します。

2. リセッ ト中、 TXSEQUENCE に 6'h00 を、 TXHEADER[2:0] に適切なヘッダー データを、

TXDATA に初期データを適用します。 データ転送可能な状態になるまでこのステートは無限

に保持できます。

3. カウン ト 0 のと き、TXDATA にデータを、TXHEADER にヘッダー情報を適用します。2 バイ

ト インターフェイス (TX_DATA_WIDTH = 16) の場合、 カウン ト 0 が保持されているため、

TXDATA に 2 つ目の 2 バイ ト データを提供します。

4. TXDATA でデータが駆動される と、 シーケンス カウンターは 1 にインク リ メン ト します。

5. 4 バイ ト データの適用後、 カウンターは 2 にインク リ メン ト します。 TXDATA にデータを、

TXHEADER にヘッダー情報を駆動します。

6. カウン ト値が 31 のと き、 データ パイプラインが停止します。

7. カウン ト値が 32 のと き、 TXDATA にデータを駆動します。

Page 94: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

94 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 3 章 : ト ランスミ ッ ター

TX バッファー

機能の説明

GTP ト ランシーバー TX のデータパスには、PCS で使用される 2 つの内部パラレル ク ロ ッ ク ド メ

イン (PMA パラレル ク ロ ッ ク (XCLK) ド メ インおよび TXUSRCLK ド メ イン) があ り ます。 デー

タ送信する場合、XCLK と TXUSRCLK のレート を一致させ、2 つのド メ イン間の位相差をなくす

必要があ り ます。 図 3-11 に、 XCLK ド メ インおよび TXUSRCLK ド メ インを示します。

GTP ト ランシーバー ト ランス ミ ッ ターには TX バッファーおよび TX 位相アライ メン ト回路が含

まれ、 XCLK および TXUSRCLK ド メ イン間の位相差を調整します。 TX 位相アラ イ メ ン ト回路

は、 TX バッファーがバイパスされる場合に使用します (104 ページの 「TX パターン ジェネレー

ター」 参照)。 すべての TX データパスは、 TX バッファーまたは TX 位相アライ メン ト回路のいず

れかを使用する必要があ り ます。 表 3-12 に、 バッファーと位相アライ メン トの比較を示します。

X-Ref Target - Figure 3-11

図 3-11 : TX クロック ド メイン

TX PMA

TX Serial Clock PMA Parallel Clock (XCLK) PCS Parallel Clock (TXUSRCLK)FPGA Parallel

Clock(TXUSRCLK2)

TX PCS

FPGATX

Interface

TXGearbox

UG482_C3_14_112811

TX PIPEControl

PhaseAdjustFIFO

PCIeBeacon

From RX Parallel Data(Far-End PMA Loopback)

To RX Parallel Data(Near-End PCS Loopback)

Clock from PLL0 or PLL1 From RX Parallel Data(Far-End PCS Loopback)

PISO

TXPre/PostEmp

TX ClockDividers

TX PhaseInterpolator

TX PhaseInterpolatorController

TXOOBandPCIe

TXDriver Polarity SATA

OOB

8B/10BEncoder

PatternGenerator

Page 95: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 95UG482 (v1.8) 2016 年 6 月 21 日

TX バッファー

ポートおよび属性

表 3-13 に、 TX バッファーのポート を示します。

表 3-14 に、 TX バッファーの属性を示します。

表 3-12 : TX バッファーと位相アライメン トの比較

TX バッファー TX 位相アライメン ト

使いやすさ 可能な限り TX バッファー

をデフォルトで使用するこ

とを推奨。 動作が堅牢で扱

いやすい。

追加ロジッ クやクロッ ク ソースに追加制約が必要

なアドバンス機能。TXUSRCLK を駆動するための

TXOUTCLK のソースと して、TXOUTCLKSEL がGTP ト ランシーバーの基準クロ ッ クを選択する必

要がある。

レイテンシ 低いレイテンシが求められ

る場合は、TX バッファーを

バイパスする必要がある。

位相アライ メン ト回路では、TX データパス上で使

用するレジスタ数が少ないため、 よ り低く確定的

なレイテンシとなる。

TX レーン間の

スキュー調整

スキュー削減位相アラ イ メ ン ト 回路を使用して

GTP ト ランシーバー間のレーン スキューを削減で

きる。関連するすべての GTP ト ランシーバー間の

ライン レート を同一にする必要がある。

表 3-13 : TX バッファーのポート

ポート 方向クロック ド メイン

説明

TXBUFSTATUS[1:0] 出力 TXUSRCLK2 TX バッファーのステータスです。

TXBUFSTATUS[1] : TX バッファーのオー

バーフロー /アンダーフローを示します。

TXBUFSTATUS[1] は High になる と、 TX バッファーがリセッ ト されるまで High が保

持されます。

1 : TX FIFO のオーバーフロー /アンダー

フロー

0 : TX FIFO のオーバーフロー /アンダー

フロー エラーなし

TXBUFSTATUS[0] : TX バッファーのフル

の程度を示します。

1 : TX FIFO は 1/2 以上

0 : TX FIFO は 1/2 未満

表 3-14 : TX バッファーの属性

属性 タイプ 説明

TXBUF_EN 文字列 TX バッファーの使用の有無を示します。

TRUE : TX バッファーを使用 (デフォ

ルト )

FALSE : TX バッファーをバイパス (アドバンス機能)

Page 96: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

96 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 3 章 : ト ランスミ ッ ター

TX バッファーの使用

TXBUFSTATUS がオーバーフローまたはアンダーフローを示した場合は、 必ず TX バッファーを

リセッ トする必要があ り ます。TX バッファーのリセッ トには、GTTXRESET や TXPCSRESET を使用する方法、 または TXBUF_RESET_ON_RATE_CHANGE = TRUE のと きにレート を変更す

る際の GTP ト ランシーバーで内部生成される TX バッファー リ セッ ト を使用する方法があ り ま

す。 GTTXRESET をアサートするこ とで、 GTP ト ランシーバーのト ランス ミ ッ ター全体を リセッ

トするシーケンスが ト リガーされます。 XCLK および TXUSRCLK ド メ イン間の位相差を調整す

る TX バッファーを有効にするために、 次の設定を使用します。

• TXBUF_EN = TRUE

TX_XCLK_SEL = TXOUT

TX_XCLK_SEL 文字列 PMA パラレル クロッ ク ド メ イン (XCLK)を駆動するクロッ クを選択します。

TXOUT : XCLK のソースと して TXOUTCLK を選択。 TX バッファー

を使用する と きに使用。

TXUSR : XCLK のソース と して TXUSRCLK を選択。 TX バッファー

をバイパスする と きに使用。

TXBUF_RESET_ON_RATE_CHANGE 文字列 レート変更時に GTP ト ランシーバー内

部で生成される TX バッファーのリセッ

ト機能を示します。

TRUE : レート変更時の自動 TX バッ

ファー リセッ ト機能は有効

FALSE : レート変更時の自動 TX バッ

ファー リセッ ト機能は無効

表 3-14 : TX バッファーの属性 (続き)

属性 タイプ 説明

Page 97: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 97UG482 (v1.8) 2016 年 6 月 21 日

TX バッファーのバイパス

TX バッファーのバイパス

機能の説明

TX バッファーのバイパスは、 7 シ リーズ GTP ト ランシーバーのアドバンス機能です。 PCS から

PISO へのデータ転送を可能にするために、TX 位相アライ メン ト回路を使用して PISO パラレル クロ ッ ク ド メ イン (XCLK) と TX XCLK ド メ イン間の位相差調整を行います。 また、 温度や電圧の

変化に対応するために TXUSRCLK を継続的に調整して、 TX 遅延の調整も行います。 TX の位相

と遅延の調整は、 ユーザーが手動で制御する必要があ り ます。 94 ページの図 3-11 に、 XCLK ド メ

インおよび TXUSRCLK ド メ インを示します。 95 ページの表 3-12 に、バッファーと位相アライ メ

ン トの比較を説明します。

ポートおよび属性

表 3-15 に、 TX バッファーをバイパスする場合のポート を示します。

表 3-15 : TX バッファーをバイパスする場合のポート

ポート 方向 クロック ド メイン 説明

TXPHDLYRESET 入力 非同期 TX 位相アライ メン トのハード リセッ トです。 TXOUTCLK を遅延調

整タップの中央に強制的に配置します。 遅延調整タップは、 全範囲が

±4ns、低範囲が ±2ns です。TXDLYSRESET は、位相および遅延調整

のためだけに使用するこ とを推奨します。

TXPHALIGN 入力 非同期 TX 位相アライ メン ト を設定します。

TXPHALIGNEN 入力 非同期 TX 位相アライ メン ト を有効にします。

TXPHDLYPD 入力 非同期 TX の位相および遅延調整回路の電源切断に使用します。 次の場合、

TXPHDLYPD は High に接続されます。

• TX バッファーが使用されない

• TXPD がアサート される

• TXOUTCLKSEL が 3'b011 または 3'b100 に設定されているが、

基準クロ ッ クが接続されていない。

TX バッファーをバイパスする場合の通常動作時は TXPHDLYPD を Low に接続してください。

0 : TX の位相および遅延調整回路に電源を投入

1 : TX の位相および遅延調整回路の電源を切断

TXPHINIT 入力 非同期 TX の位相アライ メン ト を初期化します。

TXPHOVRDEN 入力 非同期 TX の位相アライ メン ト カウンターのオーバーライ ドを有効にしま

す。 使用しない場合は Low に設定します。

0 : 通常動作

1 : TXPH_CFG[10:0] の値で TX 位相アライ メン ト カウンターをオー

バーライ ド

Page 98: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

98 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 3 章 : ト ランスミ ッ ター

TXDLYSRESET 入力 非同期 TXOUTCLK を遅延調整タップの中央に徐々にシフ ト させるための TX 遅延調整ソフ ト リセッ トです。遅延調整タップは、全範囲が ±4ns、低範囲が ±2ns です。 TXPHDLYRESET と GTTXRESET によって TXOUTCLK が遅延調整タップの中央に強制的にシフ ト され、1 クロ ッ

ク サイクル間で急激に位相シフ トする可能性があり ます。

TXPMARESET の後に TXDLYSRESET を使用して ト ランス ミ ッター

を リセッ トする と、 TXOUTCLK を急激に位相シフ ト させるこ となく

位相調整を再開させます。

TXDLYBYPASS 入力 非同期 TX の遅延調整をバイパスする場合に使用します。

0 : TX の遅延調整回路を使用

1 : TX の遅延調整回路をバイパス

TXDLYEN 入力 非同期 TX 遅延調整を有効にします。

TXDLYOVRDEN 入力 非同期 TX の遅延調整カウンターのオーバーライ ドを有効にします。 使用し

ない場合は Low に設定します。

0 : 通常動作

1 : TXDLY_CFG[14:6] の値で TX 遅延調整カウンターをオーバーラ

イ ド

TXPHDLYTSTCLK 入力 非同期 TX の位相および遅延調整回路のテス ト ク ロ ッ クです。TXDLYHOLDおよび TXDLYUPDOWN と共に使用されます。

TXDLYHOLD 入力 TXPHDLYTSTCLK TX の遅延調整をホールド します。 TXPHDLY_CFG[1] = 1 に設定し

て TX 位相および遅延調整機能をバイパスする場合に、ホールド オー

バーライ ド と して使用します。 使用しない場合は Low に設定します。

TXDLYUPDOWN 入力 TXPHDLYTSTCLK TX 遅延調整のアップ/ダウンを行います。 TXPHDLY_CFG[1] = 1 に設定して TX 位相および遅延調整機能をバイパスする場合に、アップ/ダウン オーバーライ ド と して使用します。使用しない場合は Low に設

定します。

TXPHALIGNDONE 出力 非同期 TX の位相アライ メン ト完了を示します。

TXPHINITDONE 出力 非同期 TX の位相アライ メン ト初期化が完了したこ とを示します。

TXDLYSRESETDONE 出力 非同期 TX の遅延調整ソフ ト リセッ トが完了したこ とを示します。

TXSYNCMODE 入力 非同期 予約。 GND に接続します。

TXSYNCALLIN 入力 非同期 予約。 GND に接続します。

TXSYNCIN 入力 非同期 予約。 GND に接続します。

TXSYNCOUT 出力 非同期 予約

TXSYNCDONE 出力 非同期 予約

表 3-15 : TX バッファーをバイパスする場合のポート (続き)

ポート 方向 クロック ド メイン 説明

Page 99: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 99UG482 (v1.8) 2016 年 6 月 21 日

TX バッファーのバイパス

表 3-16 : TX バッファーの属性

属性 タイプ 説明

TXBUF_EN 文字列 TX バッファーの使用の有無を示します。

TRUE : TX バッファーを使用 (デフォルト )

FALSE : TX バッファーをバイパス (アドバンス機能)

TX_XCLK_SEL 文字列 PMA パラレル ク ロ ッ ク ド メ イン (XCLK) を駆動するクロ ッ クを選択します。

TXOUT : XCLK のソースと して TXOUTCLK を選択。 TX バッファーを使用

する と きに使用します。

TXUSR : XCLK のソース と して TXUSRCLK を選択。TX バッファーをバイパ

スする と きに使用します。

TXPH_CFG 16 ビッ ト バイナリ

予約。 7 Series FPGA Transceivers Wizard からの推奨値を使用してください。

TXPH_MONITOR_SEL 5 ビッ ト バイナリ

予約。 7 Series FPGA Transceivers Wizard からの推奨値を使用してください。

TXPHDLY_CFG 24 ビッ ト バイナリ

TX の位相および遅延調整回路のコンフ ィギュレーシ ョ ンです。

TX の遅延調整タップを全範囲の ±4ns に設定する場合は、TXPHDLY_CFG[19]= 1 を使用します。

TX の遅延調整タップを低範囲の ±2ns に設定する場合は、TXPHDLY_CFG[19]= 0 を使用します。

予約。 7 Series FPGA Transceivers Wizard からの推奨値を使用してください。

TXDLY_CFG 16 ビッ ト バイナリ

予約。 7 Series FPGA Transceivers Wizard からの推奨値を使用してください。

TXDLY_LCFG 9 ビッ ト バイナリ

予約。 7 Series FPGA Transceivers Wizard からの推奨値を使用してください。

TXDLY_TAP_CFG 16 ビッ ト バイナリ

予約。 7 Series FPGA Transceivers Wizard からの推奨値を使用してください。

TXSYNC_MULTILANE 1 ビッ ト バイナリ

予約。 1'b0 に接続されています。

TXSYNC_SKIP_DA 1 ビッ ト バイナリ

予約。 1'b0 に接続されています。

TXSYNC_OVRD 1 ビッ ト バイナリ

予約。 1'b1 に接続されています。

LOOPBACK_CFG 1 ビッ ト バイナリ

予約。 7 Series FPGA Transceivers Wizard からの推奨値を使用してください。

Page 100: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

100 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 3 章 : ト ランスミ ッ ター

TX バッファー バイパスの使用モード

TX 位相アラ イ メ ン トは、 1 つのチャネル (シングル レーン) または 1 つの TXOUTCLK (マルチ

レーン) を共有するチャネル グループに対して実行可能です。GTP ト ランシーバーの場合、TX バッ

ファー バイパスはシングル レーンおよびマルチ レーンの両アプリ ケーシ ョ ンをサポート します (表 3-17 参照)。

シングル レーン モードで TX バッファー バイパスを使用

TX バッファーをバイパスするには、 GTP ト ランシーバーを次のよ うに設定する必要があ り ます。

• TXBUF_EN = FALSE

• TX_XCLK_SEL = TXUSR

• TXOUTCLKSEL = 3'b011 または 3'b100 ― TXOUTCLK のソースと して GTP ト ランシー

バーの基準クロッ クを選択します。

GTP ト ランシーバーの基準クロ ッ ク選択で、 TXOUTCLK を TXUSRCLK のソースと して使用し

ます。 TXOUTCLK および選択した GTP ト ランシーバーの基準クロ ッ クを、 確実に必要な周波数

で動作させる必要があ り ます。 TX バッファーをバイパスする場合は、 次の条件の後に必ず TX 位相アライ メン ト プロセスを実行します。

• GTP ト ランシーバー TX のリセッ ト または電源投入

• PLL のリセッ ト または電源投入

• GTP ト ランシーバーの基準クロ ッ ク ソースまたは周波数の変更

• TX ラ イン レートの変更

図 3-12 に、 温度や電圧の変化に対応して TXUSRCLK を調整するのに必要な、 TX 位相アライ メ

ン ト と TX 遅延調整を示します。

表 3-17 : TX バッファー バイパスの使用モード

TX バッファーのバイパス

シングル レーン

マルチ レーン

X-Ref Target - Figure 3-12

図 3-12 : TX バッファー バイパスの例、 シングル レーン モード

UG482_c3_113_040813

Page 101: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 101UG482 (v1.8) 2016 年 6 月 21 日

TX バッファーのバイパス

図 3-12 について説明します。

1. 図 3-12 はイベン ト シーケンスを表しており、 正確な縮尺ではあ り ません。

2. TXSYNC_OVRD 属性を 1'b1 に設定します。

3. すべてのレーンの TXPHDLYRESET および TXDLYBYPASS を Low に設定します。

4. TXPHALIGNEN を High に設定します。

5. TXDLYSRESET をアサート します。 TXDLYSRESETDONE がアサート されるまでこの信号

を High に保持します。

6. TXDLYSRESETDONE がアサート された後に TXDLYSRESET をディアサート します。

7. TXDLYSRESET がディアサート されたら、TXPHINIT をアサート します。TXPHINITDONEの立ち上がりエッジが確認されるまでこの信号を High に保持します。

8. TXPHINIT をディアサート します。

9. TXPHALIGN をアサート します。TXPHALIGNDONE の立ち上がりエッジが確認されるまで

この信号を High に保持します。

10. TXPHALIGN をディアサート します。

11. TXDLYEN をアサート します。 これによ り、 TXPHALIGNDONE がディアサート されます。

12. TXPHALIGNDONE の立ち上がりエッジが確認されるまで TXDLYEN を保持します。

13. 温度や電圧の変化に対応して TXUSRCLK を調整するのに必要な、 TX 位相アライ メン トが引

き続き実行されます。

TX 位相アライメン ト回路を使用した TX レーン間スキューの最小化

位相アライ メン ト回路は、GTP ト ランシーバー間のレーン スキューの削減もできます。図 3-13 に、

複数の GTP ト ランシーバーの XCLK ド メ インを共通クロ ッ ク ソースに揃えるこ とによって、 TX位相アライ メン ト回路がレーン スキューを削減する方法を示します。 図 3-22 では、 共通クロ ッ ク

への位相アライ メン ト前後の GTP ト ランシーバー レーンを複数示しています。 TX 位相アライ メ

ン ト前は、 すべての XCLK に任意の位相差があ り ます。 ただし、 調整後の位相差は共通クロ ッ ク

のスキューのみであるため、 データパスのレイテンシが一致している限り、 すべてのデータが同時

に送信されます。 TX 位相アラ イ メ ン ト回路を有効にする際は、 すべての GTP ト ランシーバーの

TXUSRCLK および TXUSRCLK2 のソースが同一であ り、 このク ロ ッ クが BUFG などの低ス

キュー ク ロ ッ ク リ ソースを使用して配線されている必要があ り ます。

X-Ref Target - Figure 3-13

図 3-13 : TX 位相アライメン ト回路を使用した TX レーン間スキューの最小化

GTP TXLane 0

Skew

Before TX Phase Alignment After TX Phase Alignment

Reduced SkewParallel clocksare independent

Parallel clocks are phase aligned to thesame clock edge

GTP TXLane 0

GTP TXLane 1

GTP TXLane 1

UG482_c3_114_020413

Page 102: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

102 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 3 章 : ト ランスミ ッ ター

マルチ レーン モードで TX バッファー バイパスを使用

このセクシ ョ ンでは、 マルチ レーン TX バッファー バイパスのアライ メ ン ト を実行するために必

要な手順を説明します。

• マスター : マルチ レーンのアプリ ケーシ ョ ンでは、 バッファー バイパス マスターは TXOUTCLK をソースとするレーンです。

• スレーブ : バッファー バイパス マスターの TXOUTCLK から生成される同じ TXUSRCLK/TXUSRCLK2 を共有するすべてのレーンです。

図 3-14 に、 バッファー バイパス マスターおよびスレーブ レーンの例を示します。

TX バッファーをバイパスするには、 GTP ト ランシーバーを次のよ うに設定します。

• TXBUF_EN = FALSE

• TX_XCLK_SEL = TXUSR

• TXOUTCLKSEL = 3'b011 または 3'b100 ― TXOUTCLK のソースと して GTP ト ランシー

バーの基準クロッ クを選択します。

X-Ref Target - Figure 3-14

図 3-14 : マルチ レーン モード例での TX バッファー バイパス

UG482_c3_115_020413

BUFG BUFG

GTP TXLane 3

Slave

TXUSRCLKTXUSRCLK2

GTP TXLane 2

MMCM / PLLMaster

TXUSRCLK

TXOUTCLK

TXUSRCLK2

GTP TXLane 1

Slave

TXUSRCLKTXUSRCLK2

GTP TXLane 0

Slave

TXUSRCLKTXUSRCLK2

Page 103: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 103UG482 (v1.8) 2016 年 6 月 21 日

TX バッファーのバイパス

GTP ト ランシーバーの基準クロ ッ ク選択で、 TXOUTCLK を TXUSRCLK のソースと して使用し

ます。 TXOUTCLK および選択した GTP ト ランシーバーの基準クロ ッ クを、 確実に必要な周波数

で動作させる必要があ り ます。TX バッファーをバイパスする場合は、次のイベン トの後に必ず TX位相アライ メン ト プロセスを実行します。

• GTP ト ランシーバー ト ランス ミ ッ ターのリセッ ト または電源投入

• PLL のリセッ ト または電源投入

• GTP ト ランシーバーの基準クロ ッ ク ソースまたは周波数の変更

• TX ラ イン レートの変更

図 3-15 に、 TX の位相および遅延調整を示します。

図 3-15 について説明します。

1. 図 3-15 はイベン ト シーケンスを表しており、 正確な縮尺ではあ り ません。

2. M_* はマスター レーンに関連するポート を示します。

3. S_* はスレーブ レーンに関連するポート を示します。

4. GTP ト ランシーバー TXSYNC_OVRD 属性を 1'b1 に設定します。

5. すべてのレーンの TXPHDLYRESET および TXDLYBYPASS を Low に設定します。

6. すべてのレーンの TXPHALIGNEN を High に設定します。

7. すべてのレーンの TXDLYSRESET をアサート します。各レーンの TXDLYSRESETDONE がアサート されるまでこの信号を High に保持します。

X-Ref Target - Figure 3-15

図 3-15 : TX の位相および遅延調整、 マルチ レーン モード

M_TXPHDLYRESET

M_TXDLYBYPASS

M_TXPHALIGNEN

M_TXDLYSRESET

M_TXDLYSRESETDONE

M_TXPHINIT

M_TXPHINITDONE

M_TXPHALIGN

M_TXDLYEN

M_TXPHALIGNDONE

S_TXPHDLYRESET

S_TXDLYBYPASS

S_TXPHALIGNEN

S_TXDLYSRESET

S_TXDLYSRESETDONE

S_TXPHINIT

S_TXPHINITDONE

S_TXPHALIGN

S_TXDLYEN

S_TXPHALIGNDONE

UG482_c3_116_020413

Page 104: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

104 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 3 章 : ト ランスミ ッ ター

8. TXDLYSRESETDONE がアサート されるレーンの TXDLYSRESET をディアサート します。

9. すべてのレーンの TXDLYSRESET がディアサート される場合、マスター レーンの TXPHINITをアサート します。マスター レーンの TXPHINITDONE の立ち上がりエッジが確認されるまで

この信号を High に保持します。

10. マスター レーンの TXPHINIT をディアサート します。

11. マスター レーンの TXPHALIGN をアサート します。 マスター レーンの TXPHALIGNDONEの立ち上がりエッジが確認されるまでこの信号を High に保持します。

12. マスター レーンの TXPHALIGN をディアサート します。

13. マスター レーンの TXDLYEN をアサート します。これによ り、TXPHALIGNDONE がディア

サート されます。

14. マスター レーンの TXPHALIGNDONE の立ち上がりエッジが確認されるまでこのレーンの

TXDLYEN を High に保持します。

15. マスター レーンの TXDLYEN をディアサート します。

16. すべてのスレーブ レーンの TXPHINIT をアサート します。 各スレーブ レーンの TXPHINITDONE の立ち上がりエッジが確認されるまでこの信号を High に保持します。

17. TXPHINITDONE がアサート されるレーンの TXPHINIT をディアサート します。

18. すべてのスレーブ レーンの TXPHINIT がディアサート される場合、 これらのレーンの TXPHALIGN をアサート します。 各スレーブ レーンの TXPHALIGNDONE の立ち上がり

エッジが確認されるまでこの信号を High に保持します。

19. TXPHALIGNDONE がアサート されるレーンの TXPHALIGN をディアサート します。

20. すべてのスレーブ レーンの TXPHALIGN がディアサート される場合、 マスター レーンの TXDLYEN をアサート します。これによ り、マスター レーンの TXPHALIGNDONE がディア

サート されます。

21. マスター レーンの TXPHALIGNDONE が再度アサート されるまで待機します。マルチ レーン

インターフェイスの位相および遅延調整が完了します。温度および電圧の変化に対応するため、

マスター レーンの TXDLYEN を引き続き High に保持します。

TX パターン ジェネレーター

機能の説明

擬似乱数ビッ ト シーケンス (PRBS) は、 一般に、 高速リ ンクにおけるシグナル インテグ リ テ ィの

検証に使用されます。 これらのシーケンスには規則性がないよ うに見えますが、 リ ンク品質の計測

に使用される特定のプロパテ ィがあ り ます。 GTP ト ランシーバーのパターン ジェネレーター ブロ ッ クは、 表 3-18 に示す業界標準規格の PRBS パターンを生成できます。

表 3-18 : サポート される PRBS パターン

属性名 多項式 シーケンス長 説明

PRBS-7 1 + X6 + X7 27 - 1 ビッ ト 8B/10B を使用するチャネルの検証に使用します。

PRBS-15 1 + X14 + X15 215 - 1 ビッ ト

「ITU-T Recommendation O.150、 Section 5.3」主にジッ ター測定に使用されるパターンであ り、

Agilent 社の DCA-J サンプリ ング オシロスコープ

が処理できる 長パターンです。

Page 105: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 105UG482 (v1.8) 2016 年 6 月 21 日

TX パターン ジェネレーター

PRBS パターンのほかに、 GTP ト ランシーバーは、 データ幅に応じた 16UI または 20UI 方形波の

テス ト パターンや 2UI 方形波のテス ト パターンおよび PCI Express 準拠のパターンもサポート し

ています (表 3-19 および図 3-16 参照)。 ク ロ ッキング パターンは、スペク ト ラム解析でよ く実行さ

れる PLL ランダム ジッターの測定に使用されます。

リ ンク接続の検証やジッ ター耐性テス ト用にエラー挿入ブロ ッ クがあ り ます。 PRBS パターンの反

転バージ ョ ンが必要な場合は、 TXPOLARITY 信号を使用して極性を制御します。 図 3-17 に、 TXパターン ジェネレーターのブロ ッ ク図を示します。

PRBS-23 1 + X18 + X23 223 - 1 ビッ ト

「ITU-T Recommendation O.150、 Section 5.6」8B/10B 以外のエンコード方式に使用され、SONET仕様で推奨されているテス ト パターンの 1 つです。

PRBS-31 1 + X28 + X31 231 - 1 ビッ ト

「ITU-T Recommendation O.150、 Section 5.8」8B/10B 以外のエンコード方式に使用され、 10 ギガビッ ト イーサネッ トに推奨されている PRBS テス ト パターンです。 「IEEE 802.3ae-2002」 を参照

してください。

表 3-19 : PCI Express 準拠パターン

シンボル K28.5 D21.5 K28.5 D10.2

ディ スパリティ 0 1 1 0

パターン 0011111010 1010101010 1100000101 0101010101

X-Ref Target - Figure 3-16

図 3-16 : 20-UI 方形波

X-Ref Target - Figure 3-17

図 3-17 : TX パターン ジェネレーター ブロック

表 3-18 : サポート される PRBS パターン (続き)

属性名 多項式 シーケンス長 説明

UG482_c3_15_110911

20 UI

PRBS-7

ErrorInsertions Polarity

Inversion

PRBS-15

PRBS-23

PRBS-31

PCI Express Compliance Pattern

Square Wave with 2 UI period

Square Wave with16 UI or 20 UI period

TXDATA UG482_c3_16_110911

Page 106: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

106 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 3 章 : ト ランスミ ッ ター

ポートおよび属性

表 3-20 に、 パターン ジェネレーターのポート を示します。

表 3-21 に、 パターン ジェネレーターの属性を示します。

表 3-20 : パターン ジェネレーターのポート

ポート名 方向 クロック ド メイン 説明

TXPRBSSEL[2:0] 入力 TXUSRCLK2 ト ランス ミ ッ ター PRBS ジェネレーターの

テス ト パターンを制御します。

000 : 標準動作モード (テス ト パターン

生成はオフ)

001 : PRBS-7

010 : PRBS-15

011 : PRBS-23

100 : PRBS-31

101 : PCI Express 準拠パターン。20 ビッ

トおよび 40 ビッ ト モードの場合のみ

110 : 2UI の方形波 (0 と 1 を交互に配列)

111 : 16UI または 20UI の方形波 (デー

タ幅に基づく )

TXPRBSFORCEERR 入力 TXUSRCLK2 High に駆動される と、 PRBS ト ランス ミ ッ

ターでエラー挿入が有効にな り ます。 ア

サート中は、 出力データ パターンにエラー

が挿入されます。TXPRBSSEL が 000 に設

定されている場合は、TXDATA への影響は

あ り ません。

表 3-21 : パターン ジェネレーターの属性

属性 タイプ 説明

RXPRBS_ERR_LOOPBACK 1 ビッ ト バイナリ

1 に設定された場合、 RXPRBSERR ビッ トが同じ

GTP ト ランシーバーの TXPRBSFORCEERR へ内

部ループ バッ ク します。 これによって、 データ クロ ッ ク乗せ換えの交差を懸念せずに、同期および非

同期ジッター耐性テス トが可能になり ます。

0 の場合、 TXPRBSFORCEERR が TX PRBS に対

応します。

Page 107: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 107UG482 (v1.8) 2016 年 6 月 21 日

TX パターン ジェネレーター

使用モデル

通常、 パターン生成やチェッ ク機能は、 リ ンク品質検証やジッター耐性テス トのために使用されま

す。 リ ンク品質検証の場合、 TXPRBSSEL および RXPRBSSEL を 000 以外の値に設定し、

RXPRBS_ERR_LOOPBACK を 0 に設定してテス ト パターンを選択します (図 3-18 参照)。PRBSパターンのみ RX パターン チェッカーで認識されます。

レシーバーの BER (ビッ ト エラー率) を正確に算出するには、 外部ジッター耐性テスターを使用す

る必要があ り ます。 この場合、RXPRBS_ERR_LOOPBACK を 1 に設定して GTP ト ランシーバー

が受信エラー ステータスを ト ランス ミ ッ ターへループ バッ クする必要があ り ます (図 3-19 参照)。同じ設定が RXENPRBSTST および TXENPRBSTST に適用される必要があ り ます。

X-Ref Target - Figure 3-18

図 3-18 : PRBS-7 パターンのリンク検証モード

001

001

001

001

TXPRBSSELTX PatternGenerator

TX PatternGenerator

RX PatternChecker

RX PatternChecker

TXPRBSFORCEERR

RXPRBSSEL

RXPRBS_ERR_LOOPBACK =0

RXPRBSERRRX_PRBS_ERR_CNT

RXPRBSSEL

RXPRBSERRRX_PRBS_ERR_CNT

RXPRBS_ERR_LOOPBACK =0

TXPRBSSEL

TXPRBSFORCEERR

UG482_c3_17_110911

X-Ref Target - Figure 3-19

図 3-19 : PRBS-7 パターンのジッ ター耐性テスト

Jitter Tester

001

001TX

PRBS-7 patternwith jitter

TX PatternGenerator

RX

PatternChecker

RX PatternChecker

RXPRBSSEL

RXPRBSERRRX_PRBS_ERR_CNT

RXPRBS_ERR_LOOPBACK =1

TXPRBSSEL

TXPRBSFORCEERR

UG482_c3_18_110911

Page 108: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

108 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 3 章 : ト ランスミ ッ ター

TX 極性制御

機能の説明

TXP と TXN の差動ト レースが PCB 上で誤って逆になっている と、 GTP ト ランシーバー TX によって送信される差動データが反転します。 これを回避するために、 シ リ アライズして送信する前

にパラレル データを反転するこ とで、差動ペアの反転極性をオフセッ ト します。TX の極性は、ファ

ブリ ッ ク ユーザー インターフェイスの TXPOLARITY 入力で制御できます。 出力データの極性を

反転させるには、 この TXPOLARITY ポート を High に駆動して ください。

ポートおよび属性

表 3-22 に、 TX の極性制御で使用するポート を示します。

TX 極性制御の使用

TXP および TXN の極性を入れ替える必要がある場合は、 TXPOLARITY を High に接続してくだ

さい。

TX のファブリ ック クロック出力制御

機能の説明

TX ク ロ ッ ク分周制御ブロ ッ クは、2 つのコンポーネン ト (シ リ アルおよびパラレル ク ロ ッ ク分周制

御とセレクター制御) で構成されています。図 3-20 に、 クロ ッ ク分周器とセレクターの詳細図を示

します。

表 3-22 : TX 極性制御ポート

ポート 方向 クロック ド メイン 説明

TXPOLARITY 入力 TXUSRCLK2 出力データの極性の反転に使用します。

0 : 反転しない。 TXP は正、 TXN は負。

1 : 反転する。 TXP は負、 TXN は正。

Page 109: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 109UG482 (v1.8) 2016 年 6 月 21 日

TX のファブリ ック クロック出力制御

図 3-20 について説明します。

1. TXOUTCLKPCS および TXOUTCLKFABRIC は冗長出力であるため、 新規デザインには TXOUTCLK を使用して ください。

2. REFCLK_CTRL オプシ ョ ンは、 ソフ ト ウェアで自動的に制御され、 ユーザーは制御できませ

ん。ユーザーは、IBUFDS_GTE2 の出力または ODIV2 出力のいずれか一方のみ FPGA ロジッ

クへ接続できます。

3. IBUFDS_GXE2 は、 クロ ッキング手法に柔軟性を与えるための冗長出力です。

4. 1/4 または 1/5 分周ブロッ クの選択は、GTPE2_CHANNEL プリ ミティブの TX_DATA_WIDTH属性で制御されます。 TX_DATA_WIDTH = 16 または 32 の場合は、 1/4 が選択されます。

TX_DATA_WIDTH = 20 または 40 の場合は、 1/5 が選択されます。

5. ク ロ ッ ク リ ソース (MMCME2、PLLE2、BUFGCTRL、 IBUFDS_GTE2、BUFG など) の配置

制約および制限の詳細は、『7 シ リーズ FPGA クロ ッキング リ ソース ユーザー ガイ ド』 (UG472)を参照して ください。

X-Ref Target - Figure 3-20

図 3-20 : TX シリアルおよびパラレル クロック分周器

UG482_C3_19_021113

PLL0

REFCLK Sel REFCLK Sel

GTPE2_COMMON GTPE2_CHANNEL (GTP Transceiver Primitive)

IBUFDS_GTE2

TX PMA

TX PCS

REFCLK Distribution

PLL1

PhaseInterp

/D1,2,4,8

PISO

/2

/2

DelayAligner

PLL1OUTCLK

‘1’

TXOUTCLKPCS

TXOUTCLKPMA

PLL0REFCLK

PLL1REFCLK

O

ODIV2

TXOUTCLK

TXOUTCLKPCS1

TXDATA FromUpstream

PCS Blocks

TXPLLREFCLK_DIV1

TXPLLREFCLK_DIV2

TXOUTCLKFABRIC1

IBUFDS_GTE2 Output to Logic3

TXOUTCLKSELTXSYSCLKSEL[1]

REFCLK_CTRL2

TXSYSCLKSEL[0]

TXDLYBYPASS

000

001 0

1

010

011

100

PLL0OUTCLK

1

0

1

0

10

/4 or/5

/2

TXP/N TXDATA

TX PolarityControl

MGTREFCLK[0/1]P

MGTREFCLK[0/1]N

Page 110: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

110 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 3 章 : ト ランスミ ッ ター

シリアル クロック分周器

各ト ランス ミ ッ ター PMA モジュールには、 PLL からのク ロ ッ クを分周して低ライン レート をサ

ポートする分周器 (D) があ り ます。 このシ リ アル ク ロ ッ ク分周器は、 ライン レートが一定のアプ

リ ケーシ ョ ンに対してあらかじめ設定できます。 また、複数のライン レート を使用するアプリ ケー

シ ョ ンでは、 動的に変更するこ と も可能です。

ライン レートが一定のアプリ ケーシ ョ ンで D 分周器を使用する場合は、 TXOUT_DIV 属性を適切

な値に設定し、 TXRATE ポート を 3'b000 に接続する必要があ り ます。 詳細は、 表 3-23 の 「属性

を使用する固定設定」 を参照して ください。

複数ライン レートのアプ リ ケーシ ョ ンで D 分周器を使用する場合は、TXRATE を使用して D の値

を動的に選択します。 TXOUT_DIV 属性と TXRATE ポートは、 デバイス設定時に同じ D 値を設

定する必要があ り ます。 デバイス設定後に、 TXRATE を使用して D 値を動的に変更します。 詳細

は、 表 3-23 の 「ポート を使用する動的設定」 を参照して ください。

シ リ アル分周器の制御については、表 3-23 を参照して ください。各スピード グレードのライン レー

ト範囲は、 7 シ リーズ FPGA の資料ページから適切なデータシート を参照してください。

パラレル クロック分周器およびセレクター

TX ク ロ ッ ク分周器制御ブロッ クからのパラレル ク ロ ッ ク出力は、 ライン レートの要件に応じて、

ファブ リ ッ ク ロジッ ク ク ロ ッ ク と して使用できます。

ファブ リ ッ クの推奨クロ ッ クは、 いずれかの GTP ト ランシーバーから出力される TXOUTCLK です。 また、 MGTREFCLK を直接ファブリ ッ クへ接続してファブリ ッ ク ク ロ ッ ク と して使用するこ

と も可能です。 TXOUTCLK には、 出力レーンのスキュー調整や固定データパス遅延のために TXバッファーをバイパスするアプリ ケーシ ョ ンで使用される出力遅延制御があるため、 一般的なアプ

リ ケーシ ョ ンで使用されます。 詳細は、 104 ページの 「TX パターン ジェネレーター」 を参照して

ください。

TXOUTCLKSEL ポートで入力セレクターを制御し、TXOUTCLK ポートから次のよ うなクロ ッ ク

を出力できます。

• TXOUTCLKSEL = 3'b001 : TXOUTCLKPCS パスは PCS ブロ ッ クで余分な遅延を招くた

め、 推奨されていません。

• TXOUTCLKSEL = 3'b010 : TXOUTCLKPMA は TX 位相インターポレーターの後に分周さ

れた PLL ク ロ ッ クであ り、 TX PCS ブロ ッ クで使用されます。 このクロ ッ クは、 関連する リ

セッ ト信号によって PLL がリセッ ト される と き中断されます。

表 3-23 : TX PLL 出力分周器の設定

分周器 (D) の値 属性を使用する固定設定 ポートを使用する動的制御

1TXOUT_DIV = 1

TXRATE = 3'b000

TXOUT_DIV = Ignored

TXRATE = 3'b001

2TXOUT_DIV = 2

TXRATE = 3'b000

TXOUT_DIV = Ignored

TXRATE = 3'b010

4TXOUT_DIV = 4

TXRATE = 3'b000

TXOUT_DIV = Ignored

TXRATE = 3'b011

8TXOUT_DIV = 8

TXRATE = 3'b000

TXOUT_DIV = Ignored

TXRATE = 3'b100

Page 111: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 111UG482 (v1.8) 2016 年 6 月 21 日

TX のファブリ ック クロック出力制御

• TXOUTCLKSEL = 3'b011 または 3'b100 : TXPLLREFCLK_DIV1 または TXPLLREFCLK_DIV2 は PLL0 または PLL1 (TXSYSCLKSEL[1] の設定に基づく ) へ入力

される基準クロ ッ クです。 TXPLLREFCLK は、 一般的な使用で推奨されるクロ ッ クであ り、

TX バッファーをバイパスするファブリ ッ クで必要なクロ ッ クです。

ポートおよび属性

表 3-24 に、 TX のファブリ ッ ク ク ロ ッ ク出力制御で使用するポート を示します。

表 3-24 : TX のファブリ ック クロック出力制御のポート

ポート 方向 クロック ド メイン 説明

TXOUTCLKSEL[2:0] 入力 非同期 マルチプレクサーのセレク ト信号を制御し

ます (図 3-20 参照)。

3'b000 : 固定 1

3'b001 : TXOUTCLKPCS パス

3'b010 : TXOUTCLKPMA パス

3'b011 : TXPLLREFCLK_DIV1 パス

3'b100 : TXPLLREFCLK_DIV2 パス

その他 : 予約。

TXRATE[2:0] 入力 TXUSRCLK2

(TXRATEMODE

によって非同期

になる)

TX シ リアル クロ ッ ク分周器 D (表 3-23 参照) の設定を動的に制御し、 TXOUT_DIV 属性と組み合わせて使用します。

3'b000 : TXOUT_DIV 分周器の値を

使用

3'b001 : 分周値 D は 1

3'b010 : 分周値 D は 2

3'b011 : 分周値 D は 4

3'b100 : 分周値 D は 8

TXOUTCLKFABRIC 出力 クロ ッ ク テス ト用に予約されている冗長出力です。

TXOUTCLKSEL = 3'b011 に設定された

TXOUTCLK を代わりに使用してください。

TXOUTCLK 出力 クロ ッ ク FPGA ロジッ クで使用される推奨クロ ッ ク

です。 TXOUTCLK の入力セレクターとな

り、PLL 入力基準クロ ッ クが FPGA ロジッ

クへ接続できます。

TXOUTCLKPCS 出力 クロ ッ ク 冗長出力です。TXOUTCLKSEL = 3'b001に設定された TXOUTCLK を代わ り に使

用してください。

TXRATEDONE 出力 TXUSRCLK2 TXRATE でレート変更が開始される と、

TXRATEDONE ポートが TXUSRCLK2 の 1 サイクル間 High にアサート されます。

TXRATE ポートでレート変更されてから、

TXRATEDONE がアサート されるまでの

時間は、TRANS_TIME_RATE 属性で定義

されます。

Page 112: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

112 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 3 章 : ト ランスミ ッ ター

表 3-25 に、 TX のファブリ ッ ク ク ロ ッ ク出力制御で使用する属性を示します。

TX 位相インターポレーター PPM コン ト ローラー

機能の説明

TX 位相インターポレーター PPM (TXPIPPM) コン ト ローラー モジュールは、TX 位相インターポ

レーター (TX PI) の動的制御をサポート します。 TX PCS 内に含まれるこのモジュールは、 FPGATX インターフェイスから入力されて、 TX PMA へ出力します。 TX PMA で細かいデータ制御が

必要なアプリ ケーシ ョ ンがあ り ます。PLL からの出力クロ ッ クは TX PI によって制御され、これは

TX 位相インターポレーター PPM コン ト ローラー モジュールで制御されます。FPGA ロジッ クが、

PCS 内の TX 位相インターポレーター PPM コン ト ローラー モジュールを使用して、 TX PMA 内の TX PI を制御します。

TXDLYBYPASS 入力 非同期 TX の遅延調整をバイパスする場合に使用

します。

0 : TX の遅延調整回路を使用。TX バッ

ファーをバイパスする場合は、 1'b0 に設定

1 : TX の遅延調整回路をバイパス。TX バッファーを使用する場合は、 1'b1 に設定

TXRATEMODE 入力 非同期 TXRATE が同期または非同期のいずれで

処理されるかを決定します。

0 : 同期。1'b0 に設定されている場合は、

TXRATE ポートの変化に応じて自動的

にリセッ ト シーケンスが実行される。

1 : 非同期。

表 3-25 : TX のファブリ ック クロック出力制御の属性

属性 タイプ 説明

TRANS_TIME_RATE 8 ビッ トの 16 進数

予約。7 Series FPGA Transceivers Wizard からの

推奨値を使用して ください。レート変更されてか

ら PHYSTATUS および TXRATEDONE がア

サート されるまでの時間を定義します。

TXBUF_RESET_ON_RATE_CHANGE

文字列 TRUE の場合、 TXRATE でレートが変更される

と TX バッファーが自動的にリセッ ト される機

能が有効になり ます。

TXOUT_DIV 整数 TX シ リ アル ク ロ ッ ク分周器の設定を制御しま

す。 TXRATE = 3'b000 の場合のみ有効です。

それ以外の場合は、 TXRATE で制御されます。

有効な値は、 1、 2、 4、 および 8 です。

表 3-24 : TX のファブリ ック クロック出力制御のポート (続き)

ポート 方向 クロック ド メイン 説明

Page 113: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 113UG482 (v1.8) 2016 年 6 月 21 日

TX 位相インターポレーター PPM コン トローラー

ポートおよび属性

表 3-26 に、 TX 位相インターポレーター PPM で使用されるポート を示します。

表 3-26 : TX 位相インターポレーター PPM コン ト ローラーのポート

ポート 方向 クロック ド メイン 説明

TXPIPPMEN 入力 TXUSRCLK2 1'b0 : TX 位相インターポレーター PPM コン ト ローラー ブロッ クは無効。

TX PI は PI コードで更新されず、前の PI コードを保持。

1'b1 : TX 位相インターポレーター PPM コン ト ローラー ブロッ クは有効。

TX PI は、 各 TXPI_SYNFREQ_PPM[2:0] サイクルごとに PI コードで更新

されない。

TXPIPPMOVRDEN 入力 TXUSRCLK2 1'b0 : 通常動作

1'b1 : TX PMA の TX PI へ出力する PI コードの直接制御は有効。

TXPPMOVRD_VALUE[6:0] と共に

使用して、 PI コードの値をプログラム

する。

TXPIPPMSEL 入力 TXUSRCLK2 予約。常に 1'b1 に設定する必要があ り

ます。

TXPIPPMPD 入力 非同期 1'b0 : TX 位相インターポレーター PPM コン ト ローラー モジュールの電

源を切断しない

1'b1 : TX 位相インターポレーター PPM コン ト ローラー モジュールの電

源を切断

TXPIPPMSTEPSIZE[4:0] 入力 TXUSRCLK2 TXPIPPMSTEPSIZE[4] :

1'b1 : PI コードをインク リ メン ト

1'b0 : PI コードをデク リ メン ト

TXPIPPMSTEPSIZE[3:0] でインク リ メ

ン ト /デク リ メン トの値を指定します。

設定値は 0 ~ 15 です。

Page 114: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

114 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 3 章 : ト ランスミ ッ ター

表 3-27 に、 TX 位相インターポレーター PPM コン ト ローラーで使用する属性を示します。

TX 位相インターポレーター PPM コン ト ローラーの使用モード

使用例について説明します。

• ファブリ ッ ク内の周波数カウンターによって、選択した 2 つのクロ ッ ク間のリード タイムと ラ

グ タイムの関係が決定され、 指定したステップ サイズ (TXPIPPMSTEPSIZE[3:0]) で PI コー

ドがインク リ メン ト /デク リ メン ト (TXPIPPMSTEPSIZE[4]) されます。

• ファブリ ッ ク内のサンプラーおよびロ ッ ク検出回路によって、 2 つのクロ ッ クの位相が一致す

るタイ ミ ングが決定されます。位相が揃わない場合は、ユーザーが PI コードを使用して TX 位相インターポレーター PPM コン ト ローラーへ信号をアサート します。

この継続的な位相シフ ト動作 (微調整) は、ロ ッ ク検出回路が 2 つのクロ ッ クの位相が揃っていない

と判断し、 TX 位相インターポレーター PPM コン ト ローラーを有効にした場合に実行されます。

表 3-27 : TX 位相インターポレーター PPM コン ト ローラーの属性

属性 タイプ 説明

TXPI_SYNFREQ_PPM[2:0] 3 ビッ ト バイナリ

TX PI に対する PI コードの更新頻度を指定しま

す。 (TXPI_SYNFREQ_PPM[2:0] + 1) サイクル

ごとに更新されます。3'b000 を除くすべての値

は有効です。GT ウ ィザードのデフォルト値を使

用します。

TXPI_PPM_CFG[7:0] 8 ビッ ト バイナリ

TXPIPPMOVRDEN = 1'b1 の場合、この属性の

下位 7 ビッ トは、 TX PI へ出力される 128 の値

のいずれかでプログラムする必要があ り ます。

TX PI が新たに 7 ビッ トの TXPI_PPM_CFG[6:0] 値を取得するよ うに、 上位ビッ ト (MSB)でパルスします (High にアサート してから Lowへ遷移)。

TXPI_INVSTROBE_SEL 1 ビッ ト バイナリ

予約。 1'b0 に接続されています。

TXPI_GREY_SEL 1 ビッ ト バイナリ

1'b0 : TXPIPPMSTEPSIZE[3:0] はバイナリで

エンコード される。

1'b1 : TXPIPPMSTEPSIZE[3:0] はグレイ コー

ドでエンコード される。

TXPI_PPMCLK_SEL 文字列 予約。 GT ウ ィザードのデフォルト値を使用し

ます。

Page 115: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 115UG482 (v1.8) 2016 年 6 月 21 日

コンフ ィギュレーシ ョ ン可能な TX ド ライバー

コンフ ィギュレーシ ョ ン可能な TX ド ライバー

機能の説明

GTP ト ランシーバー TX ド ラ イバーは、 高速電流モードの差動出力バッファーです。 このド ラ イ

バーは、 シグナル インテグ リティを 大にするこ とを目的と して次の機能を備えています。

• 差動電圧制御

• プリ カーソルおよびポス ト カーソル対応のプリエンファシス

• 調整された終端抵抗

ポートおよび属性

表 3-28 に、 コンフ ィギュレーシ ョ ン可能な TX ド ラ イバーのポート を示します。

X-Ref Target - Figure 3-21

図 3-21 : コンフ ィギュレーシ ョ ン可能な TX ド ライバーのブロック図

Pre-Driver

PISO

TX Serial Clock=Data Rate/2

TXDIFFCTRL[3:0]

TXPRECURSOR[4:0]

MGTAVTT

TXP

TXN

TXPOSTCURSOR[4:0]

Pre-EmphasisPad Driver

MainPad Driver

Post-EmphasisPad Driver

Pre-Driver

Pre-Driver

UG482_c3_20_110911

5050

表 3-28 : コンフ ィギュレーシ ョ ン可能な TX ド ライバーのポート

ポート 方向クロック ド メイン

説明

TXBUFDIFFCTRL[2:0] 入力 非同期 プリ ド ライバーの強度を制御します。 デフォルトは 3'b100 (公称値) です。

この値は変更できません。

TXDEEMPH 入力 TXUSRCLK2 PCI Express PIPE 2.0 インターフェイス用の TX デエンファシスを制御

します。この信号は、属性を使用して TXPREEMPHASIS に内部マップ

されます。

0 : 6.0dB デエンファシス (TX_DEEMPH_0[4:0] 属性)

1 : 3.5dB デエンファシス (TX_DEEMPH_0[4:0] 属性)

Page 116: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

116 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 3 章 : ト ランスミ ッ ター

TXDIFFCTRL[3:0] 入力 非同期 ド ラ イバーの強度を制御します。 デフォル ト値はユーザーが指定しま

す。 次に示す値の単位は、 mVPPD です。

TXELECIDLE 入力 TXUSRCLK2 High の場合、 強制的に GTPTXP および GTPTXN を同相モードにし

て、 電気的アイ ドル信号を生成します。

TXINHIBIT 入力 TXUSRCLK2 High の場合、 TXDATA の転送を停止して強制的に GTPTXP を 0 に、

GTPTXN を 1 にします。

TXMAINCURSOR[6:0] 入力 非同期 TX_MAINCURSOR_SEL 属性が 1'b1 に設定されている場合、メ イン

カーソルの係数を直接設定できます。

51 – TXPOSTCURSOR 係数ユニッ ト – TXPRECURSOR 係数ユニッ ト < TXMAINCURSOR 係数ユニッ ト < 80 – TXPOSTCURSOR 係数ユニッ ト – TXPRECURSOR 係数ユニッ ト

表 3-28 : コンフ ィギュレーシ ョ ン可能な TX ド ライバーのポート (続き)

ポート 方向クロック ド メイン

説明

[3:0] mVPPD

4'b0000 253

4'b0001 316

4'b0010 377

4'b0011 439

4'b0100 499

4'b0101 561

4'b0110 621

4'b0111 682

4'b1000 743

4'b1001 799

4'b1010 857

4'b1011 909

4'b1100 959

4'b1101 1002

4'b1110 1043

4'b1111 1074

Page 117: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 117UG482 (v1.8) 2016 年 6 月 21 日

コンフ ィギュレーシ ョ ン可能な TX ド ライバー

TXMARGIN[2:0] 入力 非同期 PCI Express PIPE 2.0 インターフェイス用の TX マージンを制御します。

これらの信号は、属性を使用して TXDIFFCTRL/TXBUFDIFFCTRL へマップされます。

PMARSVDIN1 入力 非同期 予約

PMARSVDIN0 入力 非同期 予約

表 3-28 : コンフ ィギュレーシ ョ ン可能な TX ド ライバーのポート (続き)

ポート 方向クロック ド メイン

説明

[2:0] 全範囲 低範囲 全範囲の属性 低範囲の属性

000 800-1200 400-1200TX_MARGIN_

FULL_0TX_MARGIN_

LOW_0

001 800-1200 400-700TX_MARGIN_

FULL_1TX_MARGIN_

LOW_1

010 800-1200 400-700TX_MARGIN_

FULL_2TX_MARGIN_

LOW_2

011 200-400 100-200TX_MARGIN_

FULL_3TX_MARGIN_

LOW_3

100 100-200 100-200TX_MARGIN_

FULL_4TX_MARGIN_

LOW_4

101

デフォルトの 「DIRECT」 モード110

111

Page 118: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

118 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 3 章 : ト ランスミ ッ ター

TXPOSTCURSOR[4:0] 入力 非同期 ト ランス ミ ッ ターのポス ト カーソル TX プリエンファシスを指定しま

す。 デフォルト値はユーザーが指定します。 次に示す値 (dB) は標準値

です。

TXPOSTCURSORINV 入力 非同期 1'b1 の場合、 TXPOSTCURSOR 係数の極性を反転します。 デフォル

トは 1'b0 です。

表 3-28 : コンフ ィギュレーシ ョ ン可能な TX ド ライバーのポート (続き)

ポート 方向クロック ド メイン

説明

[4:0] エンファシス (dB) 係数ユニッ ト

5'b00000 0.00 0

5'b00001 0.22 1

5'b00010 0.45 2

5'b00011 0.68 3

5'b00100 0.92 4

5'b00101 1.16 5

5'b00110 1.41 6

5'b00111 1.67 7

5'b01000 1.94 8

5'b01001 2.21 9

5'b01010 2.50 10

5'b01011 2.79 11

5'b01100 3.10 12

5'b01101 3.41 13

5'b01110 3.74 14

5'b01111 4.08 15

5'b10000 4.44 16

5'b10001 4.81 17

5'b10010 5.19 18

5'b10011 5.60 19

5'b10100 6.02 20

5'b10101 6.47 21

5'b10110 6.94 22

5'b10111 7.43 23

5'b11000 7.96 24

5'b11001 8.52 25

5'b11010 9.12 26

5'b11011 9.76 27

5'b11100 10.46 28

5'b11101 11.21 29

5'b11110 12.04 30

5'b11111 12.96 31

Page 119: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 119UG482 (v1.8) 2016 年 6 月 21 日

コンフ ィギュレーシ ョ ン可能な TX ド ライバー

TXPRECURSOR[4:0] 入力 非同期 ト ランス ミ ッ ター プリ カーソルの TX プリエンファシス レベルを制御

します。 デフォルト値はユーザーが指定します。 次に示す値 (dB) は標

準値です。

TXPRECURSORINV 入力 非同期 1'b1 の場合、 TXPRECURSOR 係数の極性を反転します。 デフォル

トは 1'b0 です。

表 3-28 : コンフ ィギュレーシ ョ ン可能な TX ド ライバーのポート (続き)

ポート 方向クロック ド メイン

説明

[4:0] エンファシス (dB) 係数ユニッ ト

5'b00000 0.00 0

5'b00001 0.22 1

5'b00010 0.45 2

5'b00011 0.68 3

5'b00100 0.92 4

5'b00101 1.16 5

5'b00110 1.41 6

5'b00111 1.67 7

5'b01000 1.94 8

5'b01001 2.21 9

5'b01010 2.50 10

5'b01011 2.79 11

5'b01100 3.10 12

5'b01101 3.41 13

5'b01110 3.74 14

5'b01111 4.08 15

5'b10000 4.44 16

5'b10001 4.81 17

5'b10010 5.19 18

5'b10011 5.60 19

5'b10100 6.02 20

5'b10101 6.02 20

5'b10110 6.02 20

5'b10111 6.02 20

5'b11000 6.02 20

5'b11001 6.02 20

5'b11010 6.02 20

5'b11011 6.02 20

5'b11100 6.02 20

5'b11101 6.02 20

5'b11110 6.02 20

5'b11111 6.02 20

Page 120: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

120 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 3 章 : ト ランスミ ッ ター

表 3-29 に、 コンフ ィギュレーシ ョ ン可能な TX ド ラ イバーの属性を示します。

MGTPTXPMGTPTXN

出力 (パッ ド )

TX シ リ アル ク ロ ッ ク

MGTPTXP および MGTPTXN は、差動ト ランス ミ ッ ター出力ペアを構

成します。 これらのポートはパッ ドを表しています。位置制約を適用し

て (18 ページの 「インプリ メンテーシ ョ ン」参照)、デザインのに 上位

に指定する必要があ り ます。

TXSWING 入力 非同期 PCI Express PIPE 2.0 インターフェイス用の TX 振幅を制御します。こ

の信号は、 TXDIFFCTRL/TXBUFDIFFCTRL に内部マップされます。

0 : 全振幅

1 : 小振幅

表 3-28 : コンフ ィギュレーシ ョ ン可能な TX ド ライバーのポート (続き)

ポート 方向クロック ド メイン

説明

表 3-29 : コンフ ィギュレーシ ョ ン可能な TX ド ライバーの属性

属性 タイプ 説明

TX_DEEMPH0[4:0] 5 ビッ ト バイナリ

TXDEEMPH = 0 のと きにマップする必要がある TXPOSTCURSOR[4:0] の値を示します (TX_DEEMPH_0[4:0] = TXPOSTCURSOR[4:0])。 デフォル

トは 5'b10100 です。

この値は変更できません。

TX_DEEMPH1[4:0] 5 ビッ ト バイナリ

TXDEEMPH = 0 のと きにマップする必要がある TXPOSTCURSOR[4:1] の値を示します (TX_DEEMPH_1[4:0] = TXPOSTCURSOR[4:0])。 デフォル

トは 5'b01101 です。

この値は変更できません。

TX_DRIVE_MODE 文字列 TX ド ラ イバーを制御するピンを PIPE 2.0 ポート、または TX ド ラ イバーを

制御するピンのいずれにするかを選択します。デフォルトは DIRECT です。

DIRECT : TXBUFDIFFCRL、 TXDIFFCTRL、 TXPOSTCURSOR、

TXPRECURSOR、 および TXMAINCURSOR (TX_MAINCURSOR_SEL = 1'b1 の場合) が TX ド ラ イバーを設定

PIPE : TXDEEMPH、 TXMARGIN、 TXSWING、 TXPRECURSOR、お

よび TXMAINCURSOR は (TX_MAINCURSOR_SEL = 1'b1 の場合)、TX ド ラ イバーの設定を制御します。

TX_MAINCURSOR_SEL 1 ビッ ト バイナリ

メ イン カーソルの個別制御を可能にします。

1'b0 : TXMAINCURSOR の係数は、 「80 – TXPOSTCURSOR 係数 – TXPRECURSOR 係数」 という計算式で自動的に決定

1'b1 : TXMAINCURSOR の係数は、 TXMAINCURSOR ポート を使用

して、 「ピンの説明」 に記載されている指定範囲内で個別に設定可

TX_MARGIN_FULL_0[6:0] 7 ビッ ト バイナリ

TXMARGIN = 000 および TXSWING = 0 の場合にマップされる TXBUFDIFFCTRL[2:00000] および TXDIFFCTRL[3:0] の値を示します。

TX_MARGIN_FULL_0 = TXBUFDIFFCTRL[2:0]、TXDIFFCTRL[3:0] です。

デフォルトは 7'b1001111 (1000mVPPD、 標準) です。

Page 121: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 121UG482 (v1.8) 2016 年 6 月 21 日

コンフ ィギュレーシ ョ ン可能な TX ド ライバー

TX_MARGIN_FULL_1[6:0] 7 ビッ ト バイナリ

TXMARGIN = 001 および TXSWING = 0 の場合にマップされる TXBUFDIFFCTRL[2:0] および TXDIFFCTRL[3:0] の値を示します。

TX_MARGIN_FULL_0 = TXBUFDIFFCTRL[2:0]、TXDIFFCTRL[3:0] です。

デフォルトは 7'b1001111 (1000mVPPD、 標準) です。

TX_MARGIN_FULL_2[6:0] 7 ビッ ト バイナリ

TXMARGIN = 010 および TXSWING = 0 の場合にマップされる TXBUFDIFFCTRL[2:0] および TXDIFFCTRL[3:0] の値を示します。

TX_MARGIN_FULL_0 = TXBUFDIFFCTRL[2:0]、TXDIFFCTRL[3:0] です。

デフォルトは 7'b1001111 (1000mVPPD、 標準) です。

TX_MARGIN_FULL_3[6:0] 7 ビッ ト バイナリ

TXMARGIN = 0011 および TXSWING = 0 の場合にマップされる TXBUFDIFFCTRL[2:0] および TXDIFFCTRL[3:0] の値を示します。

TX_MARGIN_FULL_0 = TXBUFDIFFCTRL[2:0]、TXDIFFCTRL[3:0] です。

デフォルトは 7'b1000001 (300mVPPD、 標準) です。

TX_MARGIN_FULL_4[6:0] 7 ビッ ト バイナリ

TXMARGIN = 100 および TXSWING = 0 の場合にマップされる TXBUFDIFFCTRL[2:0] および TXDIFFCTRL[3:0] の値を示します。

TX_MARGIN_FULL_0 = TXBUFDIFFCTRL[2:0]、TXDIFFCTRL[3:0] です。

デフォルトは 7'b1000000 (250mVPPD、 標準) です。

TX_MARGIN_LOW_0[6:0] 7 ビッ ト バイナリ

TXMARGIN = 000 および TXSWING = 1 の場合にマップされる TXBUFDIFFCTRL[2:0] および TXDIFFCTRL[3:1] の値を示します。

TX_MARGIN_FULL_0 = TXBUFDIFFCTRL[2:0]、TXDIFFCTRL[3:0] です。

デフォルトは 7'b1000111 (600mVPPD、 標準) です。

TX_MARGIN_LOW_1[6:0] 7 ビッ ト バイナリ

TXMARGIN = 001 および TXSWING = 1 の場合にマップされる TXBUFDIFFCTRL[2:0] および TXDIFFCTRL[3:1] の値を示します。

TX_MARGIN_FULL_0 = TXBUFDIFFCTRL[2:0]、TXDIFFCTRL[3:0] です。

デフォルトは 7'b1000110 (550mVPPD、 標準) です。

TX_MARGIN_LOW_2[6:0] 7 ビッ ト バイナリ

TXMARGIN = 010 および TXSWING = 1 の場合にマップされる TXBUFDIFFCTRL[2:0] および TXDIFFCTRL[3:1] の値を示します。

TX_MARGIN_FULL_0 = TXBUFDIFFCTRL[2:0]、TXDIFFCTRL[3:0] です。

デフォルトは 7'b1000100 (450mVPPD、 標準) です。

TX_MARGIN_LOW_3[6:0] 7 ビッ ト バイナリ

TXMARGIN = 0011 および TXSWING = 1 の場合にマップされる TXBUFDIFFCTRL[2:0] および TXDIFFCTRL[3:1] の値を示します。

TX_MARGIN_FULL_0 = TXBUFDIFFCTRL[2:0]、TXDIFFCTRL[3:0] です。

デフォルトは 7'b1000000 (250mVPPD、 標準) です。

TX_MARGIN_LOW_4[6:0] 7 ビッ ト バイナリ

TXMARGIN = 100 および TXSWING = 1 の場合にマップされる TXBUFDIFFCTRL[2:0] および TXDIFFCTRL[3:1] の値を示します。

TX_MARGIN_FULL_0 = TXBUFDIFFCTRL[2:0]、TXDIFFCTRL[3:0] です。

デフォルトは 7'b1000000 (250mVPPD、 標準) です。

表 3-29 : コンフ ィギュレーシ ョ ン可能な TX ド ライバーの属性 (続き)

属性 タイプ 説明

Page 122: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

122 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 3 章 : ト ランスミ ッ ター

PCI Express デザイン用の TX レシーバー検出機能

機能の説明

PCI Express 仕様には、 リ ンク上の ト ランス ミ ッ ターによるレシーバー検出を可能にする機能が含

まれます。 レシーバーがあるかど うかは、 TXP/TXN の立ち上がり時間に基づいて決定されます。

図 3-22 に、 レシーバー検出に使用される回路モデルを示します。 レシーバー検出を実行するには、

GTP ト ランシーバーを P1 パワーダウン ステートにする必要があ り ます。 さ らに、 レシーバー検出

にはト ランス ミ ッ ターとレシーバー間に 75 ~ 200nF の外部カップリ ング キャパシタが必要で、レ

シーバーは GND 終端する必要があ り ます。 レシーバー検出シーケンスは、 TXDETECTRX をア

サートする と開始します。 それに応じて、 レシーバー検出ロジッ クが TXN および TXP を (VDD -VSWING/2) に駆動し、 その後それらを リ リースします。一定時間 (プログラム可能なインターバル)経過後、 TXN および TXP のレベルがし きい値電圧と 比較されます。 シーケン スの 後に、

PHYSTATUS が 1 サイ クル間 High にアサート され、レシーバー検出ステータスが RXSTATUS に反映されます。

TX_PREDRIVER_MODE 1 ビッ ト バイナリ

使用に制限がある属性です。 常に 1'b0 に接続し、 変更できません。

PMA_RSV5 1 ビッ ト バイナリ

予約

表 3-29 : コンフ ィギュレーシ ョ ン可能な TX ド ライバーの属性 (続き)

属性 タイプ 説明

X-Ref Target - Figure 3-22

図 3-22 : レシーバー検出回路モデル

CCH: < 3 nF

UG482_c3_21_110911

CAC: 75 nF - 200 nF RTERMR: 40Ω – 60Ω

VTERMR

RTERMT: 40Ω – 60Ω

VDD

TXDETECTRX

GTP TransceiverComponents

ChannelComponents

Far-End ReceiverComponents

TXP

Page 123: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 123UG482 (v1.8) 2016 年 6 月 21 日

PCI Express デザイン用の TX レシーバー検出機能

ポートおよび属性

表 3-30 に、 TX レシーバー検出で使用するポート を示します。

表 3-30 : TX レシーバー検出のポート

ポート 方向 クロック ド メイン 説明

TXDETECTRX 入力 TXUSRCLK2 レシーバー検出動作の開始を GTP ト ランシー

バーへ伝えるために使用します。

0 : 通常動作

1 : レシーバー検出

TXPD[1:0] 入力 TXUSRCLK2 GTP ト ランシーバーの TX および RX の電源

投入/電源切断を指定します。 PCI Express モー

ドでは、TXPD および RXPD は同じソースへ接

続する必要があ り ます。 レシーバー検出を実行

する場合は、 これらの信号を P1 省電力ステー

トに設定します。

00 : P0 (通常動作)

01 : P0 (短いリカバリ時間の省電力ステート )

10 : P1 (長いリカバリ時間の省電力ステート )

11 : P2 (消費電力が 小の省電力モード )

RXPD[1:0] 入力 RXUSRCLK2

PHYSTATUS 出力 RXUSRCLK2 PCI Express モードで、 パワー マネージメン ト

ステートの遷移、 レート変更、 レシーバー検出

などの GTP ト ランシーバーの機能が完了した

こ とを示します。 レシーバー検出で、 この信号

が High の場合、 レシーバー検出動作が完了し

たこ とを示します。

RXSTATUS[2:0] 出力 RXUSRCLK2 レシーバー検出で、PHYSTATUS が High にア

サート される と、 この信号が読み出されます。

レシーバー検出では、 次のエンコードのみが有

効です。

000 : レシーバーがない

011 : レシーバーがある

表 3-31 : TX レシーバー検出の属性

属性 タイプ 説明

TX_RXDETECT_CFG 14 ビッ ト バイナリ 予約。 7 Series FPGA Transceivers Wizard から

の推奨値を使用してください。

TX_RXDETECT_REF 3 ビッ ト バイナリ 予約。 7 Series FPGA Transceivers Wizard から

の推奨値を使用してください。

Page 124: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

124 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 3 章 : ト ランスミ ッ ター

PCI Express 用の TX レシーバー検出機能を使用

P1 電力ステートのと き、 GTP ト ランシーバーは、 接続先にレシーバーがあるかど うかを判断する

ため、 レシーバー検出機能を実行できます。図 3-23 に、 PCI Express モードのレシーバー検出動作

を示します。

注記 : 図 3-23 は、レシーバーが検出された場合のイベン ト シーケンスを表しており、正確な縮尺で

はあ り ません。

図 3-23 について説明します。

1. TXDETECTRX のアサートでレシーバー検出動作が開始される前に、 GTP ト ランシーバーは

[TX/RX]PD = 2'd2 の P1 電力ステートに遷移します。

2. PHYSTATUS = 1'd1 になるまで待機し、同じ PCLK サイ クルで RXSTATUS を読み出します。

PCI Express の場合、 PCLK は [TX/RX]USRCLK とな り ます。 RXSTATUS = 3'd3 の場合は、

レシーバーがあるこ とを示し、 RXSTATUS = 3'd0 の場合は、 レシーバーがないこ とを示しま

す。 そして、 TXDETECTRX をディアサート してレシーバー検出動作を終了します。

TX の OOB 信号

機能の説明

GTP ト ランシーバーは、 SATA (Serial ATA) や SAS (Serial Attach SCSI) 仕様で定義されている

OOB (Out-of-Band) シーケンスの作成および PCI Express 仕様に準拠するビーコン信号の生成をサ

ポート します。

ポートおよび属性

表 3-32 に、 OOB 信号に関連するポート を示します。

X-Ref Target - Figure 3-23

図 3-23 : PCI Express のレシーバー検出

CLK

[TX/RX]PD

TXDETECTRX

PHYSTATUS

RXSTATUS

2’d2

3’d0 3’d3 3’d0

UG482_c3_22_110911

表 3-32 : TX OOB 信号のポート

ポート 方向 クロック ド メイン 説明

TXCOMFINISH 出力 TXUSRCLK2 後の SAS または SATA COM ビーコン

信号の送信が完了したこ とを示します。

TXCOMINIT 入力 TXUSRCLK2 SATA/SAS の COMINIT シーケンス送

信を開始します。

TXCOMSAS 入力 TXUSRCLK2 SAS の COMSAS シーケンス送信を開

始します。

Page 125: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 125UG482 (v1.8) 2016 年 6 月 21 日

TX の OOB 信号

表 3-33 に、 OOB 信号に関連する属性を示します。

TXCOMWAKE 入力 TXUSRCLK2 SATA/SAS の COMWAKE シーケンス

送信を開始します。

TXPDELECIDLEMODE 入力 TXUSRCLK2 TXELECIDLE および TXPD が同期信号

または非同期信号のいずれで処理される

かを決定します。PCI Express のコールド

リセッ トおよびウォーム リセッ ト中の

コンプライアンスを有効にします。

1 : 非同期

0 : 同期

TXPD[1:0] 入力 TXUSRCLK2 PCI Express エンコードに応じて TX レーンの電源を切断します。

00 : P0 (通常動作)

01 : P0s (短いリ カバリ時間の電源

切断)

10 : P1 (長いリ カバリ時間の電源切

断、 受信検出はオンのまま)

11 : P2 (電力が も低い状態)

これらのパワーダウン ステート間の移

行時間は属性 (PD_TRANS_TIME_FROM_P2、PD_TRANS_TIME_NONE_P2、PD_TRANS_TIME_TO_P2) で制御で

きます。

表 3-33 : TX OOB 信号の属性

属性 タイプ 説明

SATA_PLL_CFG 2 ビッ ト バイナリ SAS/SATA に関連する PLL 設定のコンフ ィ

ギュレーシ ョ ン ビッ トです。

SATA_BURST_SEQ_LEN 4 ビッ ト バイナリ SAS/SATA の COM シーケンスで必要なバー

ス ト数を示します。

TXOOB_CFG 1 ビッ ト バイナリ TX の OOB ブロッ クのコンフィギュレーシ ョ

ンを示します。

PCS_RSVD_ATTR[8] 1 ビッ ト バイナリ OOB の電源切断

1'b0 = 回路の電源を切断する

1'b1 = 回路の電源を投入する (OOB を使

用する PCIe、 SATA/SAS、 プロ ト コル/アプリ ケーシ ョ ン)

表 3-32 : TX OOB 信号のポート (続き)

ポート 方向 クロック ド メイン 説明

Page 127: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 127UG482 (v1.8) 2016 年 6 月 21 日

第 4 章

レシーバー

レシーバー (RX) の概要

機能の説明

この章では、レシーバーにある各ファンクシ ョ ン ブロ ッ クのコンフ ィギュレーシ ョ ンおよび使用方

法について説明します。 各 GTP ト ランシーバーには、 PCS と PMA で構成される独立したレシー

バーが含まれます。 図 4-1 に、 GTP ト ランシーバー RX のブロ ッ ク図を示します。 ボード上の ト

レースからの高速シ リ アル データは、 GTP ト ランシーバー RX の PMA から PCS に入り、 終的

に FPGA ロジッ クに送信されます。RX/TX ク ロ ッ ク分周器へクロ ッ クを供給するチャネル ク ロ ッ

キング アーキテクチャの詳細は、 34 ページの図 2-9 を参照してください。

RX ト ランシーバー RX は、 次のよ うなエレ メン トで構成されています。

1. 128 ページの 「RX アナログ フロン ト エンド」

2. 133 ページの 「RX の OOB 信号」

3. 142 ページの 「RX イコライザー」

4. 144 ページの 「RX CDR」

5. 150 ページの 「RX のファブリ ッ ク ク ロ ッ ク出力制御」

6. 154 ページの 「RX のマージン解析」

7. 164 ページの 「RX 極性制御」

X-Ref Target - Figure 4-1

図 4-1 : GTP ト ランシーバー RX のブロック図

Clock fromPLL0 or PLL1

From TX ParallelData (Near-EndPCS Loopback)

To TX ParallelData (Far-End

PMA Loopback)

To TX ParallelData (Far-End PCS

Loopback)

RX EQ

RXClock

Dividers

SIPO

RX OOB

Polarity

PRBSChecker

CommaDetect

andAlign

RXGearbox

FPGA RXInterface8B/10B

Decoder RXElasticBuffer

RX PIPEControl

RX StatusControl

UG482_c4_01_110911

Page 128: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

128 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

8. 164 ページの 「RX パターン チェッカー」

9. 167 ページの 「RX バイ トおよびワード アライ メン ト 」

10. 176 ページの 「RX 8B/10B デコーダー」

11. 180 ページの 「RX バッファーのバイパス」

12. 194 ページの 「RX エラスティ ッ ク バッファー」

13. 199 ページの 「RX ク ロ ッ ク コレクシ ョ ン」

14. 208 ページの 「RX チャネル ボンディング」

15. 218 ページの 「RX ギアボッ クス」

16. 226 ページの 「FPGA RX インターフェイス」

RX アナログ フロン ト エンド

機能の説明

RX アナログ フロン ト エンド (AFE) は、 高速電流モードの差動入力バッファー (図 4-1 参照) であ

り、 次の機能があ り ます。

• 設定可能な RX 終端電圧

• 調整された終端抵抗

X-Ref Target - Figure 4-2

図 4-2 : ポートおよび属性

+–

+–

FPGABoard ACJTAG RX

ACJTAG RX

50Ω

50Ω MGTAVTT Programmable

GNDFLOAT

MGTAVTT

MGTAVTT

~100 nF

~100 nF

RX_CM_SEL[1:0]

UG482_c4_02_110911

RX_CM_TRIM[3:0]

Page 129: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 129UG482 (v1.8) 2016 年 6 月 21 日

RX アナログ フロン ト エンド

ポートおよび属性

表 4-1 に、 RX AFE のポート を示します。

表 4-2 に、 RX AFE の属性を示します。

表 4-1 : RX AFE のポート

ポート 方向 クロック ド メ イン 説明

GTPRXN、

GTPRXP入力

(パッ ド )RX シ リ アル

ク ロ ッ ク

GTPRXN および GTPRXP は、 レシーバー

の差動入力ペアを構成します。これらのポー

トはパッ ドを示します。位置制約を適用して

(18 ページの 「インプリ メンテーシ ョ ン」 参

照 )、 デザインの 上位に指定する必要があ

り ます。

PMARSVDOUT1 出力 非同期 予約

PMARSVDOUT0 出力 非同期 予約

PMARSVDIN2 入力 非同期 予約

表 4-2 : RX AFE の属性

属性 タイプ 説明

RX_CM_SEL [1:0] 2 ビッ ト バイナリ

RX 終端電圧を指定します。

2'b00 - AVTT

2'b01 - GND

2'b10 - フローティング

2'b11 - プログラマブル

RX_CM_TRIM [3:0] 4 ビッ ト バイナリ

プログラマブル モードで同相電圧を指定します。

4’b0000 – 100mV

4’b0001 – 200mV

4’b0010 – 250mV

4’b0011 – 300mV

4’b0100 – 350mV

4'b0101 – 400mV

4’b0110 – 500mV

4’b0111 – 550mV

4’b1000 – 600mV

4’b1001 – 700mV

4’b1010 – 800mV

4’b1011 – 850mV

4'b1100 – 900mV

4’b1101 – 950mV

4’b1110 – 1000mV

4’b1111 – 1100mV

Page 130: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

130 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

使用モード — RX 終端

TERM_RCAL_CFG[14:0] 15 ビッ ト バイナリ

内部終端キャ リブレーシ ョ ン回路を制御します。

この機能は、 内部使用のみを目的と しています。

TERM_RCAL_OVRD 3 ビッ ト バイナリ

MGTRREF ピンに接続された 100Ω の外部精密

抵抗を使用するか、 TERM_RCAL_CFG [14:0] で定義された優先値を使用するかを選択します。

この機能は、 内部使用のみを目的と しています。

RXLPM_INCM_CFG 1 ビッ ト バイナリ

1'b1 = 高同相 (high common) モード動作を有効

にする

1'b0 = 高同相 (high common) モード動作を無効

にする

RXLPM_IPCM_CFG 1 ビッ ト バイナリ

1'b1 = 低同相 (low common) モード動作を有効

にする

1'b0 = 低同相 (low common) モード動作を無効

にする

表 4-2 : RX AFE の属性 (続き)

属性 タイプ 説明

表 4-3 : 使用モード 1 — RX 終端

使用

モード

外部 AC カップリング

終端電圧最大振幅 mVDPP

推奨されるプロ ト コルおよび使用法

1 オン GND 1,200

属性設定 :

• RX_CM_SEL[1:0] = 2'b01

• RXLPM_INCM_CFG = 1'b0

• RXLPM_IPCM_CFG = 1'b1

Page 131: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 131UG482 (v1.8) 2016 年 6 月 21 日

RX アナログ フロン ト エンド

X-Ref Target - Figure 4-3

図 4-3 : 使用モード 1

表 4-4 : 使用モード 2 — RX 終端

使用

モード

外部 AC カップリング

終端電圧最大振幅 mVDPP

推奨されるプロ ト コルおよび使用法

2 オン AVTT 1,200

プロ ト コル :

• バッ クプレーン

• CEI-6 (1,200mVDPP)

• 無線

• Serial RapidIO (SRIO)

属性設定 :

• RX_CM_SEL[1:0] = 2'b00

• RXLPM_INCM_CFG = 1'b1

• RXLPM_IPCM_CFG = 1'b0

~100 nF

50Ω

50Ω

~100 nF

FPGABOARD

ACJTAG RX

ACJTAG RX

MGTAVTT

MGTAVTTGND

RX_CM_SEL [1:0] = 2'b01

UG482_c4_03_020613

Page 132: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

132 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

X-Ref Target - Figure 4-4

図 4-4 : 使用モード 2

表 4-5 : 使用モード 3 — RX 終端

使用

モード

外部 AC カップリング

終端電圧最大振幅 mVDPP

推奨されるプロ ト コルおよび使用法

3 オン 800mV 2,000

プロ ト コル :

• Optical IF (SONET/SDH/OTU)

• HD/SD-SDI

• XAUI (1,600mVDPP)

• GbE

• PCIe

• Interlaken

属性設定 :

• RX_CM_SEL[1:0] = 2'b11

• RXLPM_INCM_CFG = 1'b1

• RXLPM_IPCM_CFG = 1'b0

• RX_CM_TRIM[3:0] = 4'b1010

+–

~100 nF

50Ω

50Ω

~100 nF

FPGABOARD

ACJTAG RX

ACJTAG RX

MGTAVTT

MGTAVTT

MGTAVTT

RX_CM_SEL [1:0] = 2'b11

UG482_c4_04_110911

Page 133: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 133UG482 (v1.8) 2016 年 6 月 21 日

RX の OOB 信号

RX の OOB 信号

機能の説明

GTP ト ランシーバー レシーバーは、SATA (Serial ATA) や SAS (Serial Attach SCSI) 仕様で定義さ

れている OOB (Out-of-Band) シーケンスのデコードおよび PCI Express 仕様に準拠するビーコン

信号の生成をサポート します。 SATA/SAS OOB 信号に対する GTP ト ランシーバー レシーバーの

サポート機能は、 OOB 信号ステートのデコードに必要なアナログ回路と SATA/SAS COM シーケ

ンスの OOB 信号のバース ト データをデコードするためのステート マシンで構成されています。

GTP ト ランシーバー レシーバーは、 『PHY Interface for the PCI Express (PIPE) Specification』 で

定義されているインターフェイス信号を使用するこ とによ り、 PCI Express 準拠のビーコン信号も

サポート します。 ビーコン信号のシーケンスは、 FPGA ロジッ クでデコード されます。

ポートおよび属性 表 4-6 に、 OOB 信号に関連するポート を示します。

X-Ref Target - Figure 4-5

図 4-5 : 使用モード 3

+–

~100 nF

50Ω

50Ω

~100 nF

FPGABOARD

ACJTAG RX

ACJTAG RX

MGTAVTT

MGTAVTT

MGTAVTT

RX_CM_SEL [1:0] = 2'b11

UG482_c4_115_020413

RX_CM_TRIM[3:0]Varies from4'b0000 – 4'b1111800mV = 4'b1010

Page 134: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

134 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

表 4-7 に、 OOB 信号に関連する属性を示します。

表 4-6 : RX OOB 信号のポート

ポート 方向クロック ド メ イン

説明

RXOOBRESET 入力 非同期 予約。 GND に接続します。

RXCOMINITDET 出力 RXUSRCLK2 SATA/SAS の COMINIT シーケンス受信

を開始します。

RXCOMSASDET 出力 RXUSRCLK2 SAS の COMSAS シーケンス受信を開始

します。

RXCOMWAKEDET 出力 RXUSRCLK2 SATA/SAS の COMWAKE シーケンス受

信を開始します。

RXELECIDLE 出力 RXUSRCLK2 この出力は OOB 信号検出のステータスを

示し、OOB を使用する PCIe、SATA/SAS、およびプロ ト コル / アプリ ケーシ ョ ンでの

み有効です。このよ うな場合、OOB 回路に

電源を投入しておく必要があ り ます。

0 : レシーバーでアクティ ビティが検出さ

れた

1 : アクティ ビティは検出されない

OOB 以外のプロ ト コルでは、

RXELECIDLEMODE[1:0] を 2'b11 に設

定する必要があり ます。 RXELECIDLE は静的な 1'b0 を出力する可能性があり ます。

この場合は、 信号検出のステータスは示さ

れません。

RXELECIDLEMODE[1:0] 入力 非同期 RXELECIDLE の動作を制御する入力信号

です。

2'b00 : OOB 信号検出回路のステータス

を示します。この設定は、OOB を利用する

PCIe、SATA/SAS、およびプロ ト コル/アプ

リ ケーシ ョ ン向けに使用します。 このよ う

な場合、 OOB 回路に電源を投入しておく

必要があ り ます。

2'b11 : 静的な 1'b0 を出力します。 この設

定は OOB 以外のプロ ト コルに使用します。

表 4-7 : RX OOB 信号の属性

属性 タイプ 説明

RXOOB_CFG 7 ビッ ト バイナリ

OOB ブロッ クのコンフ ィギュレーシ ョ ンを示します。

RXOOB_CLK_CFG 文字列 OOB に使用するクロ ッ クを選択します。

Page 135: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 135UG482 (v1.8) 2016 年 6 月 21 日

RX の OOB 信号

使用モード

OOB を使用するには、 次の RX 終端の条件を適用する必要があ り ます。

• AC カップリ ングの場合 : 終端電圧は 800mV 以上にする

• DC カップリ ングの場合 : 終端電圧は 900mV 以上にする

SATA_BURST_VAL 3 ビッ ト バイナリ

SAS/SATA の COM の一致を宣言するために必要な

バース ト数を示します。

SATA_EIDLE_VAL 3 ビッ ト バイナリ

SAS/SATA の COM の一致を宣言するために必要なア

イ ドル数を示します。

SAS_MIN_COM 整数 1-63。SAS/SATA の COM FSM に対するバース ト範囲

の下限値を示します。

SATA_MIN_INIT 整数 1-63。SAS の COMSAS シーケンス中におけるアイ ド

ル カウン トの下限値を示します。

SATA_MIN_WAKE 整数 1-63。SAS/SATA の COMINIT/COMRESET シーケン

ス中におけるアイ ドル カウン トの下限値を示します。

SATA_MAX_BURST 整数 1-63。SAS/SATA の COM FSM に対するバース ト範囲

の上限値を示します。

SAS_MAX_COM 整数 1-127。 SAS の COMSAS シーケンス中におけるアイ

ドル カウン トの上限値を示します。

SATA_MAX_INIT 整数 1-63。SAS/SATA の COMINIT/COMRESET シーケン

ス中におけるアイ ドル カウン トの上限値を示します。

SATA_MAX_WAKE 整数 1-63。SAS/SATA の COMWAKE シーケンス中におけ

るアイ ドル カウン トの上限値を示します。

PCS_RSVD_ATTR[8] 1 ビッ ト バイナリ

OOB の電源投入。 OOB 回路の未使用時に回路への電

源を切断するオプシ ョ ンもあ り ます。

1'b0 = 回路の電源を切断する

1'b1 = 回路の電源を投入する (OOB を使用する PCIe、SATA/SAS、 プロ ト コル/アプリケーシ ョ ン)

表 4-7 : RX OOB 信号の属性 (続き)

属性 タイプ 説明

Page 136: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

136 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

さ らに、 PCS_RSVD_ATTR[8] 属性は 1'b1 に設定する必要があ り ます。 OOB 回路には、 この回

路へクロ ッ クを供給できる 2 つのクロ ッ ク ソースがあ り ます (図 4-6 参照)。

RXOOB_CLK_CFG 属性によって oobclk のソースが制御されます。 RXOOB_CLK_CFG を 1'b0に設定する と、PLL0 または PLL1 に接続されている基準クロッ クが選択されます。RXSYSCLKSELによって 2 つの基準クロ ッ クのどちらを選択するかが制御されます。 RXOOB_CLK_CFG を 1'b0に設定する と、 SIGVALIDCLK からの代替のク ロ ッ ク ソースが選択されます。 分周された基準ク

ロッ クは、 SIGVALIDCLK ポート ピンに接続可能で、 OOB 回路に代替クロッ クを提供します。

分周されたクロ ッ クには、 SERDES のほかのクロ ッ ク との間に特別な位相関係は必要あ り ません。

ただし、 50% のデューティ サイ クルが要求されます。 図 4-7 および図 4-8 に、 ク ロ ッ クの分周手

法を示します。 図 4-7 に、 簡単な ト グル フ リ ップフロ ップを使用して REFCLK を分周する方法を

示します。

X-Ref Target - Figure 4-6

図 4-6 : OOB 検出回路のクロッキング方法

X-Ref Target - Figure 4-7

図 4-7 : トグル フリ ップフロップによる REFCLK の分周

RXSYSCLKSEL

SIGVALIDCLK

PLL0REFCLK

PLL1REFCLK

RXOOB_CLK_CFG

OOB

UG482_c4_106_021113

(Port)

0

1

0

1

RXPLLREFCLK_DIV1

oobclk

(Port)

(Attribute)

ClkInput

QClk/2OutputD

UG476_c4_107_071712

Page 137: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 137UG482 (v1.8) 2016 年 6 月 21 日

RX の OOB 信号

図 4-8 に、 2 分周回路をいくつかカスケード接続し、 4 分周および 8 分周回路のよ うな高位クロ ッ

ク分周回路を生成する方法を示します。

使用モード

1.5Gb/s 以下のラ イン レー ト で動作する OOB については、 図 4-9 のフローチャー ト を参照して

OOB ク ロ ッ クの周波数 f を決定します。

OOB を正常に動作させるために、 式 4-1 の要件を満たす必要があ り ます。

式 4-1

1.5Gb/s よ り も高いライン レートで動作する OOB は高度な機能です。PCIe (Gen1、Gen2) および SATA のよ うな特定プロ ト コルの高ライン レートでの動作を表 4-8 で説明しています。

X-Ref Target - Figure 4-8

図 4-8 : クロック分周回路

div2 div2 div2 Clk/8

Clk/2

Clk/4

Clk

UG482_c4_108_020413

X-Ref Target - Figure 4-9

図 4-9 : < 1.5G のライン レート を用いるプロ ト コルのフローチャート

f ≤ Linerate / (3 x Runlength)

RX is in Electrical Idle

RX is Not in Electrical Idle

Is RXELECIDLEAsserted?

No

Yes

For Linerate ≤ 1.5 Gb/s

UG482_c4_109_0020413

f linerate 3 runlength×( )⁄≤

Page 138: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

138 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

表 4-8 : 1.5Gb/s よりも高いレートで動作する OOB のガイド ライン

プロ ト コル 動作

PCIe Gen1 RX が電気的アイ ドル状態にあるかど うかを決定するアルゴ リ

ズムは、 図 4-10 を参照してください。

スク ランブラーが使用されていない場合、RX の電気的アイ ドル

は、LPM または CDR のホールド / リセッ ト ロジッ クの内部検出

ロジッ クに使用できません(1)。ユーザーは、電気的アイ ドル状態

であるかど うかを決定するために受信データを検証する必要が

あ り ます。つま り、この動作モードでは入力データを使用するク

オ リ フ ィケーシ ョ ン (必要条件) が必須です。

スク ランブラーが使用されている場合、 電気的アイ ドルは RX が電気的アイ ドル状態にあるかど うかを決定するためにのみ利

用できます。

PCIe Gen2 RX が電気的アイ ドル状態にあるかど うかを決定するアルゴ リ

ズムは、図 4-11 を参照して ください。図 4-12 および図 4-13 に、

この目的に使用できる別の方法を示します。

RX の電気的アイ ドルは、 LPM または CDR のホールド / リセッ

ト ロジッ クの内部検出ロジッ クに使用できません(1)。 ユーザー

は、 電気的アイ ドル状態であるかど うかを決定するために受信

データを検証する必要があ り ます。つま り、この動作モードでは

入力データを使用するクオ リ フ ィケーシ ョ ン (必要条件) が必須

です。

SATA 1.5Gb/s 適切な OOB ク ロ ッ クを得るには、 式 4-1 を使用してください (図 4-9 参照)。

SATA 3Gb/s RX が電気的アイ ドル状態にあるかど うかを決定するアルゴ リ

ズムは、 図 4-14 を参照してください。

RX の電気的アイ ドルは、 LPM または CDR のホールド / リセッ

ト ロジッ クの内部検出ロジッ クに使用できません(1)。 ユーザー

は、 電気的アイ ドル状態であるかど うかを決定するために受信

データを検証する必要があ り ます。つま り、この動作モードでは

入力データを使用するクオ リ フ ィケーシ ョ ン (必要条件) が必須

です。

SATA 6Gb/s RX が電気的アイ ドル状態にあるかど うかを決定するアルゴ リ

ズムは、 図 4-14 を参照してください。

RX の電気的アイ ドルは、 LPM または CDR のホールド / リセッ

ト ロジッ クの内部検出ロジッ クに使用できません(1)。 ユーザー

は、 電気的アイ ドル状態であるかど うかを決定するために受信

データを検証する必要があ り ます。つま り、この動作モードでは

入力データを使用するクオ リ フ ィケーシ ョ ン (必要条件) が必須

です。

Page 139: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 139UG482 (v1.8) 2016 年 6 月 21 日

RX の OOB 信号

PCIe Gen2 RX が電気的アイ ドル状態にあるかど うかを決定するアルゴ リ

ズムは、 図 4-12 および図 4-13 を参照してください。

電気的アイ ドルの遷移中に、 RXELECIDLE のアサート と共に EIOS の検出を使用して RX が電気的アイ ドル状態にあるかど

うかを決定する必要があ り ます。

RX の電気的アイ ドルは、 LPM または CDR のホールド / リセッ

ト ロジッ クの内部検出ロジッ クに使用できません(1)。 ユーザー

は、 電気的アイ ドル状態であるかど うかを決定するために受信

データを検証する必要があ り ます。つま り、この動作モードでは

入力データを使用するクオ リ フ ィケーシ ョ ン (必要条件) が必須

です。

注記 :

1. LPM および CDR に関連する属性は次のとおりです。• RXCDR_HOLD_DURING_EIDLE• RXCDR_FR_RESET_ON_EIDLE• RXCDR_PH_RESET_ON_EIDLE• RX_LPM_HOLD_DURING_EIDLE• RXBUF_RESET_ON_EIDLE• RXBUF_EIDLE_HI_CNT• RXBUF_EIDLE_LO_CNT

表 4-8 : 1.5Gb/s よりも高いレートで動作する OOB のガイド ライン (続き)

プロ ト コル 動作

Page 140: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

140 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

X-Ref Target - Figure 4-10

図 4-10 : PCIe Gen1 のフローチャート

X-Ref Target - Figure 4-11

図 4-11 : PCIe Gen2 のフローチャート

RX is in Electrical Idle

RX is in Electrical Idle

Is ScramblerPresent?

Is RXELECIDLEAsserted?

PCIe Gen1

UG482_c4_111_020413

NoYes

No

Yes

RX is Not in Electrical Idle

Is RXELECIDLEAsserted?

No

Yes

Is Incoming DataValid?

YesNo

RX is in Electrical Idle

RX is Not in Electrical Idle

Is RXELECIDLEAsserted?

Is Incoming DataValid?

PCIe Gen2

UG482_c4_111_020413

No

Yes

Yes

No

Page 141: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 141UG482 (v1.8) 2016 年 6 月 21 日

RX の OOB 信号

X-Ref Target - Figure 4-12

図 4-12 : PCIe Gen2 において、 RX が電気的アイドル状態になる場合のフローチャート

X-Ref Target - Figure 4-13

図 4-13 : PCIe Gen2 において、 RX が電気的アイドル状態からシフ トする場合のフローチャート

RX is in Electrical Idle

Is EIOSDetected?

Is RXELECIDLEDetected?

PCIe Gen2 Entry

UG476_c4_113_080712

No

Yes

No

Yes

RX is Out of Electrical Idle

Is RXELECIDLEDeasserted?

Valid EIOS?

PCIe Gen2 Exit

UG482_c4_113_020413

No

Yes

No

Yes

Page 142: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

142 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

RX イコライザー

機能の説明

GTP ト ランシーバーのレシーバーには、物理的チャネルにおける高周波数の減衰による信号の歪み

を補正する、電力効率の優れた適応型 CTLE (連続時間リニア イコライザー ) があ り ます。7 シ リー

ズ FPGA の GTX および GTH ト ランシーバーではパリティを保持するために、 CTLE は低消費電

力モード (LPM) と して扱われています。

LPM モード (図 4-15 参照) には、 適応型の低周波ブース ト と高周波ブース トがあ り ます。 Nyquist周波数でチャネル損失が 12dB 以下で、 ライン レートが 大で 6.6Gb/s となる信号の到達距離の短

いアプリ ケーシ ョ ンに使用します。

ポートおよび属性

表 4-9 に、 RX イコライザーのポート を示します。

X-Ref Target - Figure 4-14

図 4-14 : SATA 3G または SATA 6G のフローチャート

RX is in Electrical Idle

RX is Not in Electrical Idle

Is RXELECIDLEAsserted?

Is Incoming DataValid?

SATA 3G or 6G

UG482_c4_114_020413

No

Yes

Yes

No

X-Ref Target - Figure 4-15

図 4-15 : RX のイコライゼーシ ョ ン ブロック図

TerminationP

NCTLE

UG482_c4_05_110911

CDR

Page 143: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 143UG482 (v1.8) 2016 年 6 月 21 日

RX イコライザー

表 4-10 に、 RX イコライザーの属性を示します。

表 4-9 : RX イコライザーのポート

ポート 方向クロック ド メイン

説明

RXLPMRESET 入力 非同期 LPM 回路を リセッ ト します。

RXLPMHFHOLD 入力 非同期 1'b1 に設定する と、 現在の高周波ブース ト値が

保持されます。

1'b0 に設定する と、 高周波ブース ト値が適用さ

れます。

RXLPMHFOVRDEN 入力 非同期 1'b1 に設定する と、 高周波ブース トが RXLPM_HF_CFG 属性によって制御されます。

1'b0 に設定する と、 高周波ブース トが RXLPMHFHOLD 信号によって制御されます。

RXLPMLFHOLD 入力 非同期 1'b1 に設定する と、 現在の低周波ブース ト値が

保持されます。

1'b0 に設定する と、 低周波ブース ト値が適用さ

れます。

RXLPMLFOVRDEN 入力 非同期 1'b1 に設定する と、 低周波ブース トが RXLPM_LF_CFG 属性によって制御されます。

1'b0 に設定する と、 低周波ブース トが RXLPMLFHOLD 信号によって制御されます。

表 4-10 : RX イコライザーの属性

属性 タイプ 説明

ADAPT_CFG0 20 ビッ ト バイナリ

予約。 7 Series FPGA Transceivers Wizard からの推奨値を使用して く

ださい。

RX_LPM_HOLD_DURING_EIDLE 1 ビッ ト バイナリ

1'b1 に設定する と、 GTP ト ラン

シーバー RX が電気的アイ ドル状

態のと き、 LPM やオフセッ ト キャンセレーシ ョ ンに適用したす

べての値が保持され、 電気的アイ

ドル状態からシフ ト した後に回復

されます。

RXLPMRESET_TIME 7 ビッ ト バイナリ

予約。 7 Series FPGA Transceivers Wizard からの推奨値を使用して く

ださい。

RXLPM_CFG 1 ビッ ト バイナリ

予約。 7 Series FPGA Transceivers Wizard からの推奨値を使用して く

ださい。

Page 144: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

144 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

RX CDR

機能の説明

各 GTPE2_CHANNEL ト ランシーバーの RX にあるクロ ッ ク データ リ カバリ (CDR) 回路は、 入

力データ ス ト リームから リ カバリ ク ロ ッ ク と リ カバリ データを抽出します。図 4-16 に、PLL アー

キテクチャの詳細を示します。 わかりやすいよ うにクロ ッ ク パスを破線で示しています。

GTPE2_CHANNEL ト ランシーバーでは、位相ローテーターを搭載した CDR アーキテクチャを採

用しています。入力されたデータは、 初にレシーバーのイコライゼーシ ョ ン ステージを通過しま

す。 平均化されたデータは、 エッジ サンプラーおよびデータ サンプラーでキャプチャ されます。

データ サンプラーでキャプチャされたデータは、 CDR ステート マシンを通過してダウンス ト リー

ムの ト ランシーバー ブロ ッ クへ転送されます。

RXLPM_CFG1 4 ビッ ト バイナリ

予約。 7 Series FPGA Transceivers Wizard からの推奨値を使用して く

ださい。

RXLPM_HF_CFG2 4 ビッ ト バイナリ

予約。 7 Series FPGA Transceivers Wizard からの推奨値を使用して く

ださい。

RXLPM_HF_CFG 14 ビッ ト バイナリ

予約。 7 Series FPGA Transceivers Wizard からの推奨値を使用して く

ださい。

RXLPM_BIAS_STARTUP_DISABLE 1 ビッ ト バイナリ

予約。 7 Series FPGA Transceivers Wizard からの推奨値を使用して く

ださい。

RXLPM_LF_CFG 18 ビッ ト バイナリ

予約。 7 Series FPGA Transceivers Wizard からの推奨値を使用して く

ださい。

表 4-10 : RX イコライザーの属性 (続き)

属性 タイプ 説明

X-Ref Target - Figure 4-16

図 4-16 : CDR の詳細図

Linear EQ CDR FSMDEMUX

DEMUX

EdgeSampler

DataSampler

PI(X)

PI(D)

RX DATA

Recovered Clock

RXP/N

UG482_c4_06_110911

PLL

Page 145: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 145UG482 (v1.8) 2016 年 6 月 21 日

RX CDR

CDR ステート マシンは、 エッジ サンプラーとデータ サンプラーの両方のデータを使用して入力

データ ス ト リームの位相を判断し、位相補間 (PI) を制御します。エッジ サンプラーの位相はデータ

ス ト リームが変更する部分に位置し、 データ サンプラーの位相はデータ アイの中央に位置します。

PLL0 または PLL1 が位相インターポレーターに基本クロ ッ クを提供し、 位相補間が高精度で等間

隔のサンプリ ング位相を生成するこ とによって、 CDR ステート マシンの細かい位相制御が可能に

なり ます。CDR ステート マシンは、 ローカル PLL 基準クロ ッ クから周波数オフセッ トがある入力

データ ス ト リームを ト ラ ッキングできます。 CDR ステート マシンは、 ローカル PLL 基準クロ ッ

クから周波数オフセッ トがある入力データ ス ト リームを ト ラ ッキングできます。

ポートおよび属性

表 4-11 に、 CDR のポート を示します。

X-Ref Target - Figure 4-17

図 4-17 : CDR サンプラーの位置

E0 E1

UG482_c4_07_110911

E2

D0 D1

表 4-11 : CDR のポート

ポート 方向 クロック ド メイン 説明

RXCDRFREQRESET 入力 非同期 予約。 Low に接続してください。

RXCDRHOLD 入力 非同期 CDR 制御ループを停止状態に保持します。

RXCDROVRDEN 入力 非同期 予約

RXCDRRESET 入力 非同期 予約。 Low に接続してください。

RXCDRRESETRSV 入力 非同期 予約

Page 146: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

146 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

RXRATE[2:0] 入力 RXUSRCLK2

(RXRATEMODE によって非同期になる)

RX シ リアル ク ロ ッ ク分周器 D (表 4-16 参照)の設定を動的に制御し、 RXOUT_DIV 属性と

組み合わせて使用されます。

3'b000 : RXOUT_DIV 分周器の値を使用

3'b001 : 分周値 D は 1

3'b010 : 分周値 D は 2

3'b011 : 分周値 D は 4

3'b100 : 分周値 D は 8

RXBUF_RESET_ON_RATE_CHANGE 属性

が、オプシ ョ ンの自動リセッ ト機能を有効にし

ます。

RXCDRLOCK 出力 非同期 予約。

RXOSHOLD 入力 非同期 1'b1 に設定する と、 現在のオフセッ ト キャン

セレーシ ョ ン値が保持されます。

1'b0 に設定する と、 オフセッ ト キャンセレー

シ ョ ン値が適用されます。

RXOSOVRDEN 入力 非同期 1'b1 に設定する と、 オフセッ ト キャンセレー

シ ョ ンが RX_OS_CFG 属性によって制御され

ます。

1'b0 に設定する と、 AGC が RXOSHOLD 信号によって制御されます。

RXOSCALRESET 入力 非同期 予約。7 Series FPGA Transceivers Wizard から

の推奨値を使用してください。

RXOSINTPD 入力 非同期 予約。7 Series FPGA Transceivers Wizard から

の推奨値を使用してください。

RXOSINTCFG[3:0] 入力 非同期 予約。7 Series FPGA Transceivers Wizard から

の推奨値を使用してください。

RXOSINTD0[3:0] 入力 非同期 予約。7 Series FPGA Transceivers Wizard から

の推奨値を使用してください。

RXOSINTOVRDEN 入力 非同期 予約。7 Series FPGA Transceivers Wizard から

の推奨値を使用してください。

RXOSINTSTROBE 入力 非同期 予約。7 Series FPGA Transceivers Wizard から

の推奨値を使用してください。

RXOSINTHOLD 入力 非同期 予約。7 Series FPGA Transceivers Wizard から

の推奨値を使用してください。

RXOSINTTESTOVRDEN 入力 非同期 予約。7 Series FPGA Transceivers Wizard から

の推奨値を使用してください。

表 4-11 : CDR のポート (続き)

ポート 方向 クロック ド メイン 説明

Page 147: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 147UG482 (v1.8) 2016 年 6 月 21 日

RX CDR

表 4-12 に、 CDR 関連の属性を示します。

使用モード

RX CDR を基準にロックする

CDR を基準にロッ クするには、RXCDRHOLD を 1'b1 に、RXCDROVRDEN を 1'b0 に設定します。

RXOSINTSTARTED 出力 非同期 予約。7 Series FPGA Transceivers Wizard から

の推奨値を使用してください。

RXOSINTSTROBESTARTED 出力 非同期 予約。7 Series FPGA Transceivers Wizard から

の推奨値を使用してください。

RXOSINTDONE 出力 非同期 予約。7 Series FPGA Transceivers Wizard から

の推奨値を使用してください。

表 4-11 : CDR のポート (続き)

ポート 方向 クロック ド メイン 説明

表 4-12 : CDR の属性

属性 タイプ 説明

CFOK_CFG 43 ビッ ト バイナリ

予約。 7 Series FPGA Transceivers Wizard からの推奨値を使用してください。

CFOK_CFG2 7 ビッ ト バイナリ

予約。 7 Series FPGA Transceivers Wizard からの推奨値を使用してください。

CFOK_CFG3 7 ビッ ト バイナリ

予約。 7 Series FPGA Transceivers Wizard からの推奨値を使用してください。

RXCDR_CFG 83 ビッ トの 16 進数

CDR のコンフ ィギュレーシ ョ ン。 7 Series FPGA Transceivers Wizard からの推奨値

を使用してください。

RXCDR_LOCK_CFG 6 ビッ ト バイナリ

CDR のロ ッ ク ループのコンフ ィギュレー

シ ョ ン。 7 Series FPGA Transceivers Wizard からの推奨値を使用してください。

RXCDR_HOLD_DURING_EIDLE バイナリ 電気的アイ ドル状態の PCI Express 動作の

場合、 CDR はオプシ ョナル リセッ ト シー

ケンス中に内部ステート を保持できます。

RXCDR_FR_RESET_ON_EIDLE バイナリ 電気的アイ ドル状態の PCI Express 動作の

場合、オプシ ョナル リセッ ト シーケンス中

に CDR 周波数回路を自動リセッ ト します。

RXCDR_PH_RESET_ON_EIDLE バイナリ 電気的アイ ドル状態の PCI Express 動作の

場合、オプシ ョナル リセッ ト シーケンス中

に CDR 位相回路を自動リセッ ト します。

RX_OS_CFG[12:0] 13 ビッ ト バイナリ

予約。 7 Series FPGA Transceivers Wizard からの推奨値を使用してください。

Page 148: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

148 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

ライン レートおよび選択したプロ ト コルを変更するために RX CDR 設定を動的に変更する

RX CDR 設定を動的に変更する際のイベン ト シーケンスを次に示します。 CDR の変更に関連する

情報のみが記載されています。

1. 準備が整ったら (すべての有効なデータがレシーバー データパスから消去された状態)、 DRP を使用し、 RXCDR_CFG[83:0] 属性を用いて CDR ループ フ ィルター設定の変更をインプ リ

メン ト します。 表 4-13、 表 4-14、 および表 4-15 に、 この属性の推奨される設定を示します。

2. PLL[0/1]REFCLKSEL ポートおよび/または DRP を介して、 34 ページの表 2-9 にリ ス ト され

ている属性を変更します。

3. 40 ページの 「PLL リセッ ト 」 で説明されている リセッ ト ガイ ド ラインに従います。

4. PLL がロ ッ ク されたら、GTRXRESET をアサート し、44 ページの 「GTTXRESET パルスに応

答する GTP ト ランシーバー TX のリセッ ト 」 で説明されているガイ ド ラ インに従います。

5. RXRESETDONE 信号が High に遷移した後、 ト ランシーバーの動作を継続させる前に正しい

データを検証する必要があ り ます (つま り、 既知のデータ パターンをチェッ クする)。

CDR ループ フ ィルター設定のみを調整するために RX CDR 設定を動的に変更する

1. 準備が整ったら (すべての有効なデータがレシーバー データパスから消去された状態)、 DRP を使用し、 RXCDR_CFG[83:0] 属性を用いて CDR ループ フ ィルター設定の変更をインプ リ

メン ト します。 表 4-13、 表 4-14、 および表 4-15 に、 この属性の推奨される設定を示します。

2. GTRXRESET ポート をアサート し、 55 ページの 「GTRXRESET パルスに応答する GTP ト ラ

ンシーバー RX のリセッ ト 」 で説明されているガイ ド ラインに従います。

RXRESETDONE 信号が High に遷移した後、 ト ランシーバーの動作を継続させる前に正しいデー

タを検証する必要があ り ます (つま り、 既知のデータ パターンをチェッ クする)。

表 4-13 : スクランブル後/PRBS データ用 CDR の推奨設定(1) (SSC なし (2))

RXOUT_DIV REFCLK PPM RXCDR_CFG

1

±200

83'h0_0011_07FE_2060_2104_1010±700

±1,250

2

±200

83'h0_0011_07FE_2060_2108_1010±700

±1,250

4 または 8

±200

83'h0_0011_07FE_0860_2110_1010±700

±1,250

注記 :

1. プロ ト コル固有の設定には、 7 Series FPGA Transceivers Wizard および/またはプロ ト コル特性評価レポートからの推奨値を使用して ください。

2. スペク ト ラム拡散クロ ッ ク (SSC) を利用し、 電磁干渉 (EMI) のスペク トル密度を低減します。

Page 149: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 149UG482 (v1.8) 2016 年 6 月 21 日

RX CDR

表 4-14 : プロ ト コル用 CDR の推奨設定 (SSC あり )

RXOUT_DIV SSC を用いる REFCLK PPM RXCDR_CFG

1±700PPM SSC 33KHz 三角波

-5,000PPM

83'h0_0000_87FE_2060_2448_1010

2 83'h0_0000_47FE_2060_2450_1010

4 83'h0_0000_47FE_1060_2450_1010

表 4-15 : 8B/10B エンコード データ用 GTP CDR の推奨設定(1) (SSC なし (2))

RXOUT_DIV REFCLK PPM RXCDR_CFG

1

±200 83'h0_0001_07FE_4060_0104_1010

±70083'h0_0001_07FE_4060_2104_1010

±1,250

2

±200 83'h0_0001_07FE_2060_0104_1010

±70083'h0_0001_07FE_2060_2104_1010

±1,250

4

±200 83'h0_0001_07FE_1060_0104_1010

±70083'h0_0001_07FE_1060_2104_1010

±1,250

8

±200 83'h0_0001_07FE_0860_0104_1010

±70083'h0_0001_07FE_0860_2104_1010

±1,250

注記 :

1. プロ ト コル固有の設定には、 7 Series FPGA Transceivers Wizard および/またはプロ ト コル特性評価レポートからの推奨値を使用して ください。

2. スペク ト ラム拡散クロ ッ ク (SSC) を利用し、 電磁干渉 (EMI) のスペク トル密度を低減します。

3. RX_DEBUG_CFG は、 すべての設定について 14'h000 です。

Page 150: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

150 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

RX のファブリ ック クロック出力制御

機能の説明

RX ク ロ ッ ク分周制御ブロ ッ クは、 2 つのコンポーネン ト (シ リ アル ク ロ ッ ク分周制御、 パラレル

ク ロ ッ ク分周制御とセレク ター制御) で構成されています。 図 4-18 に、 ク ロ ッ ク分周器とセレク

ターの詳細図を示します。

図 4-18 について説明します。

1. RXOUTCLKPCS および RXOUTCLKFABRIC は冗長出力であるため、 新規デザインには RXOUTCLK を使用してください。

2. REFCLK_CTRL オプシ ョ ンは、 ソフ ト ウェアで自動的に制御され、 ユーザーは制御できませ

ん。ユーザーは、IBUFDS_GTE2 の出力または ODIV2 出力のいずれか一方のみ FPGA ロジッ

クへ接続できます。

3. IBUFDS_GXE2 は、 クロ ッキング手法に柔軟性を与えるための冗長出力です。

X-Ref Target - Figure 4-18

図 4-18 : RX シリアルおよびパラレル クロック分周器

UG482_C4_10_021113

PLL0

GTPE2_COMMON GTPE2_CHANNEL (GTP Transceiver Primitive)

IBUFDS_GTE2

RX PMA RX PCS

REFCLK Distribution

PLL1

/D1,2,4,8

SIPO

/2

/2

DelayAligner

PLL1OUTCLK

‘1’

RXOUTCLKPCS

RXOUTCLKPMA

PLL0REFCLK

PLL1REFCLK

O

ODIV2

RXOUTCLK

RXOUTCLKPCS1

RXDATA toDownstreamPCS Blocks

RXPLLREFCLK_DIV1

RXPLLREFCLK_DIV2

RXOUTCLKFABRIC1

IBUFDS_GTE2 Output to Logic3

RXOUTCLKSELRXSYSCLKSEL[1]

REFCLK_CTRL2

RXSYSCLKSEL[0]

RXDLYBYPASS

000

001 0

1

010

011

100

PLL0OUTCLK

1

0

1

0

10

/4 or/5

/2

RXP/NRXDATA

RX PolarityControl

MGTREFCLK[0/1]P

MGTREFCLK[0/1]N

REFCLK Sel REFCLK Sel

CDR

Page 151: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 151UG482 (v1.8) 2016 年 6 月 21 日

RX のファブリ ック クロック出力制御

4. 1/4 または 1/5 分周ブロッ クの選択は、GTPE2_CHANNEL プリ ミティブの RX_DATA_WIDTH属性で制御されます。 RX_DATA_WIDTH = 16 または 32 の場合は、 1/4 が選択されます。

RX_DATA_WIDTH = 20 または 40 の場合は、 1/5 が選択されます。

5. ク ロ ッ ク リ ソース (MMCME2、 PLLE2、 IBUFDS_GTE2、 BUFG など) の配置制約および制

限の詳細は、『7 シ リーズ FPGA ク ロ ッキング リ ソース ユーザー ガイ ド』 (UG472) を参照して

ください。

シリアル クロック分周器

各ト ランス ミ ッ ター PMA モジュールには、 PLL からのク ロ ッ クを分周して低ライン レート をサ

ポートする分周器 (D) があ り ます。 このシ リ アル ク ロ ッ ク分周器は、 ライン レートが一定のアプ

リ ケーシ ョ ンに対してあらかじめ設定できます。 また、複数のライン レート を使用するアプリ ケー

シ ョ ンでは、 動的に変更するこ と も可能です。 シ リ アル分周器の制御については、 表 4-16 を参照

して ください。 各スピード グレードのライン レート範囲は、 7 シ リーズ FPGA の資料ページから

適切なデータシート を参照して ください。

ラ イン レートが一定のアプリ ケーシ ョ ンで D 分周器を使用する場合は、RXOUT_DIV 属性を適切

な値に設定し、 RXRATE ポート を 3'b000 に接続する必要があ り ます。 詳細は、 表 4-16 の 「属性

を使用する固定設定」 を参照して ください。

複数ライン レート を使用するアプリ ケーシ ョ ンで分周器 (D) を使用する場合は、 RXRATE ポート

を使用して D 値を動的に選択します。 RXOUT_DIV 属性と RXRATE ポートは、 デバイス設定時

に同じ D 値を設定する必要があ り ます。 デバイス コンフ ィギュレーシ ョ ン完了後に、 RXRATEポート を使用して D 値を動的に変更します。 詳細は、 表 4-16 の 「ポート を使用する動的設定」 を

参照して ください。

パラレル クロック分周器およびセレクター

RX ク ロ ッ ク分周器制御ブロッ クからのパラレル ク ロ ッ ク出力は、ライン レートおよびプロ ト コル

の要件に応じて、 ファブ リ ッ ク ロジッ ク ク ロ ッ ク と して使用できます。

FPGA ロジッ クの推奨クロ ッ クは、 いずれかの GTP ト ランシーバーから出力される RXOUTCLKです。 また、 MGTREFCLK を直接ファブリ ッ クへ接続してファブリ ッ ク ク ロ ッ ク と して使用する

こ と も可能です。RXOUTCLK は、固定データパス遅延のために RX バッファーをバイパスするア

プ リ ケーシ ョ ンで使用される出力遅延制御がある通常アプ リ ケーシ ョ ンで使用されます。 詳細は、

180 ページの 「RX バッファーのバイパス」 を参照してください。

表 4-16 : RX PLL 出力分周器の設定

分周器 (D) の値 属性を使用する固定設定 ポートを使用する動的制御

1RXOUT_DIV = 1

RXRATE = 3'b000

RXOUT_DIV = Ignored

RXRATE = 3'b001

2RXOUT_DIV = 2

RXRATE = 3'b000

RXOUT_DIV = Ignored

RXRATE = 3'b010

4RXOUT_DIV = 4

RXRATE = 3'b000

RXOUT_DIV = Ignored

RXRATE = 3'b011

8RXOUT_DIV = 8

RXRATE = 3'b000

RXOUT_DIV = Ignored

RXRATE = 3'b100

Page 152: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

152 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

RXOUTCLKSEL ポートで入力セレク ターを制御し、RXOUTCLK ポートから次のよ うなクロ ッ ク

を出力できます。

• RXOUTCLKSEL = 3'b001 : PCS ブロ ッ クで余分な遅延を招くため、 推奨されていません。

• RXOUTCLKSEL = 3'b010 : RXOUTCLKPMA は、FPGA ロジッ クで用いられる リ カバリ クロ ッ クです。 このクロ ッ クは、 ク ロ ッ ク補正機能がないプロ ト コルで使用され、 データに同期

するク ロ ッ クやリ カバリ ク ロ ッ クが必要な場合、 またはダウンス ト リーム ロジッ クへク ロ ッ

クを提供する場合に使用されます。 また、 RX PCS ブロ ッ クにも使用されます。 このクロ ッ ク

は、 関連する リセッ ト信号によって PLL または CDR がリセッ ト される と き中断されます。

• RXOUTCLKSEL = 3'b011 または 3'b100 : RXPLLREFCLK_DIV1 または RXPLLREFCLK_DIV2 : PLL0 または PLL1 (RXSYSCLKSEL[1] の設定に依存) へ入力され

る基準クロ ッ クです。 ファブ リ ッ クへリ カバリ ク ロ ッ クを出力する必要がない場合は、

RXPLLREFCLK_DIV1 または RXPLLREFCLK_DIV2 をシステム ク ロ ッ ク と して使用でき

ます。 ただし、 通常は TXOUTCLK をシステム ク ロ ッ ク と して使用します。

ポートおよび属性

表 4-17 に、 RX のファブリ ッ ク ク ロ ッ ク出力制御で使用するポート を示します。

表 4-17 : RX のファブリ ック クロック出力制御のポート

ポート 方向 クロック ド メイン 説明

RXOUTCLKSEL[2:0] 入力 非同期 マルチプレクサーのセレク ト信号を制御します (図 4-18 参照)。

3'b000 : 固定 1

3'b001 : RXOUTCLKPCS パス

3'b010 : RXOUTCLKPMA パス

3'b011 : RXPLLREFCLK_DIV1 パス

3'b100 : RXPLLREFCLK_DIV2 パス

その他 : 予約。

RXRATE[2:0] 入力 RXUSRCLK2

(RXRATEMODE

によって非同期

になる)

RX シ リ アル ク ロ ッ ク分周器 D (表 4-16 参照) の設定を動的に制御

し、 RXOUT_DIV 属性と組み合わせて使用されます。

3'b000 : RXOUT_DIV 分周器の値を使用

3'b001 : 分周値 D は 1

3'b010 : 分周値 D は 2

3'b011 : 分周値 D は 4

3'b100 : 分周値 D は 8

RXOUTCLKFABRIC 出力 クロ ッ ク テス ト用に予約されている冗長出力です。 RXOUTCLKSEL = 3'b011 に設定された RXOUTCLK を代わりに使用して ください。

RXOUTCLK 出力 クロ ッ ク FPGA ロジッ クで使用される推奨クロ ッ クです。 RXOUTCLKSEL ポートが RXOUTCLK の入力セレクターとな り、 PLL 入力基準ク

ロ ッ クが FPGA ロジッ クへ接続できます。

RXOUTCLKPCS 出力 クロ ッ ク 冗長出力です。 TRXOUTCLKSEL = 3'b001 に設定された RXOUTCLK を代わりに使用してください。

Page 153: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 153UG482 (v1.8) 2016 年 6 月 21 日

RX のファブリ ック クロック出力制御

表 4-18 に、 RX のファブリ ッ ク ク ロ ッ ク出力制御で使用する属性を示します。

RXRATE の使用

RXRATE を使用して分周器 D の設定を変更したい場合、図 4-19 に示す手順を実行する必要があ り

ます。

RXRATEDONE 出力 RXUSRCLK2 RXRATE ポートが変更される と、 この RXRATEDONE ポートが RXUSRCLK2 ク ロ ッ クの 1 サイクル間アサート されます。

TRANS_TIME_RATE 属性で、 RXRATE ポートが変更されてから RXRATEDONE がアサート されるまでの時間が定義されます。

RXRATEMODE 入力 非同期 RXRATE が同期または非同期のいずれで処理されるかを決定します。

0 : 同期。 1'b0 に設定されている場合は、 RXRATE ポートの変

化に応じて自動的にリセッ ト シーケンスが実行される。

1 : 非同期。

RXDLYBYPASS 入力 非同期 RX の遅延調整をバイパスする場合に使用します。

0 : RX の遅延調整回路を使用。 RX バッファーがバイパスされる

場合は、 1'b0 に設定。

1 : RX の遅延調整回路をバイパス。 RX バッファーを使用する場

合は、 1'b1 に設定。

表 4-17 : RX のファブリ ック クロック出力制御のポート (続き)

ポート 方向 クロック ド メイン 説明

表 4-18 : RX のファブリ ック クロック出力制御の属性

属性 タイプ 説明

TRANS_TIME_RATE 8 ビッ トの 16 進数

予約。7 Series FPGA Transceivers Wizard からの推奨値を使用

してください。 レートが変更されてから PHYSTATUS および RXRATEDONE がアサート されるまでの時間を定義します。

RXBUF_RESET_ON_RATE_CHANGE 文字列 TRUE の場合、 RXRATE でレートが変更される と RX バッ

ファーが自動的にリセッ ト される機能が有効になり ます。

RXOUT_DIV 整数 RX シ リ アル ク ロ ッ ク分周器の設定を制御します。RXRATE =3'b000 の場合のみ有効です。それ以外の場合は、RXRATE で制御されます。 有効な値は、 1、 2、 4、 および 8 です。

X-Ref Target - Figure 4-19

図 4-19 : RXRATE の変更例

UG482_c4_119_020713

RXRATE

RXPMARESETDONE

RXRATEDONE

DRP wr (addr ’h011, bit[11])

DRPRDY

div m div n

1’b0 restore setting

Page 154: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

154 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

図 4-19 について説明します。

1. DRP wr は、アドレス 9'h011 への DRP の書き込みを実行する関数です。正確な DRP ト ラン

ザクシ ョ ンは表示されてません。

2. 図 4-19 はイベン ト シーケンスを表しており、 正確な縮尺ではあ り ません。

3. RXRATE を変更するには、 GTPE2_CHANNEL プリ ミ ティブ、 DRPADDR 9'h011 に対して

DRP 書き込みを発行し、 bit[11] を 1'b0 に設定します。

a. DRPADDR 9'h011 の bit[11] のみを確実に変更するには、 read-modify-write 関数を実行

するのが 善です。

4. DRP 書き込みが完了したら、 RXRATE 値を新しい目標設定値に変更する必要があ り ます。

5. RXPMARESETDONE が Low に駆動されるまで待機します。

6. GTPE2_CHANNEL プリ ミ ティブ、 DRPADDR 9'h011 に対して DRP 書き込みを発行し、

bit[11] を元の設定に戻します。 この DRP 書き込みは、 RXPMARESETDONE が Low から High に切り替わる前に完了させる必要があ り ます。 RXPMARESETDONE は、 少なく と も 0.66µs 間 Low のまま となる可能性があ り ます。

7. SIM_RESET_SPEEDUP を FALSE に設定した場合、このシーケンスが正し くシ ミ ュレーシ ョ

ンを実行します。SIM_RESET_SPEEDUP を TRUE に設定した場合、このシーケンスにはバイ

パスを使用する必要があ り ます。

RX のマージン解析

機能の説明

ラ イン レートが増加してチャネル減衰が悪化する と、これらを補正するために RX イコライザーを

多用する必要があ り ます。 このと き、 遠端のリ ンク状態はレシーバー ピンでのアイ ダイアグラム

では判断できないため、システム デバッグに新たな課題が生じます。高ライン レートの場合、PCB上の受信アイ パターンは、 RX イコライザーが有効の場合であっても完全に閉じた状態になってし

まいます。

7 シ リーズの GTP ト ランシーバー RX アイ スキャンには、 イコラ イザー後の受信アイ マージンを

測定および可視化する機能があ り ます。 これによって、 新たな方法でイコライゼーシ ョ ン設定の効

果を診断できるよ うにな り ました。

Page 155: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 155UG482 (v1.8) 2016 年 6 月 21 日

RX のマージン解析

アイ スキャンの原理

RX イコライザー後にサンプリ ングを行う こ とによって、RXDATA は平均化された差動波形から復

元されます。 サンプリ ングの水平方向の位置は CDR 機能で決定され、 垂直方向の位置は微分 0 です。 これを 「データ サンプル」 と して表します (図 4-20 参照)。

アイ スキャン機能を有効にするには、データのサンプル ポイン トからプログラマブル (水平および

垂直方向) オフセッ ト を備えたサンプラーがも う 1 つ必要です。 これを 「オフセッ ト サンプル」 と

して表します (図 4-20 参照)。

シングル アイ スキャンの測定は、データ サンプルの値 (サンプル数) とオフセッ ト サンプルがデー

タ サンプルに一致しない時間 (エラー数) の累算によって成立します。 プログラムされた垂直方向

と水平方向のオフセッ ト位置のビッ ト エラー率 (BER) は、 サンプル数に対するエラー数の比率で

す。 サンプル数の範囲は、 何万単位から 1014 (100 兆) 以上まで可能です。

水平方向および垂直方向オフセッ トの全範囲に対して、 この BER 測定を繰り返すこ とで、 図 4-20に示すよ うな BER マップが生成されます。 これは一般的に統計アイ (Statistical Eye) と呼ばれ、 カ

ラー マップは log10 (BER) を表しています。 この画像のアイは、オシロスコープのアイよ り も明ら

かに小さ くなっています (図 4-20 参照)。 これは、 サンプル数が大幅に少なくオシロスコープで測

るこ とのできない低頻度のジッターやノ イズによって縮小されているためです。

この機能は、 受信されるデータ パターンに制限をかけるこ とがなく、 RX 設定の変更も必要ないた

め、 アプ リ ケーシ ョ ン データが受信中でもエラーを生じさせるこ とな く実行できます。 さ らに、属

性を読み書きする機能のみ必要で、 FPGA ロジッ クを使用する必要はあ り ません。

X-Ref Target - Figure 4-20

図 4-20 : オフセッ ト ファンクシ ョ ンと して BER を計算するためのオフセッ ト サンプルとデータ サンプル - 統計的アイ (Statistical Eye)

UG482_c4_09_110911

Page 156: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

156 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

アイ スキャンのアーキテクチャ

図 4-21 に示す灰色のブロ ッ クは、 PMA アーキテクチャのアイ スキャンをサポートする部分です。

水平方向オフセッ ト (HORZ_OFFSET) によって、データ サンプルに関連するオフセッ ト サンプル

のサンプリ ング時間を短縮または遅延させます。垂直方向オフセッ ト (VERT_OFFSET) によって、

平均化された波形が比較される差動電圧のしきい値を大き く または小さ く します。データ サンプル

は Rdata バスに、 オフセッ ト サンプルは Sdata バスにデシ リ アライズされます。

X-Ref Target - Figure 4-21

図 4-21 : アイ スキャンをサポートする PMA アーキテクチャ

UG482_c4_10_112811

Capture FFRX Input

Equalization

Error-detection,Screening

De-serialization

PCSInterface

Capture FF+

DAC PI PIVERT_OFFSET

Rec Clock HORZ_OFFSET

Rdata

Sdata

Page 157: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 157UG482 (v1.8) 2016 年 6 月 21 日

RX のマージン解析

図 4-22 に、 PCS アーキテクチャのアイ スキャンをサポー ト する部分を示します。 40 ビ ッ ト の

Rdata バスにはデータ サンプルが含まれます。40 ビッ トの Sdata バスの各ビッ トは、対応するデー

タ サンプルとオフセッ ト サンプルが同一でない場合かつそのと きに限り 1 です (160 ページの

表 4-20 の ES_ERRDET_EN 参照)。

Sdata の 2 サイクル間は連続して ES_SDATA_MASK[79:0] (つま り、 ビッ ト ごとの Sdata[i] ANDNOT mask[i]) でマスク されます。 この結果のビッ ト [39:0] の代数和は、 エラー カウンターに加算

されるエラーの数です。

Rdata の 2 サイ クル間は連続して ES_QUALIFIER[79:0] のパターン と比較され、 その結果が

ES_QUAL_MASK[79:0] でマスク されます (つま り、 ビッ ト ご とに論理和を取る)。 この結果の論

理積によって、 プ リ スケーラ /サンプル カウンターがインク リ メ ン ト され、 エラーがエラー カウン

ターに加算されるかど うかが決定されます。 統計アイの場合、 ES_QUAL_MASK は 80'b1 なの

で、 サンプル カウンターおよびエラー カウンターは各サイ クルごとに累積します。 現在のデータ (ビッ ト 39 以下、 RX_DATA_WIDTH の説明参照) は 初に下位 40 ビッ トに現れ、 次のサイ クル

で上位 40 ビ ッ ト に現れるため、 2 重のエラー カウ ン ト を回避するためにこのデータのみを

ES_SDATA_MASK によってマスク対象外と します。

別の使用モードでは、Rdata ビッ ト ( 大 20) シーケンスをマスク解除するこ とでオシロスコープの

よ うな表示になり ます。 これによ り、そのビッ ト範囲内で Rdata が ES_QUALIFIER と一致する場

合にのみエラーおよびサンプルが累算されます。 これらの使用モードでは、 各計測ごとに 1 つの

Sdata ビッ トのみがマスク解除されます。 診断使用モードでは、 Rdata および Sdata は停止し、 次

の場合に DRP を介して読み出し可能です。

• エラーが発生する

• カウン ト限定子が発生する

X-Ref Target - Figure 4-22

図 4-22 : アイ スキャンをサポートする PCS アーキテクチャ

UG482_c4_11_041012

Rdata

ES_VERT_OFFSET

ES_QUALIFIER

ES_QUAL_MASK

ES_PRESCALE

es_sample_count

es_error_count

es_rdata

es_sdata

es_control_status

ES_CONTROL

ES_ERRDET_EN

ES_EYE_SCAN_EN

ES_SDATA_MASK

ES_HORZ_OFFSETFilter

CountQualifierFIFO

SdataFIFO

Prescaler

DRPInterface

PMAInterface Error

Counter

StateMachine

SampleCounter

Page 158: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

158 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

• ファブリ ッ ク ポートが ト リガーとなる、 または

• 属性の書き込みによって ト リガーが強制される

診断使用モードを用いて、たとえば、 イコラ イゼーシ ョ ン動作に起因するバース ト エラーのパター

ンを検証できます。

図 4-23 に、 アイ スキャンのステート マシンにおけるステートの遷移を示します。

ES_CONTROL[1:0] は、 arm および run をそれぞれ実行する信号です。 WAIT ステート から始ま

り、 run は BER 計測ループ (左側) を、 arm は診断ループ (右側) を開始します。

RESET ステートはエラーおよびサンプルのカウンターをゼロにし、 その後 run または arm がアク

ティブかど うかによって COUNT ステート または ARMED ステートへ遷移します。

COUNT ステート では、 サンプルおよびエラーがカウンターに累算されます。 いずれかのカウン

ターが飽和状態になる と、 それら両方が停止し、 END ステー ト に遷移し ます。 この遷移は、

es_control_status[3:0] をポーリ ングするこ とで検出されます。 ビッ ト 0 (done) は、 END、 READ、

および WAIT ステートでのみアクティブに設定されます。 ビッ ト [3:1] は、 ステート マシンの現在

のステート を示します。

END ステートは、run が 0 に設定し直される と WAIT ステートへ遷移します。es_sample_count[15:0]および es_error_count[15:0] は、END または WAIT ステートのいずれかで読み出すこ とができます。

X-Ref Target - Figure 4-23

図 4-23 : アイ スキャンのステート マシン

UG482_c4_12_110911

runorarm

arm andsample_count_zero anderror_count_zero

run andsample_count_zero and

error_count_zero

WAIT(000)

RESET(001)

COUNT(011)

ARMED(101)

trigger event or(arm = 0 andtrig[2:0] = 000)

arm = 0run = 0

sample_count_sat orerror_count_sat or

run = 0

END(010)

READ(100)

Page 159: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 159UG482 (v1.8) 2016 年 6 月 21 日

RX のマージン解析

ARMED ステートでは、 FIFO (Rdata および Sdata の連続するサイクル) は、 ト リガー イベン トが

発生する と停止します。 この ト リ ガー イベン トは、 カウン ト限定子のパルス、 エラー カウンター

に反映されるビッ トすべての論理和、DRP データ入力による手動ト リガー、またはポート を介した

手動ト リガーのいずれかで発生します。 これら 4 つのオプシ ョ ンのうち 1 つを trig[3:0] = ES_CONTROL[5:2] で選択します。

READ ステートでは、 Rdata の 後の 2 サイ クル間を COE ステータス レジスタ (es_rdata[79:0])から、 Sdata の 後の 2 サイ クル間を COE ステータス レジスタ (es_sdata[79:0]) から読み出すこ

とができます。

ポートおよび属性

表 4-19 に、 RX アイ スキャン機能に関連するポート を示します。

表 4-19 : RX マージン解析のポート

ポート 方向 ド メイン 説明

EYESCANDATAERROR 出力 非同期 COUNT または ARMED ステートのと き

に (マスク されていない) エラーが発生す

る と、 REC_CLK の 1 サイ クル間 High になり ます。

EYESCANTRIGGER 入力 RXUSRCLK2 ト リ ガー イベン ト を発生させます。 下記の ES_CONTROL[4] を参照してくだ

さい。

RXRATE 入力 RXUSRCLK2 RX シ リアル クロ ッ ク分周器 D の設定を動

的に制御し (表 4-16 参照)、 RXOUT_DIV属性と組み合わせて使用されます。

3'b000 : RXOUT_DIV 分周器の値を

使用

3'b001 : 分周値 D は 1

3'b010 : 分周値 D は 2

3'b011 : 分周値 D は 4

3'b100 : 分周値 D は 8

Page 160: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

160 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

表 4-20 に、 RX アイ スキャンの属性を示します。 小文字の属性名は R/O を示します。

表 4-20 : RX マージン解析の属性

属性 タイプ 説明

ES_VERT_OFFSET 9 ビッ ト バイナリ

スキャン サンプルの垂直方向 (差動電圧) オフセッ ト を制御します。

[6:0] : オフセッ トのレベル

[7] : オフセッ ト符号 (1 は負、 0 は正)

ES_HORZ_OFFSET 12 ビッ ト

の 16 進数

スキャン サンプルの水平方向 (位相) オフセッ ト を制御します。

[10:0] : 位相オフセッ ト (2 の補数)。データ アイの中央 (0 UI) は、すべてのデータ レー

トの 11'd0 のカウン ト値に相当する。 次の表に、 各データ レートの 小カウン ト値

(-0.5 UI) および 大カウン ト値 (+0.5 UI) を説明する。

レート 小カウン ト値 [dec(bin)] アイの中央 [dec(bin)] 大カウン ト値 [dec(bin)]Full -32 (11'b11111100000) +0(11'b00000000000) +32(11'b00000100000)Half -64 (11'b11111000000) +0(11'b00000000000) +64(11'b00001000000)Qrtr -128 (11'b11110000000) +0(11'b00000000000) +128(11'b00010000000)Octal -256 (11'b11100000000) +0(11'b00000000000) +256(11'b00100000000)

[11] : 位相の統一。 ゼロを含む正のカウン ト値すべてに対して 0 を設定し、 負のカウン

ト値すべてに対して 1 を設定する必要がある。

ES_PRESCALE 5 ビッ ト バイナリ

サンプル カウン ト値のプリ スケーリ ングを制御し、16 ビッ ト レジスタの範囲内の妥当

な精度でサンプル カウン ト値およびエラー カウン ト値の両方を保持します。 プ リ ス

ケール値は 2(1 + レジスタ値) であるため、 小プリ スケール値は 2(1+0) = 2、 および 大

プリ スケール値は 2(1+31) = 4,284,967,296 です。

ES_SDATA_MASK 80 ビッ ト

の 16 進数

40 ビッ ト Sdata バスの 大 2 サイクル分をマスク します。バイナリ ビッ ト 1 で対応す

るバス ビッ トがマスク され、 バイナリ ビッ ト 0 でマスク されないまま とな り ます。 統

計アイの表示に対応する場合、エラー カウンターは、Sdata バスの直近のサイクルでマ

スク されていない 1 の総数を累積します (ES_SDATA_MASK[39:0] でマスク される)。スコープおよび波形の表示に対応する場合、エラー カウンターは、Sdata バスの以前の

サイクルでマスク されていない 1 の 0 以外の各値に対して 1 ずつインク リ メン ト しま

す (ES_SDATA_MASK[79:40] でマスク される)。

この属性および ES_QUAL_MASK は、未使用ビッ ト もマスクする必要があ り ます。統

計アイの表示では、 この属性は次の値をファンクシ ョ ンのバス幅と見なします。

20 ビッ ト幅 : ES_QUAL_MASK = (40'b1、 20'b0、 20'b1)

16 ビッ ト幅 : ES_QUAL_MASK = (40'b1、 16'b0、 24'b1)

スコープおよび波形の表示には計測シーケンスが必要で、 各計測のシングル ビッ トの

みがマスク されません。

Page 161: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 161UG482 (v1.8) 2016 年 6 月 21 日

RX のマージン解析

ES_QUALIFIER 80 ビッ ト

の 16 進数

アイ スキャンでは、 入力データのどの位置でも、 大 20 ビッ トの連続ビッ ト長のパ

ターンに基づいて BER 測定が可能です。データ、つま り クオ リ ファ イア パターンは揃

えられないため、 パターンの位置をバレル シフ ト検索によって検出する必要があ り ま

す。 たとえば、 20 ビッ ト データ幅の 10'b0011111010 パターン (8B/10B コードの

K28.5) を検索する場合、次のよ うな計測シーケンスが必要です。こ こでは、正確なアラ

イ メン トでの 0 以外のサンプル数を検索しています。

ES_QUALIFIER = (50'b?, 10'b0011111010, 20'b?)

ES_QUALIFIER = (49'b?, 10'b0011111010, 21'b?)

ES_QUALIFIER = (48'b?, 10'b0011111010, 22'b?)

…など ( 「?」 は、 マスク される 「Don't Care」 ビッ ト を示す)

クオ リ ファ イア パターンは、バス幅 (40、 32、 20、 または 16) の有効なビッ トに対して

のみシフ ト されます。

ES_QUAL_MASK 80 ビッ ト

の 16 進数

クオリ ファ イア パターンに含まれないビッ ト をマスク します。たとえば、上記で例と し

て挙げた K28.5 に対応する値は次のとおりです。

ES_QUAL_MASK = (50'b1、 10'b0, 20'b1)

ES_QUAL_MASK = (49'b1、 10'b0, 21'b1)

ES_QUAL_MASK = (48'b1、 10'b0, 22'b1)

…など

ES_EYE_ SCAN_EN 1 ビッ ト バイナリ

アイ スキャンを使用する と きは、 常に 1 と します。 このビッ ト を 0 に設定する と、 ア

イ スキャン回路の電源が切断され、アイ スキャンのステートが強制的に WAIT とな り

ます。アイ スキャン機能を再度有効にするには、 このビッ ト を再度アサート して PMAリセッ ト をアサート /ディアサートする必要があ り ます。

ES_ERRDET_EN 1 ビッ ト バイナリ

1 : Sdata バスの各ビッ トは、 対応するオフセッ ト データ サンプルが復元されたデータ

サンプルと一致しない場合かつその場合に限り、 1 となる。 これは、 統計アイの表示に

使用される。

0 : Sdata バスの各ビッ トは、 復元されたデータ サンプルである。 したがって、 エラー

が発生しない場合、 Sdata バスは Rdata バスと同一となる。 これは、 スコープおよび波

形の表示に使用される。

表 4-20 : RX マージン解析の属性 (続き)

属性 タイプ 説明

Page 162: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

162 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

ES_CONTROL 6 ビッ ト バイナリ

[0] : Run

このビッ ト をアサートする と、 WAIT ステートが RESET ステートに遷移し、 BER 測定シーケンスが開始する。

[1] : ARM

このビッ ト をアサートする と、 WAIT ステートが RESET ステートに遷移し、 診断

シーケンスが開始する。 ディアサートする と、 下記の [5:2] ビッ ト ステートのうち

の 1 つが満たされていない場合、 ARMED ステートが READ ステートへ遷移する。

[5:2] :

0001 : ARMED ステートでは、 エラーが検出される と (つま り、 マスク されていな

い 1 が Sdata バスに 1 つ存在する)、 ト リガー イベン ト (READ ステートへの遷移) を発生させる。

0010 : ARMED ステートでは、 クオ リ ファ イア パターンが Rdata で検出される と、

ト リガー イベン ト (READ ステートへの遷移) を発生させる。

0100 : ARMED ステートでは、 eye_scan_trigger ポートが High になる と、 ト リ

ガー イベン ト (READ ステートへの遷移) を発生させる。

1000 : ARMED ステートでは、 ただちに ト リガー イベン ト (READ ステートへの

遷移) を発生させる。

es_control_status 4 ビッ ト バイナリ

[0] : DONE。 WAIT、 END、 または READ ステートの場合にのみ High にアサート さ

れる。

[3:1] : ステート マシンの現在のステート を示す。

WAIT 000

RESET 001

COUN 011

END 010

ARMED 101

READ 100

es_rdata 80 ビッ ト バイナリ

ARMED ステートで ト リガー イベン トが発生する と、 es_rdata[39:0] は Rdata バスの

現在のステート、 es_rdata[79:40] は Rdata バスの前のステート を表します。

es_sdata 80 ビッ ト バイナリ

ARMED ステートで ト リガー イベン トが発生する と、es_sdata[39:0] は Sdata バスの現

在のステート、 es_sdata[79:40] は Sdata バスの前のステート を表します。

es_error_count 16 ビッ ト

の 16 進数

END および WAIT ステートでは、 前の BER 測定の 終的なエラー数を含みます。

es_sample_count 16 ビッ ト

の 16 進数

END および WAIT ステートでは、 前の BER 測定の 終的なサンプル数を含みます。

表 4-20 : RX マージン解析の属性 (続き)

属性 タイプ 説明

Page 163: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 163UG482 (v1.8) 2016 年 6 月 21 日

RX のマージン解析

RX_DATA_WIDTH 整数 RXDATA ポートのビッ ト幅を設定します。 8B/10B エンコーダーが有効の場合、

RX_DATA_WIDTH は 20 ビッ ト または 40 ビッ トで設定される必要があ り ます。有効

な値は、 16、 20、 32、 および 40 です。

詳細は、 226 ページの 「インターフェイス幅の設定」 を参照してください。

Rdata および Sdata バスの有効データ幅は、 内部データパスの幅 (16 ビッ ト または 20ビッ ト ) です。

考えられるその他のバス幅の場合、以前および現在の有効な Rdata および Sdata ビッ ト

が ES_SDATA_MASK、 ES_QUALIFIER、 ES_QUAL_MASK、 es_rdata、 および es_sdata の次のインデッ クスに対応します。

valid Rdata and Sdata width previous data current data 16 [79:64] [39:24] 20 [79:60] [39:20]

RXOUT_DIV 整数 34 ページの図 2-9 に示す、 RX データパス用の PLL0 または PLL1 の出力クロ ッ ク分

周器 D の設定です。

有効な値は、 1、 2、 4、 および 8 です。

RXRATE ポートが 3'b000 に設定されている場合にのみ、 分周器を設定します。

USE_PCS_CLK_PHASE_SEL

1 ビッ ト バイナリ

1 に設定する と、アイ スキャン 4T ク ロ ッ クの位相が ES_CLK_PHASE_SEL によって

決ま り ます。 0 に設定する と、アイ スキャン 4T ク ロ ッ クの位相がデシ リ アライザーの位相検出回路

によって決ま り ます。

ES_CLK_PHASE_SEL 1 ビッ ト バイナリ

USE_PCS_CLK_PHASE_SEL がアサート された場合、 1 に設定する とアイ スキャン

4T ク ロ ッ クの 1 つの位相が選択されます。0 に設定する とほかの位相が選択されます。

表 4-20 : RX マージン解析の属性 (続き)

属性 タイプ 説明

表 4-21 : アイ スキャン読み取り専用 (R) レジスタの DRP アドレス マップ

DRP アドレス

16 進数DRP ビッ ト R/W 属性名 属性ビッ ト

151 15:0 R es_error_count 15:0

152 15:0 R es_sample_count 15:0

153 3:0 R es_control_status 3:0

154 15:0 R es_rdata 79:64

155 15:0 R es_rdata 63:48

156 15:0 R es_rdata 47:32

157 15:0 R es_rdata 31:16

158 15:0 R es_rdata 15:0

159 15:0 R es_sdata 79:64

15A 15:0 R es_sdata 63:48

15B 15:0 R es_sdata 47:32

Page 164: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

164 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

RX 極性制御

機能の説明

RXP と RXN の差動ト レースが PCB 上で誤って逆になっている と、 GTP ト ランシーバー RX によって受信される差動データが反転します。GTP ト ランシーバー RX では、差動ペアの反転極性を

オフセッ トするために、SIPO 後に PCS のパラレル バイ トで反転させるこ とができます。極性制御

機能は RXPOLARITY 入力を使用し、 ファブ リ ッ ク ユーザー インターフェイスから これを High駆動するこ とによって極性を反転させます。

ポートおよび属性

表 4-22 に、 RX の極性制御で使用するポート を示します。

RX 極性制御の使用

RXP および RXN の極性を入れ替える必要がある場合は、RXPOLARITY を High に接続してくだ

さい。

RX パターン チェ ッカー

機能の説明

GTP ト ランシーバー レシーバーには、 PRBS チェッカーが内蔵されています (図 4-24 参照)。 この

チェ ッカーは、 4 つの業界標準 PRBS パターンの う ち 1 つをチェ ッ クする よ うに設定できます。

チェッカーは自己同期タイプで、カンマ アライ メン ト またはデコード実行前の入力データに対して

実行されます。 この機能を使用して、 チャネルのシグナル インテグ リティを検証できます

15C 15:0 R es_sdata 31:16

15D 15:0 R es_sdata 15:0

表 4-21 : アイ スキャン読み取り専用 (R) レジスタの DRP アドレス マップ (続き)

DRP アドレス

16 進数DRP ビッ ト R/W 属性名 属性ビッ ト

表 4-22 : RX 極性制御ポート

ポート 方向 クロック ド メイン 説明

RXPOLARITY 入力 RXUSRCLK2 RXPOLARITY ポート を使用して、入力デー

タの極性を反転します。

0 : 反転しない。 RXP は正、 RXN は負

1 : 反転する。 RXP は負、 RXN は正

Page 165: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 165UG482 (v1.8) 2016 年 6 月 21 日

RX パターン チェ ッカー

ポートおよび属性

表 4-23 に、 パターン チェッカーのポート を示します。

X-Ref Target - Figure 4-24

図 4-24 : RX パターン チェ ッカー ブロック

SIPO

PRBS-7 Pattern Checker

PRBS-15 Pattern Checker

PRBS-23 Pattern Checker

PRBS-31 Pattern Checker

ErrorCounter(16 bits)

RX_PRBS_ERR_CNT

RXPRBSERR

RXDATA

RXPRBSSELPolarity

Inversion

Error

UG482_c4_13_110911

表 4-23 : パターン チェ ッカーのポート

ポート 方向クロック ド メイン

説明

RXPRBSCNTRESET 入力 RXUSRCLK2 PRBS エラー カウンターを リセッ ト します。

RXPRBSSEL[2:0] 入力 RXUSRCLK2 レシーバーの PRBS チェッカーのテス ト パターンを制御します。 有効な設定は次のと

おりです。

000 : 通常動作モード (PRBS チェッカー

はオフ)

001 : PRBS-7

010 : PRBS-15

011 : PRBS-23

100 : PRBS-31

PRBS 以外のパターンに対してチェッ クは

実行されません。PRBS チェッカーは、現在

のサイ クルからのデータを使用して、 次の

サイ クルで予想されるデータを生成するた

め、シングル エラーが PRBS のバース ト エラーとなって生じます。

RXPRBSERR 出力 RXUSRCLK2 PRBS エラーが発生したこ とを示すステー

タス出力です。

Page 166: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

166 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

表 4-24 に、 パターン チェッカーの属性を示します。

使用モデル

内蔵 PRBS チェッカーを使用するには、レシーバーに送信される PRBS パターンと一致するよ うに

RXPRBSSEL を設定します。RXPRBSSE の設定可能な値は、表 4-23 を参照してください。PRBSチェ ッカーが実行される場合、 入力データ内の特定 PRBS パターンが検索されます。 入力される

データが ト ラ ンス ミ ッ ターまたは反転 RXP/RXN によ って反転される場合は、 受信データ も

RXPOLARITY を使用して反転する必要があ り ます。 この設定をしなければ、 PRBS チェッカーが

ロ ッ ク しません。そのパターンが検出される と、入力パターンと予想パターンの比較によって PRBSエラーを検出できます。 予想パターンは、 前のサイクルで入力されたデータに基づいて生成されま

す。チェッカーはエラー ワード数 (各ワードに 20 ビッ ト ) をカウン ト し、入力されるパラレル デー

タにエラーが 1 つ検索されるごとにワード エラー カウンターが 1 つインク リ メ ン ト します。 つま

り、 入力されるパラレル データに 2 ビッ ト以上のエラーがある場合は、 ワード エラー カウンター

の値と実際のビッ ト エラー数は一致しません。 カウンター値が 0xFFFF に到達する と、 エラー カウンターは停止します。

エラーが生じる と、 RXPRBSERR がアサート されます。 そして次の入力データにエラーが含まれ

ていなければ RXPRBSERR はク リ アされます。 PRBSCNTRESET がアサート される とエラー カウンターがク リ アされます。 また、 GTRXRESET および RXPCSRESET もカウンター値を リセッ

ト します。

使用モデルの詳細は、 104 ページの 「TX パターン ジェネレーター」 を参照してください。

表 4-24 : パターン チェ ッカーの属性

属性 タイプ 説明

RX_PRBS_ERR_CNT 16 ビッ ト バイナリ

PRBS エラー カウンターです。 このカウンター

は RXPRBSCNTRESET のアサートで リセッ ト

されます。 入力されるパラレル データにエラー

がある と、 このカウンターが 1 つインク リ メン

ト します ( 大 0xFFFF までカウン ト可能)。 こ

のエラー カウンターへは、DRP を介してのみア

クセスできます。カウンター アドレスは 0x15Eです。

RXPRBS_ERR_LOOPBACK 1 ビッ ト バイナリ

1 に設定された場合、 RXPRBSERR ビッ トが同

じ GTP ト ランシーバーの TXPRBSFORCEERRへ内部ループバッ ク されます。 これによって、

データ クロ ッ ク乗せ換えの交差を懸念せずに、

同期および非同期ジッ ター耐性テス ト が可能に

なり ます。

0 に設定する と、 TXPRBSFORCEERR が TX PRBS に対応します。

Page 167: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 167UG482 (v1.8) 2016 年 6 月 21 日

RX バイ トおよびワード アライメン ト

RX バイ トおよびワード アライメン ト

機能の説明

シ リ アル データをパラレル データ と して使用できるよ うにするには、 データをシンボルの境界に

揃える必要があ り ます。 このアライ メン ト を実行するため、 ト ランス ミ ッ ターは通常カンマと呼ば

れる識別可能なシーケンスを送信します。 レシーバーは、 入力データ内でカンマを検索します。 レ

シーバーでカンマが検出される と、 カンマがバイ ト境界に移動して、受信したパラレル ワードが送

信されたパラレル ワード と一致します。

図 4-25 に、 10 ビッ ト カンマへのアライ メン ト を示します。 RX が受信したアラインされていない

ビッ トは右側にあ り ます。 カンマを含むシ リ アル データは、中央でハイライ ト されています。 バイ

ト アラインされた RX パラレル データは左側にあ り ます。

図 4-26 では、 TX パラレル データを左側に示し、 RX が受信するカンマ アライ メン ト後の識別可

能なパラレル データを右側に示します。

カンマ アライメン トの有効化

カンマ アライ メン ト ブロ ッ クを有効にするには、 RXCOMMADETEN ポート を High に駆動しま

す。 RXCOMMADETEN を Low に駆動する と、ブロ ッ クがバイパスされてレイテンシが 小にな

り ます。

X-Ref Target - Figure 4-25

図 4-25 : カンマ アライメン トの詳細図 (10 ビッ ト カンマへのアライメン ト )

X-Ref Target - Figure 4-26

図 4-26 : カンマ アライメン トのパラレル データ

1001011000010010011010111001100111001011111001011011001010100100010101010101100110

All Subsequent DataAligned to Correct

Byte Boundary

Alignment BlockFinds Comma

Transmitted First

UG482_c4_14_110911

Stream of Serial Data

TX Parallel Data RX Parallel Data

Data0

Comma

Data1

Data2

Non-alignedData

Comma

Data1

Data2

Time

UG482_c4_15_110911

Page 168: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

168 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

カンマ パターンの設定

ブロ ッ クが入力データ ス ト リーム内で検索するカンマ パターンを設定するには、

ALIGN_MCOMMA_VALUE、ALIGN_PCOMMA_VALUE、および ALIGN_COMMA_ENABLE属性を使用します。カンマの長さは、RX_DATA_WIDTH で決定されます (228 ページの表 4-47 参照)。図 4-27 では、 ALIGN_COMMA_ENABLE によって、各カンマの値が部分的にパターン一致

可能になっています。

図 4-28 に、ALIGN_COMMA_DOUBLE = TRUE の場合でのカンマ パターンの組み合わせを示し

ます。

図 4-29 に、ALIGN_COMMA_ENABLE でカンマを結合して構成した、 ワイルドカードを含む 20ビッ トのカンマ パターンを示します。ALIGN_COMMA_DOUBLE が TRUE の場合、MCOMMAパターンと PCOMMA パターンが組み合わせられ、 ブロ ッ クでは連続した 2 つのカンマが検索さ

れます。 カンマ内のビッ ト数は RX_DATA_WIDTH で決定されます。 16 ビッ ト または 20 ビッ ト

のいずれかのカンマ アラ イ メ ン ト モードを利用できます。 2 つの連続カンマは、 受信データ内に

ALIGN_PCOMMA_VALUE で定義された PCOMMA があり、 その後にすぐ ALIGN_MCOMMA_VALUE で定義された MCOMMA がある場合にのみ検出されます (2 つのカンマ パターン間に余

分なビッ トは含まれない)。

X-Ref Target - Figure 4-27

図 4-27 : カンマ パターンのマスク

X-Ref Target - Figure 4-28

図 4-28 : 拡張されたカンマ パターン定義

0101111100

xxx1111100

0001111111

ALIGN_MCOMMA_VALUEor

ALIGN_PCOMMA_VALUEPattern Required forComma Detection(x = Don’t Care)

ALIGN_COMMA_ENABLE UG482_c4_16_111011

ALIGN_MCOMMA_VALUE ALIGN_PCOMMA_VALUE

UG482_c4_17_111011

Page 169: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 169UG482 (v1.8) 2016 年 6 月 21 日

RX バイ トおよびワード アライメン ト

カンマ アライメン トの有効化

カンマ アライ メン トが有効なと きにカンマが検出される と、それらは も近接した境界に揃えられ

ます。MCOMMA パターンに揃えるには、RXMCOMMAALIGNEN を High に駆動し、PCOMMAパターンに揃えるには、RXPCOMMAALIGNEN を High に駆動します。いずれかのパターンに揃

える場合は、両方のイネーブル ポート を駆動します。 ALIGN_COMMA_DOUBLE が TRUE の場

合、 2 つのイネーブル ポートは常に同じ値を駆動する必要があ り ます。

アライメン ト ステータス信号

MCOMMA または PCOMMA アライ メン トが有効の間、ブロ ッ クはカンマ パターン一致機能によ

り、 も近い境界に再び揃えられます。 アライ メン トが適切に完了する と、 ブロ ッ クでは RXBYTEISALIGNED が High に保持されます。 この時点で、 RXMCOMMAALIGNEN および

RXPCOMMAALIGNEN を Low に駆動してアライ メン ト機能をオフにする と、回路アライ メン ト

の状態を維持できます。 RXBYTEISALIGNED を High にするには、 PCOMMA に対する RXPCOMMAALIGNEN を TRUE に設定する必要があ り ます。同様に、RXBYTEISALIGNED をHigh にするには、 MCOMMA に対する RXMCOMMAALIGNEN を TRUE に設定する必要があ

り ます。 カンマは、 RXBYTEISALIGNED が High の間に到達可能です。 カンマが境界に揃えられ

て到達する場合、 変更はあ り ません。 カンマがずれた位置に到達する と、 ブロ ッ クでは、 再びカン

マが揃う まで RXBYTEISALIGNED がディアサート されます。 到達したカンマに対してアライ メ

ン トが有効のままのと き、 ブロ ッ クは自動的に新しいカンマを も近接した境界に揃えて、

RXUSRCLK2 の 1 サイクル間、 RXBYTEREALIGN を High に駆動します。

5Gb/s よ り も高いライン レートで動作し、システムに過剰なノ イズが発生するアプリ ケーシ ョ ンで

は、 有効なデータが存在しない場合に、 バイ ト アラ イン ブロ ッ クによってデータが間違ったバイ

ト境界に揃えられ、RXBYTEISALIGNED 信号が不正にアサート されます。このよ うなアプ リ ケー

シ ョ ンでは、 RXBYTEISALIGNED 信号 (インジケーター ) およびデータの有効性を確認するため

にシステム レベルでチェッ クできる必要があ り ます。

PCIe および SATA のよ うな OOB (RX Out-of-Band 信号) ブロ ッ クを使用するシステムでは、有効

なバイ ト境界にロ ッ ク して RXBYTEISALIGNED 信号をアサート した後、バイ ト アライン ブロ ッ

クはバイ ト境界に変更がなくても RXBYTEISALIGNED 信号をディアサートする可能性があ り ま

す。 このよ う なアプ リ ケーシ ョ ンでは、 RXBYTEISALIGNED は、 初のアサート後、 バイ ト境

界が変更された際の有効なインジケーターと して利用できません。

X-Ref Target - Figure 4-29

図 4-29 : 拡張したカンマ パターンのマスク

0010100010

xx10100001

0011111111

0010100001

0011111111

xx10100010

0011111111

ALIGN_MCOMMA_VALUEand

ALIGN_PCOMMA_VALUE(ALIGN_COMMA_DOUBLE = TRUE) Pattern Required for

Comma Detection(x = don’t care)

ALIGN_COMMA_ENABLE UG482_c4_18_111011

Page 170: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

170 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

アライメン トの境界

アラ イ メ ン ト で有効な境界は、 ALIGN_COMMA_WORD で定義されます。 有効な境界の間隔は

RX_DATA_WIDTH で指定され、有効な境界位置の数は RXDATA インターフェイスのバイ ト数に

よって決定されます (RX_DATA_WIDTH の設定は、226 ページの表 4-43 参照)。図 4-30 に、選択

可能な境界を示します。

手動アライメン ト

RXSLIDE を使用して自動カンマ アライ メン ト を無効にし、手動でパラレル データをシフ トできま

す。RXSLIDE が RXUSRCLK2 の 1 サイクル間 High 駆動する間、パラレル データが 1 ビッ ト シフ ト します。 RXSLIDE は、 使用される前に少なく と も RXUSRCLK2 の 32 サイ クル間 Low 駆動

する必要があ り ます。

図 4-31 に、 RXSLIDE_MODE = PCS の RXSLIDE を使用した手動アライ メン ト実行前と実行後の

波形を示します。 RXSLIDE_MODE = PCS が使用される場合、 RXSLIDE パルスが連続して出力さ

れる と きのビッ ト シフ ト位置の値もまた、 ALIGN_COMMA_WORD および RX_DATA_WIDTHで設定したカンマ アライ メン トの境界によって決定されます。たとえば、RX_DATA_WIDTH が 20ビッ トで ALIGN_COMMA_WORD が 1 の場合、 9 番目のスライ ド動作後のスライ ド位置は 0 に戻

り ます。同様の RX_DATA_WIDTH 設定で ALIGN_COMMA_WORD が 2 の場合、 19 番目のスラ

イ ド動作後にスライ ド位置が 0 に戻り ます。

X-Ref Target - Figure 4-30

図 4-30 : カンマ アライメン トの境界

ALIG N_CO M M A_W O RD

16/20 (2-byte)

Possible RX A lignm ents(Grey = Com m a Can Appear on Byte)

16/20 (2-byte)

1

2

32/40 (4-byte)

32/40 (4-byte)

1

2

Byte0Byte1

Byte0Byte1

Byte0Byte1Byte2Byte3

Byte0Byte1Byte2Byte3

UG482_c4_19_112811

RX_DATA_W IDTH

Page 171: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 171UG482 (v1.8) 2016 年 6 月 21 日

RX バイ トおよびワード アライメン ト

図 4-31 について説明します。

1. RXDATA でのスライ ド開始からスライ ド完了までのレイテンシは、 データパスのアクティブ RX PCS ブロッ ク数によって異なり ます。

図 4-32 に、 RXSLIDE_MODE = PMA の RXSLIDE を使用した手動アライ メン ト実行前と実行後

の波形を示します。 このモードでは、 RXSLIDE パルスが出力されるたびにデータが 1 ビッ ト分右

方向へシフ ト されますが、 後のデータがバスに送信される前に、 ビッ トが左方向にシフ ト した中

間データも一部あ り ます。RXSLIDE_MODE = PMA が使用される場合、RX のリ カバリ ク ロ ッ ク

の位相は、 RXSLIDE の隔パルスごとに 2 UI 分シフ ト します。

図 4-32 について説明します。

1. RXDATA でのスライ ド開始からスライ ド完了までのレイテンシは、 データパスのアクティブ RX PCS ブロッ ク数によって異なり ます。

X-Ref Target - Figure 4-31

図 4-31 : RXSLIDE を使用した手動データ アライメン ト (RX_DATA_WIDTH = 20 ビッ ト、 RXSLIDE_MODE = PCS)

UG482_c4_20_111011

RXUSRCLK2

RXSLIDE

RXDATA

TXDATA 00000000001001111100

00000000000010011111 0000000000100111110000000000000100111110

Slide results on RXDATAafter several cycles of latency

through the PCS path

A minimum of 32 RXUSRCLK2cycles are required between two

RXSLIDE pulses

X-Ref Target - Figure 4-32

図 4-32 : RXSLIDE を使用した手動データ アライメン ト (RX_DATA_WIDTH = 20 ビッ ト、 RXSLIDE_MODE = PMA)

UG482_c4_21_111011

RXUSRCLK2

RXSLIDE

RXDATA

TXDATA 00000000001001111100

00000000000010011111 10000000000001001111 1100000000000010011100000000000100111110

Intermediate Data

Slide results on RXDATAafter several cycles of latency

through the PCS path

A minimum of 32 RXUSRCLK2cycles are required between two

RXSLIDE pulses

Page 172: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

172 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

ポートおよび属性

表 4-25 に、 RX バイ トおよびワード アライ メン トのポート を示します。

表 4-25 : RX バイ トおよびワード アライメン トのポート

ポート名 方向クロック ド メイン

説明

RXBYTEISALIGNED 出力 RXUSRCLK2 カンマ検出およびリ アライ メン ト回路からの信号であ り、パラレル

データ ス ト リームが、カンマ検出に従ってバイ ト境界に適切に揃え

られている と きに High になり ます。

0 : パラレル データ ス ト リームがバイ ト境界に揃えられていない

1 : パラレル データ ス ト リームがバイ ト境界に揃えられている

RXBYTEISALIGNED がアサート されてから揃えられたデータが

FPGA RX インターフェイスで使用できるよ うになるまでには、数

サイクルが必要です。

RXPCOMMAALIGNEN = TRUE のと きは、RXBYTEISALIGNEDが正のカンマ アライ メン トに対応し、 RXMCOMMAALIGNEN =TRUE のと きは、 RXBYTEISALIGNED が負のカンマ アライ メン

トに対応します。

169 ページの 「アライ メン ト ステータス信号」 に、 この信号が正し

い動作にならない条件をいくつか説明します。

RXBYTEREALIGN 出力 RXUSRCLK2 カンマ検出およびリ アライ メン ト回路からの信号で、カンマ検出に

よって、 シ リ アル データ ス ト リーム内のバイ ト アライ メン トが変

更したこ とを示します。

0 : バイ ト アライ メン トに変更なし

1 : バイ ト アライ メン トに変更あ り

アラ イ メン トが起こる とデータの損失や重複が生じる場合があ り、

データ エラー (および、 8B/10B デコーダーの使用時はディ スパリ

ティ エラー ) が発生する可能性があ り ます。

RXCOMMADET 出力 RXUSRCLK2 カンマ アライ メン ト ブロ ッ クでカンマが検出される と、 アサート

されます。 この信号は、 FPGA RX インターフェイスでカンマが使

用可能となる数サイクル前にアサート されます。

0 : カンマは未検出

1 : カンマを検出

RXCOMMADETEN 入力 RXUSRCLK2 カンマ検出およびアライ メン ト回路の使用を制御します。

0 : カンマ検出およびアライ メン ト回路をバイパス

1 : カンマ検出およびアライ メン ト回路を使用

カンマおよびアライ メン ト回路をバイパスする場合、 RX データパ

スのレイテンシが削減されます。

RXPCOMMAALIGNEN 入力 RXUSRCLK2 正のカンマが検出される と、 バイ ト境界が揃えられます。

0 : 無効

1 : 有効

Page 173: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 173UG482 (v1.8) 2016 年 6 月 21 日

RX バイ トおよびワード アライメン ト

表 4-26 に、 RX バイ トおよびワード アライ メン トの属性を示します。

RXMCOMMAALIGNEN 入力 RXUSRCLK2 負のカンマが検出される と、 バイ ト境界が揃えられます。

0 : 無効

1 : 有効

RXSLIDE 入力 RXUSRCLK2 RXSLIDE は、カンマ アライ メン トの手動制御を行う場合に使用し

ます。RXSLIDE がアサート される と、バイ ト アライ メン ト機能に

よって 1 ビッ ト調整されます。つま り、この信号が FPGA ロジッ ク

によるバイ ト アライ メン トの決定や制御を許可します。 RXSLIDEがアサート されるたびに 1 回調整されます。

再調整のため再びアサートする場合は、 RXSLIDE を少なく と も RXUSRCLK2 の 32 サイ クル間 Low 駆動する必要があ り ます。

RXSLIDE のアサートは、通常のカンマ アライ メン ト動作よ り優先

されます。

正し く動作させるには、ユーザーが次の設定を行う必要があり ます。

RXPCOMMAALIGNEN = 0;

RXMCOMMAALIGNEN = 0;

RXCOMMADETEN = 1;

SHOW_REALIGN_COMMA = FALSE

表 4-25 : RX バイ トおよびワード アライメン トのポート (続き)

ポート名 方向クロック ド メイン

説明

表 4-26 : RX バイ トおよびワード アライメン トの属性

属性 タイプ 説明

ALIGN_COMMA_WORD 整数 マルチバイ トのデータパスで検出されたカンマのアライ メン ト を制御し

ます。

1 : 2 バイ ト インターフェイスの場合は 2 バイ ト、 4 バイ ト インター

フェイスの場合は 4 バイ トにカンマを揃える。

カンマは、 RXDATA の偶数バイ ト または奇数バイ トのいずれかに揃え

るこ とができる。

2 : カンマを偶数バイ トにのみ揃える。 揃えられたカンマは、 2 バイ ト インターフェイスの場合は偶数バイ トの RXDATA[9:0]、 4 バイ ト イン

ターフェイスの場合は RXDATA[9:0]/RXDATA[29:20] に確実に揃えら

れる。

ALIGN_COMMA_WORD および RX_DATA_WIDTH の異なる設定で

利用できる。 カンマ アライ メン トの境界の詳細は、 170 ページの

図 4-30 を参照。

カンマを偶数位置および奇数位置に送信するプロ ト コルでは、 ALIGN_COMMA_WORD を 1 に設定します。

Page 174: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

174 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

ALIGN_COMMA_ENABLE 10 ビッ ト バイナリ

MCOMMA/PCOMMA で入力データに一致する必要があるビッ ト 、および

任意の値で問題ないビッ ト を設定します。

10 ビッ トのマスク ビッ トで、 デフォルト値は 1111111111 です。 マスク

にあ り、 0 にリセッ ト されるいずれのビッ トによっても、 MCOMMA また

は PCOMMA 内の対応するビッ トが事実上 Don't Care ビッ トにな り ます。

ALIGN_COMMA_DOUBLE 文字列 正または負のカンマのいずれかのみでカンマ一致を定義するか、 あるいは

シーケンスに両方が必要かを指定します。

FALSE : 正のカンマ (PCOMMA) および負のカンマ (MCOMMA) を別々に扱う。 いずれかが一致するこ とでカンマ検出およびアライ メン

トが実行される。

TRUE : 正のカンマの直後に負のカンマがある と、 カンマが一致する。

一致パターンは 20 または 16 ビッ ト (RX_DATA_WIDTH で定義) である。

ALIGN_COMMA_DOUBLE が TRUE の場合、ALIGN_PCOMMA_DETは ALIGN_MCOMMA_DET と同一、 RXPCOMMAALIGNEN は RXMCOMMAALIGNEN と同一となる必要がある。

ALIGN_MCOMMA_VALUE 10 ビッ ト バイナリ

RXCOMMADET を High 駆動させてパラレル データを揃えるために負の

カンマを定義します。 データ受信は右から左の順(ALIGN_MCOMMA_VALUE [0] が 初に受信) です。 デフォルト値は、 10'b1010000011 (K28.5) です。 この設定による、 8B/10B エンコードまたはデコードへの影

響はあ り ません。

ALIGN_MCOMMA_DET 文字列 負のカンマが検出されたと きに RXCOMMADET をアサートするか否か

を制御します。

FALSE : 負のカンマが検出されたと き、 RXCOMMADET をアサート

しない

TRUE : 負のカンマが検出されたと き、 RXCOMMADET をアサートす

る (この設定によるカンマ アライ メン トへの影響はない)

ALIGN_PCOMMA_VALUE 10 ビッ ト バイナリ

RXCOMMADET を High 駆動させてパラレル データを揃えるために正の

カンマを定義します。 データ受信は右から左の順 (ALIGN_PCOMMA_VALUE [0] が 初に受信) です。 デフォルト値は、 10'b0101111100 (K28.5) です。 この設定による、 8B/10B エンコードまたはデコードへの影

響はあ り ません。

ALIGN_PCOMMA_DET 文字列 正のカンマが検出されたと きに RXCOMMADET をアサートするか否か

を制御します。

FALSE : 正のカンマが検出される と、 RXCOMMADET をアサート し

ない

TRUE : 正のカンマが検出される と、RXCOMMADET をアサートする (この設定によるカンマ アライ メン トへの影響はない)

表 4-26 : RX バイ トおよびワード アライメン トの属性 (続き)

属性 タイプ 説明

Page 175: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 175UG482 (v1.8) 2016 年 6 月 21 日

RX バイ トおよびワード アライメン ト

SHOW_REALIGN_COMMA 文字列 リ アライ メン トのため、 FPGA RX へカンマ パターンを送信するかを定義

します。

FALSE : FPGA RX のリ アライ メン ト を実行させるカンマを送信しな

い。 この設定によ り、 RX データパスのレイテンシを削減できる

TRUE : FPGA RX のリ アライ メン ト を実行させるカンマを送信する

ALIGN_COMMA_DOUBLE = TRUE の場合または手動アライ メン ト

を使用する場合は、 SHOW_REALIGN_COMMA = TRUE の設定は使

用できない。

RXSLIDE_MODE 文字列 RXSLIDE モードを指定します。

オフ : デフォルト設定。 RXSLIDE 機能は使用されない。

PCS : PCS を使用して、 ビッ ト シフ ト機能を実行する。 RXSLIDE が RXUSRCLK2 の 1 サイクル間 High 駆動する間、 ALIGN_COMMA_WORD および RX_DATA_WIDTH で設定したカンマ アライ メン トの

境界内でパラレル データ (RXDATA) が 1 ビッ ト分左方向へシフ トす

る。このモードの場合、RXOUTCLK のソースが RX PMA であっても、

ク ロ ッ クの位相は変わら ない。 このオプシ ョ ンを使用する場合は、

SHOW_REALIGN_COMMA を FALSE に設定する必要がある。

PMA : PMA を使用して、ビッ ト シフ ト機能を実行する。RXSLIDE が RXUSRCLK2 の 1 サイクル間 High 駆動する間、 パラレル データ (RXDATA) が 1 ビッ ト分右へシフ トする。 RXOUTCLK のソースが RX PMA の場合、 クロ ッ クの位相が変更される可能性がある。 この

モードでは、 PCS モード よ り もレイテンシ変動を 小限に抑えられる。

このオプシ ョ ンを使用する場合は、 SHOW_REALIGN_COMMA を FALSE に設定する必要がある。

AUTO : 自動化された PMA モード。 RXDATA のモニタ リ ングや RXSLIDE パルスの送信を行うための FPGA ロジッ クを使用しないた

め、RXSLIDE は無視される。PCIe アプリ ケーシ ョ ンでは、FTS レーン

のスキュー調整用にこの設定が使用される。 このオプシ ョ ンを使用する

場合は、 SHOW_ALIGN_COMMA を FALSE に設定する必要がある。

RXSLIDE_AUTO_WAIT 整数 再びアライ メン ト チェッ クを行う前に、PCS が何サイクル間 (RXUSRCLKクロ ッ ク サイクルに基づく ) PMA の自動スライ ドを待機すべきかを定義し

ます。 有効な値は、 0 ~ 15 です。 デフォルト値は 7 です。 7 Series FPGATransceivers Wizard からの推奨値を使用してください。

RX_SIG_VALID_DLY 整数 予約。 7 Series FPGA Transceivers Wizard からの推奨値を使用してください。

COMMA_ALIGN_LATENCY 6 ビッ ト バイナリ

ロ ッ ク されているカンマ位置に基づいて入力データをアラ インするために

バイ ト アライン ブロ ッ クが使用する現在のアライ メン トです。このレジス

タへは DRP を介してのみアクセス可能です。DRP アドレス 0x150 のビッ

ト [6:0] です。

表 4-26 : RX バイ トおよびワード アライメン トの属性 (続き)

属性 タイプ 説明

Page 176: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

176 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

RX 8B/10B デコーダー

機能の説明

RX で受信するデータが 8B/10B エンコード される場合は、 デコードが必要です。 GTP ト ランシー

バーには、 TX 側に 8B/10B エンコーダーが 1 つ、 そして RX 側に 8B/10B デコーダーが 1 つ内蔵

されています。デコーダーには、データパス上に 2 つの 1 バイ ト 8B/10B デコーダー モジュールが

含まれているため、 FPGA リ ソースを使用せずにデータをデコードできます。 RX 8B/10B デコー

ダーの機能は次のとおりです。

1. 2 バイ トおよび 4 バイ トのデータパス動作をサポート

2. 正しいディ スパリティが得られるよ うに、ランニング ディ スパリティのデイジー チェーン接続

を提供

3. K 符号およびステータス出力を生成

4. 入力データが 8B/10B エンコード されていない場合は、 バイパス可能

5. Not-in-Table エラー発生時に、 10 ビッ トの リ テラル エンコード値を出力

8B/10B のビッ トおよびバイ ト順序

8B/10B デコーダーへ入力されるビッ ト順序は、付録 C 「8B/10B の符号」 の順序の逆です。8B/10Bデコードではビッ ト a0 を 初に受信する必要があ り ますが、 GTP ト ランシーバーでは常に も右

にあるビッ トが 初に受信されます。 したがって、 8B/10B デコーダーは、 デコード前に受信デー

タのビッ ト順序を自動的に反転させるよ う設計されています。 そして、 デコード されたデータが

RXDATA ポートに現れます。図 4-33 に、 RX_DATA_WIDTH = 20 および 40 の場合での GTP トランシーバー RX によるデータ受信を示します。 8B/10B デコーダーの後、 データはバイ トに再構

築されて RXDATA インターフェイスへ送信されます。 RXDATA で使用されるビッ ト数と対応す

るバイ ト順序は、 RX_DATA_WIDTH によって異なり ます。

• RX_DATA_WIDTH = 20 の場合は、 RXDATA[15:0] のみ使用

• RX_DATA_WIDTH = 40 の場合は、 すべての RXDATA[31:0] を使用

8B/10B デコーダーがバイパスされ、RX_DATA_WIDTH が 10 の倍数に設定されている場合は、次

のフォーマッ トで 10 ビッ トのキャラ ク ターが RX データ インターフェイスへ送られます。

• 対応する RXDISPERR は 9 番目のビッ ト を示す

• 対応する RXCHARISK は 8 番目のビッ ト を示す

• 対応する RXDATA バイ トは [7:0] ビッ ト を示す

Page 177: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 177UG482 (v1.8) 2016 年 6 月 21 日

RX 8B/10B デコーダー

RX ランニング ディスパリテ ィ

ディ スパリティ チェッ クが実行され、RXDATA のデータ バイ トが不正なディ スパリ ティで到達す

る と、 デコーダーは対応する RXDISPERR を High に駆動します。 8B/10B デコーダーでは、 ディ

スパリティ エラーだけではなく、 20 ビッ トの Out-of-Table エラー コード も検出されます。デコー

ダーが有効でも、受信した 10 ビッ ト キャラ ク ターを付録 C「8B/10B の符号」に示す有効な 8B/10Bキャラ ク ターにマップできない場合は、 デコーダーが RXNOTINTABLE ポート を High に駆動し

ます。デコード されない 10 ビッ トのキャラ ク ターは、次のフォーマッ トで RX データ インターフェ

イスを介してデコーダーから出力されます。

• 対応する RXDISPERR は 9 番目のビッ ト を示す

• 対応する RXCHARISK は 8 番目のビッ ト を示す

• 対応する RXDATA バイ トは [7:0] ビッ ト を示す

図 4-34 に、 正しいデータ (A)、 ディ スパリ テ ィ エラーがあるデータ (B)、 Out-of-Table キャ ラ ク

ター (C)、およびディ スパリティ エラーがある Out-of-Table キャラ ク ター (D) をデコーダーが受信

した場合の RX データ インターフェイスの波形を示します。

X-Ref Target - Figure 4-33

図 4-33 : 8B/10B デコーダーでのビッ トおよびバイ ト順序

15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0

31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0

H1 G1 F1 E1 D1 C1 B1 A1 H0 G0 F0 E0 D0 C0 B0 A0

H3 G3 F3 E3 D3 C3 B3 A3 H2 G2 F2 E2 D2 C2 B2 A2 H1 G1 F1 E1 D1 C1 B1 A1 H0 G0 F0 E0 D0 C0 B0 A0

j1 h1 g1 f1 i1 e1 d1 c1 b1 a1 j0 h0 g0 f0 i0 e0 d0 c0 b0 a0

j3 h3 g3 f3 i3 e3 d3 c3 b3 a3 j2 h2 g2 f2 i2 e2 d2 c2 b2 a2 j1 h1 g1 f1 i1 e1 d1 c1 b1 a1 j0 h0 g0 f0 i0 e0 d0 c0 b0 a0

RX_DATA_WIDTH = 20

RX_DATA_WIDTH = 40

8B/10B

8B/10B

RXDATA

RXDATA

MSB

MSB LSB

LSB

ReceivedLast

ReceivedFirst

ReceivedLast

ReceivedFirst

UG482_c4_22_111011

Page 178: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

178 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

特殊文字

8B/10B デコードには、 制御機能に頻繁に使用される特殊文字 (K 符号) が含まれます。 RXDATAが K 符号の場合、 デコーダーでは RXCHARISK が High に駆動されます。

DEC_PCOMMA_DETECT が TRUE の場合、 RXDATA が正の 8B/10B カンマのと きは常に、 デ

コーダーは対応する RXCHARISCOMMA を High に駆動します。DEC_MCOMMA_DETECT がTRUE の場合、 RXDATA が負の 8B/10B カンマのと きは常に、 デコーダーは対応する RXCHARISCOMMA を High に駆動します。

ポートおよび属性

表 4-27 に、 RX 8B/10B エンコーダーで必要なポート を示します。

X-Ref Target - Figure 4-34

図 4-34 : 8B/10B エラーがある RX データ

UG482_c4_23_111011

RXUSRCLK2

RXDATA GoodData

BothErrors

Out ofTable

DispError

GoodData

RXDISPERR

RXNOTINTABLE

A B C D

表 4-27 : RX 8B/10B デコーダーのポート

ポート 方向 クロック ド メイン 説明

RX8B10BEN 入力 RXUSRCLK2 カンマ検出/アライ メン ト ブロッ ク直後の RX データパスで 8B/10B デコーダーの使用を選択します。この入力が Low の場合、

リ テラル 10 ビッ ト データは RXDISPERR、 RXCHARISK、

RXDATA<8 bits> と して出力されます。

1 : 8B/10B デコーダーを使用

0 : 8B/10B デコーダーをバイパス (レイテンシ削減)

RXCHARISCOMMA[3:0] 出力 RXUSRCLK2 High の場合、RXDATA の対応するデータ バイ トが K 符号である

こ とを示します。

RXCHARISCOMMA[3] は RXDATA[31:24] に対応

RXCHARISCOMMA[2] は RXDATA[23:16] に対応

RXCHARISCOMMA[1] は RXDATA[15:8] に対応

RXCHARISCOMMA[0] は RXDATA[7:0] に対応

Page 179: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 179UG482 (v1.8) 2016 年 6 月 21 日

RX 8B/10B デコーダー

RXCHARISK[3:0] 出力 RXUSRCLK2 High の場合、 8B/10B デコードが有効のと きに RXDATA の対応

するデータ バイ トが K 符号であるこ とを示します。

RXCHARISK[3] は RXDATA[31:24] に対応

RXCHARISK[2] は RXDATA[23:16] に対応

RXCHARISK[1] は RXDATA[15:8] に対応

RXCHARISK[0] は RXDATA[7:0] に対応

8B/10B デコーダーがバイパスされている場合、 または RXNOTINTABLE の対応するビッ トが High 駆動している場

合、 このビッ ト 8 はデコード されていないデータ となる。 詳

細は、 226 ページの 「FPGA RX インターフェイス」 を参照。

RXDISPERR[3:0] 出力 RXUSRCLK2 High の場合、RXDATA の対応するデータ バイ トにはディ スパリ

ティ エラーがあるこ とを示します。

RXDISPERR[3] は RXDATA[31:24] に対応

RXDISPERR[2] は RXDATA[23:16] に対応

RXDISPERR[1] は RXDATA[15:8] に対応

RXDISPERR[0] は RXDATA[7:0] に対応

8B/10B デコーダーがバイパスされている場合、 または RXNOTINTABLE の対応するビッ トが High 駆動している場

合、 このビッ ト 9 はデコード されていないデータ となる。 詳

細は、 226 ページの 「FPGA RX インターフェイス」 を参照。

RXNOTINTABLE[3:0] 出力 RXUSRCLK2 High の場合、RXDATA の対応するデータ バイ トが 8B/10B テー

ブルの有効なキャラ ク ターではなかったこ とを示します。

RXNOTINTABLE[3] は RXDATA[31:24] に対応

RXNOTINTABLE[2] は RXDATA[23:16] に対応

RXNOTINTABLE[1] は RXDATA[15:8] に対応

RXNOTINTABLE[0] は RXDATA[7:0] に対応

表 4-27 : RX 8B/10B デコーダーのポート (続き)

ポート 方向 クロック ド メイン 説明

表 4-28 : RX 8B/10B デコーダーの属性

属性 タイプ 説明

RX_DISPERR_SEQ_MATCH 文字列 デコード されたバイ トのディ スパリティ エラーがチャネル ボンディン

グやクロ ッ ク コレクシ ョ ン シーケンスのインジケーターと一致すべき

かを指定します。

TRUE の場合、 ディ スパリティ エラー ステータスが一致します。

FALSE の場合、 ディ スパリティ エラー ステータスを無視します。

DEC_MCOMMA_DETECT 文字列 TRUE の場合、 MCOMMA が検出される とバイ ト単位のフラグ RXCHARISCOMMA が High 駆動します。

FALSE の場合、 負のカンマが検出される と RXCHARISCOMMA が Low 駆動します。

Page 180: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

180 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

8B/10B デコーダーの有効化/無効化

8B/10B デコーダーを有効にするには RX8B10BEN を High に駆動する必要があ り ます。 8B/10Bデコーダーが有効の場合は、 RX_DATA_WIDTH を 8 の倍数 (8、 16、 32) に設定する必要があ り

ます。

GTP ト ランシーバーのレシーバー パス上の 8B/10B デコーダーを無効にするには、 RX8B10BENを Low に駆動する必要があ り ます。 8B/10B デコーダーが無効の場合は、 RX_DATA_WIDTH を10 の倍数 (10、20、40) に設定できます。8B/10B デコーダーをバイパスした場合の RXDATA ポー

トの動作については、 226 ページの 「FPGA RX インターフェイス」 で説明しています。

RX バッファーのバイパス

機能の説明

RX エラスティ ッ ク バッファーのバイパスは、 7 シ リーズ FPGA GTP ト ランシーバーのアドバン

ス機能です。 RX エラスティ ッ ク バッファーをバイパスする場合、 PMA パラレル ク ロ ッ ク ド メ イ

ン (XCLK) と RXUSRCLK ド メ イン間の位相差調整を行うために RX 位相アライ メン ト回路が使

用されます。 また、 温度や電圧の変化に対応するために、 RXUSRCLK を調整して RX 遅延の調整

も行います。 RX の位相と遅延の調整は、 GTP ト ランシーバーで自動実行できますが、 ユーザーが

手動で制御するこ と も可能です。図 4-43 に、XCLK ド メ インと RXUSRCLK ド メ インを示します。

表 4-32 では、 バッファーと位相アライ メン トの比較を示します。

RXUSRCLK および RXUSRCLK2 のソースと して RX でリ カバリ ク ロ ッ クを使用する場合は、レ

イテンシを削減するために RX エラスティ ッ ク バッファーをバイパスできます。RX エラスティ ッ

ク バッファーをバイパスする と、 RX データパスを通過する際のレイテンシが削減されて確定的に

なり ますが、 クロ ッ ク コレクシ ョ ンおよびチャネル ボンディングは使用できません。

RX バッファーがバイパスされる と きは、 RXSLIDE_MODE は AUTO または PMA に設定できま

せん。

DEC_PCOMMA_DETECT 文字列 TRUE の場合、 PCOMMA が検出される とバイ ト単位のフラグ RXCHARISCOMMA が High 駆動します。

FALSE の場合、 正のカンマが検出される と RXCHARISCOMMA が Low 駆動します。

DEC_VALID_COMMA_ONLY 文字列 TRUE の場合、IEEE 802.3 で有効なカンマ K28.1、K28.5、および K28.7が検出された場合にのみ、バイ ト単位のフラグ RXCHARISCOMMA がHigh 駆動します。

FALSE の場合、RXCHARISCOMMA は正または負 (DEC_PCOMMA_DETECT および DEC_MCOMMA_DETECT の設定に依存) の 8B/10Bカンマ検出に使用されます。

RX_DATA_WIDTH 3 ビッ ト バイナリ

PCS データ幅は、 ファブ リ ッ ク ユーザー インターフェイスで設定され

ます。 8B/10B デコードを使用しない場合の有効値は 16 または 32 であ

り、 8B/10B デコードを使用する場合は 20 または 40 です。

表 4-28 : RX 8B/10B デコーダーの属性 (続き)

属性 タイプ 説明

Page 181: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 181UG482 (v1.8) 2016 年 6 月 21 日

RX バッファーのバイパス

ポートおよび属性

表 4-29 に、 RX バッファーをバイパスする場合のポート を示します。

X-Ref Target - Figure 4-35

図 4-35 : RX 位相アライメン ト を使用する場合

Clock fromPLL0 or PLL1

From TX ParallelData (Near-EndPCS Loopback)

To TX ParallelData (Far-End

PMA Loopback)

To TX ParallelData (Far-End PCS

Loopback)

FPGA ParallelClock

(RXUSRCLK2)

PCS ParallelClock

(RXUSRCLK)

PMA ParallelClock

(XCLK)

RX SerialClock

RX EQ

RXClock

Dividers

SIPO

RX OOB

Polarity

PRBSChecker

CommaDetect

andAlign

RXGear-box

FPGA RXInterface8B/10B

Decoder

Bypass RX Elastic Buffer

After RX phase alignment:- SPIO parallel clock phase matches RXUSRCLK phase.- No phase difference between XCLK and RXUSRCLK.

RXElasticBuffer

RX PIPEControl

RX StatusControl

UG482_c4_24_111011

RX CDR

表 4-29 : RX バッファーのバイパス ポート

ポート 方向 クロック ド メイン 説明

RXPHDLYRESET 入力 非同期 RXUSRCLK を遅延調整タップの中央

に強制的に配置するための、 RX 位相

アライ メン トのハード リセッ トです。

遅延調整タップは、全範囲が ±4ns、低

範囲が ±2ns です。 このハード リセッ

トは、 その他すべての RX バッファー

バイパス入力ポー ト が Low に設定さ

れている場合に、GTP ト ランシーバー

が RX の位相および遅延調整を自動的

に開始するために使用されます。

RXDLYSRESET は、 位相および遅延

調整のためだけに使用するこ とを推奨

します。

RXPHALIGN 入力 非同期 RX 位相アライ メン ト を設定します。

自動調整モードを使用する場合は Low に設定します。

RXPHALIGNEN 入力 非同期 RX 位相アライ メン ト を有効にしま

す。自動調整モードを使用する場合は Low に設定します。

Page 182: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

182 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

RXPHDLYPD 入力 非同期 RX の位相および遅延調整回路の電源

切断に使用します。 a) RX バッファー

が使用されていない場合、 b) RXPD がアサート されている場合、

あるいは

c) RXOUTCLKSEL が 3'b010 に設

定されているにもかかわらずリ カバリ ク ロ ッ クが利用できない場合は、

High に接続してください。 RX バッ

ファーをバイパスする場合の通常動作

時は Low に接続して ください。

0 : RX の位相および遅延調整回路

に電源を投入

1 : RX の位相および遅延調整回路

の電源を切断

RXPHOVRDEN 入力 非同期 RX の位相アライ メン ト カウンターの

オーバーライ ドを有効にします。 使用

しない場合は Low に設定します。

0 : 通常動作

1 : RXPH_CFG[10:6] の値で RX 位相アライ メン ト カウンターをオー

バーライ ド

RXDLYSRESET 入力 非同期 RXUSRCLK を遅延調整タップの中央

に徐々にシフ ト させるための RX 遅延

調整ソフ ト リセッ トです。 遅延調整

タップは、 全範囲が ±4ns、 低範囲が ±2ns です。 このソフ ト リセッ トは、

その他すべての RX バッファー バイパ

ス入力ポートが Low に設定されてい

る場合に、GTP ト ランシーバーが RX の位相および遅延調整を自動的に開始

するために使用されます。

RXDLYBYPASS 入力 非同期 RX の遅延調整をバイパスする場合に

使用します。

0 : RX の遅延調整回路を使用。

1 : RX の遅延調整回路をバイパス。

RXDLYEN 入力 非同期 RX 遅延調整回路を有効にします。 使

用しない場合は Low に設定します。

表 4-29 : RX バッファーのバイパス ポート (続き)

ポート 方向 クロック ド メイン 説明

Page 183: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 183UG482 (v1.8) 2016 年 6 月 21 日

RX バッファーのバイパス

RXDLYOVRDEN 入力 非同期 RX の遅延調整カウンターのオーバー

ライ ドを有効にします。 使用しない場

合は Low に設定します。

0 : 通常動作

1 : RXDLY_CFG[14:6] の値で RX 遅延調整カウンターをオーバーラ

イ ド

RXDDIEN 入力 非同期 デシ リ アライザーでの RX データ遅延

挿入を有効にします。 RX バッファー

をバイパスする場合は、 このポート を High に設定します。

RXPHALIGNDONE 出力 非同期 RX の位相アライ メン ト完了を示しま

す。 RX の自動位相および遅延調整が

実行されている場合、

RXDLYSRESETDONE がアサート さ

れた後に RXPHALIGNDONE の 2 番目の立ち上がりエッジが検出される

と、 RX の位相および遅延調整が完了

したこ とを示します。

RXPHMONITOR 出力 非同期 RX の位相アライ メン ト モニターです。

RXPHSLIPMONITOR 出力 非同期 RX の位相アライ メン ト ス リ ップ モニ

ターです。

RXDLYSRESETDONE 出力 非同期 RX の遅延調整ソフ ト リセッ トが完了

したこ とを示します。

RXSYNCMODE 入力 非同期 0 : RX バッファー バイパス スレーブ

レーン

1 : RX バッファー バイパス マスター

レーン

マルチ レーン手動モードで使用します。

RXSYNCALLIN 入力 非同期 シングル レーン自動モード : それぞれ

の RXPHALIGNDONE へ接続します。

マルチ レーン自動モード : マスターお

よびすべてのスレーブ レーンの RXPHALIGNDONE の AND 接続さ

れた信号へ接続します。

マルチ レーン手動モード : マルチ レー

ン手動モードで使用します。

RXSYNCIN 入力 非同期 マルチ レーン自動モード アプリ ケー

シ ョ ンでのみ有効です。 RX バッ

ファー バイパス マスター レーンから RXSYNCOUT へ接続します。

表 4-29 : RX バッファーのバイパス ポート (続き)

ポート 方向 クロック ド メイン 説明

Page 184: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

184 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

表 4-30 に、 RX バッファーの属性を示します。

RXSYNCOUT 出力 非同期 マルチ レーン自動モード アプリ ケー

シ ョ ンの RX バッファー バイパス マスター レーンでのみ有効です。 マル

チ レーン アプリ ケーシ ョ ンの各レー

ンの RXSYNCIN へ接続します。

RXSYNCDONE 出力 非同期 RX バッファーをバイパスする場合の

位相アライ メン ト プロセスが完了し

たこ とを示します。自動モード動作の RX バッファー バイパス マスター レーンでのみ有効です。

表 4-30 : RX バッファー バイパスの属性

属性 タイプ 説明

RXBUF_EN 文字列 RX エラスティ ッ ク バッファーの使用またはバ

イパスを指定します。

TRUE : RX エラスティ ッ ク バッファーを使

用 (デフォルト )

FALSE : RX エラスティ ッ ク バッファーを

バイパス (アドバンス機能)

RX_XCLK_SEL 文字列 RX パラレル ク ロ ッ ク ド メ イン (XCLK) を駆

動するク ロ ッ クを選択します。

RXREC : XCLK のソース と して RX リ カバ

リ ク ロ ッ クを選択。 RX エラスティ ッ ク バッファーを使用する と きに使用。

RXUSR : XCLK のソース と して RXUSRCLK を選択。 RX エラスティ ッ ク バッファーをバイパスする と きに使用。

RXPH_CFG 24 ビッ ト バイナリ

予約。 7 Series FPGA Transceivers Wizard から

の推奨値を使用してください。

RXPH_MONITOR_SEL 5 ビッ ト バイナリ

予約。 7 Series FPGA Transceivers Wizard から

の推奨値を使用してください。

RXPHDLY_CFG 24 ビッ ト バイナリ

RX の位相および遅延調整回路のコンフ ィギュ

レーシ ョ ンです。 RX の遅延調整タ ップを全範

囲の ±4ns に設定する場合は、RXPHDLY_CFG[19] = 1 を使用します。RX の遅延調整タップを

全範囲の ±2ns に設定する場合は、RXPHDLY_CFG[19] = 0 を使用します。

予約。 7 Series FPGA Transceivers Wizard から

の推奨値を使用してください。

RXDLY_CFG 16 ビッ ト バイナリ

予約。 7 Series FPGA Transceivers Wizard から

の推奨値を使用してください。

表 4-29 : RX バッファーのバイパス ポート (続き)

ポート 方向 クロック ド メイン 説明

Page 185: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 185UG482 (v1.8) 2016 年 6 月 21 日

RX バッファーのバイパス

RX バッファー バイパスの使用モード

RX 位相アラ イ メ ン トは、 1 つのチャネル (シングル レーン) または 1 つの RXOUTCLK (マルチ

レーン) を共有するチャネル グループに対してのみ実行可能です。GTP ト ランシーバーの場合、RXバッファー バイパスはシングル レーン自動モード、 および手動および自動モードのマルチ レーン

アプリ ケーシ ョ ンをサポート します (表 4-31 参照)。

RXDLY_LCFG 9 ビッ ト バイナリ

予約。 7 Series FPGA Transceivers Wizard から

の推奨値を使用してください。

RXDLY_TAP_CFG 16 ビッ ト バイナリ

予約。 7 Series FPGA Transceivers Wizard から

の推奨値を使用してください。

RX_DDI_SEL 6 ビッ ト バイナリ

RX データ遅延挿入を有効にします。

予約。 7 Series FPGA Transceivers Wizard から

の推奨値を使用してください。

RXSYNC_MULTILANE 1 ビッ ト バイナリ

マルチ レーン インターフェイスの一部と して

使用されるか否かを指定します。 自動モードの

RX バッファー バイパス マスター レーンでの

み有効です。

0 : シングル レーン モードで使用

1 : マルチ レーン モードで使用

RXSYNC_SKIP_DA 1 ビッ ト バイナリ

遅延調整プロセスをスキップするか否かを指定

します。自動モードのバッファー バイパス マス

ター レーンでのみ有効です。

0 : RX 遅延調整プロセスを実行

1 : RX 遅延調整プロセスをスキップ

RXSYNC_OVRD 1 ビッ ト バイナリ

手動モードを優先させる場合に使用します。

0 : RX バッファー バイパスの自動モードが有効

1 : RX バッ フ ァー バイパスの手動モード を使

用。RX バッファーのバイパス制御をファブリ ッ

ク ロジッ クにインプリ メン トする。

TST_RSV[0] 1 ビッ ト バイナリ

0 : 通常

1 : データ遅延挿入 (DDI) の遅延設定を RX_DDI_SEL 属性でオーバーライ ドする。

表 4-30 : RX バッファー バイパスの属性 (続き)

属性 タイプ 説明

表 4-31 : RX バッファー バイパスの使用モード

RX バッファーのバイパス GTP ト ランシーバー

シングル レーン 自動

マルチ レーン 手動または自動

Page 186: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

186 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

シングル レーン自動モードで RX バッファー バイパスを使用

RX バッファーをバイパスするには、 GTP ト ランシーバーを次のよ うに設定する必要があ り ます。

• RXBUF_EN = FALSE

• RX_XCLK_SEL = RXUSR

• RXOUTCLKSEL = 010b ― RXOUTCLK のソースと して RX リ カバリ クロッ クを選択します。

• RXDDIEN = 1

RX リ カバリ ク ロ ッ クが選択される場合、 RXOUTCLK が RXUSRCLK のソース と して使用され

ます。 RXOUTCLK および選択した RX リ カバリ ク ロ ッ クが確実に必要な周波数で動作するよ う

にします。 RX エラスティ ッ ク バッファーをバイパスする場合は、 次の条件の後に必ず RX 位相ア

ライ メン ト プロセスを実行します。

• GTP レシーバーのリセッ ト または電源投入

• PLL のリセッ ト または電源投入

• RX のリ カバリ ク ロ ッ ク ソースまたは周波数の変更

• GTP ト ランシーバーの RX ラ イン レートの変更

シングル レーン自動モードで RX バッファー バイパスをセッ ト アップするには、 属性を次のよ う

に設定する必要があ り ます。

• RXSYNC_MULTILANE = 0

• RXSYNC_OVRD = 0

図 4-36 に示すよ うにポート を設定します。

図 4-37 に、 温度や電圧の変化に対応して RXUSRCLK を調整するのに必要な、 自動 RX 位相アラ

イ メン ト と RX 遅延調整を示します。

X-Ref Target - Figure 4-36

図 4-36 : RX バッファー バイパス — シングル レーン、 自動モード ポート接続

RXSYNCMODE

RXSYNCALLIN

RXSYNCIN RXSYNCOUT

UG482_c4_136_020613

RXSYNCDONE

RXPHALIGNDONE

1'b1

1'b0

RXDLYSRESET

Page 187: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 187UG482 (v1.8) 2016 年 6 月 21 日

RX バッファーのバイパス

図 4-37 について説明します。

1. 図 4-37 はイベン ト シーケンスを表しており、 正確な縮尺ではあ り ません。

2. GTP レシーバーのリセッ トや RX のレート変更などが行われた後、 XCLK と RXUSRCLK の位相を揃えるために、RX 位相アライ メン トが実行される必要があ り ます。RX 位相および遅延

調整を開始するには、RXDLYSRESET をアサートする前に、既存の RXELECIDLE および RXCDR がロ ッ ク されるまで待機します。 RXDLYSRESET のアサートは 50ns 未満とする必要が

あ り ます。

3. RXDLYSRESETDONE が High になるまで待機します。RXDLYSRESETDONE は少なく と も

100ns 間アサート されたまま とな り ます。

4. RXSYNCDONE がアサート される と、アライ メン トが完了します。この信号は、アライ メン ト

が再開されるまでアサート されたまま とな り ます。

5. RXSYNCDONE がアサート される と、RXPHALIGNDONE によってアライ メン トの完了およ

び継続が示されます。

6. 温度や電圧の変化に対応するため、 RX 遅延調整は RXUSRCLK の調整を継続します。

RX リ カバ リ ク ロ ッ ク と RXUSRCLK が安定し、 確実にク ロ ッ ク調整で使用できるよ うにするに

は、 RX CDR がロ ッ ク された後に、 必ず RX 位相アライ メン ト を実行する必要があ り ます。 RX エラスティ ッ ク バッファーをバイパスする場合、 GTP ト ランシーバー リセッ トやレート変更などに

よる位相差によって、 PMA からの受信データにずれが生じる可能性があ り ます。 ファブ リ ッ ク インターフェイスで評価された受信データが無効な場合は、RX CDR がロ ッ ク されている間 RX 位相

アライ メン ト を繰り返す必要があ り ます。

マルチ レーン手動モードで RX バッファー バイパスを使用

GTP ト ランシーバーの場合、 位相アライ メン トは手動または自動で実行できます。

このセクシ ョ ンでは、 マルチ レーン RX バッファー バイパスのアライ メン ト を手動で実行するた

めに必要な手順を説明します。

• マスター : マルチ レーンのアプリ ケーシ ョ ンでは、 バッファー バイパス マスターは RXOUTCLK をソース とするレーンです。

• スレーブ : バッファー バイパス マスターの RXOUTCLK から生成される、同じ RXUSRCLK/RXUSRCLK2 を共有するすべてのレーンです。

X-Ref Target - Figure 4-37

図 4-37 : RX バッファー バイパス例 — シングル レーン自動モード

RXDLYSRESET

RXDLYSRESETDONE

RXPHALIGNDONE

RXSYNCDONE

UG482_c4_137_020613

Page 188: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

188 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

図 4-38 に、 バッファー バイパス マスターおよびスレーブ レーンの例を示します。

RX エラスティ ッ ク バッファーをバイパスするには、GTP ト ランシーバーを次のよ うに設定する必

要があ り ます。

• RXBUF_EN = FALSE

• RX_XCLK_SEL = RXUSR

• RXOUTCLKSEL = 010 ― RXOUTCLK のソースと して RX リ カバリ クロ ッ クを選択します。

• RXDDIEN = 1

RX リ カバリ ク ロ ッ クが選択される場合、 RXOUTCLK が RXUSRCLK のソース と して使用され

ます。 RXOUTCLK および選択した RX リ カバリ ク ロ ッ クが確実に必要な周波数で動作するよ う

にします。 RX エラスティ ッ ク バッファーをバイパスする場合は、 次の条件の後に必ず RX 位相ア

ライ メン ト プロセスを実行します。

• GTP ト ランシーバー レシーバーのリセッ ト または電源投入

• PLL のリセッ ト または電源投入

• RX のリ カバリ ク ロ ッ ク ソースまたは周波数の変更

• GTP ト ランシーバーの RX ラ イン レートの変更

X-Ref Target - Figure 4-38

図 4-38 : RX のバッファー バイパス マスターおよびスレーブ レーンの例

UG482_c4_138_020613

BUFG BUFG

GTP RXLane 3

Slave

RXUSRCLKRXUSRCLK2

GTP RXLane 2

MMCM/PLLMaster

RXUSRCLK

RXOUTCLK

RXUSRCLK2

GTP RXLane 1

Slave

RXUSRCLKRXUSRCLK2

GTP RXLane 0

Slave

RXUSRCLKRXUSRCLK2

Page 189: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 189UG482 (v1.8) 2016 年 6 月 21 日

RX バッファーのバイパス

図 4-39 に、 手動による RX の位相および遅延調整を示します。

図 4-39 について説明します。

1. 図 4-39 はイベン ト シーケンスを表しており、 正確な縮尺ではあ り ません。

2. M_* はマスター レーンに関連するポート を示します。

3. S_* はスレーブ レーンに関連するポート を示します。

4. RXSYNC_OVRD 属性を 1'b1 に設定します。

5. すべてのレーンの RXPHDLYRESET および RXDLYBYPASS を Low に設定します。

6. すべてのレーンの RXPHALIGNEN および RXDDIEN を High に設定します。

7. すべてのレーンの RXDLYSRESET をアサート します。各レーンの RXDLYSRESETDONE がアサート されるまでこの信号を High に保持します。

8. RXDLYSRESETDONE がアサート されるレーンの RXDLYSRESET をディアサート します。

9. すべてのレーンの RXDLYSRESET がディアサート される場合、 マスター レーンの RXPHALIGN をアサート します。 マスター レーンの RXPHALIGNDONE の立ち上がりエッ

ジが確認されるまでこの信号を High に保持します。

10. マスター レーンの RXPHALIGN をディアサート します。

11. マスター レーンの RXDLYEN をアサート します。これによ り、RXPHALIGNDONE がディア

サート されます。

12. マスター レーンの RXPHALIGNDONE の立ち上がりエッジが確認されるまでこのレーンの

RXDLYEN を High に保持します。

13. マスター レーンの RXDLYEN をディアサート します。

X-Ref Target - Figure 4-39

図 4-39 : 手動モードでの RX の位相および遅延調整

M_RXPHDLYRESET

M_RXDLYBYPASS

M_RXPHALIGNEN

M_RXDDIEN

M_RXDLYSRESET

M_RXDLYSRESETDONE

M_RXPHALIGN

M_RXDLYEN

M_RXPHALIGNDONE

S_RXPHDLYRESET

S_RXDLYBYPASS

S_RXPHALIGNEN

S_RXDDIEN

S_RXDLYSRESET

S_RXDLYSRESETDONE

S_RXPHALIGN

S_RXDLYEN

S_RXPHALIGNDONE

UG482_c4_39_020713

Page 190: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

190 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

14. すべてのスレーブ レーンの RXPHALIGN をアサート します。 各スレーブ レーンの RXPHALIGNDONE の立ち上がりエッジが確認されるまでこの信号を High に保持します。

15. RXPHALIGNDONE がアサート されるレーンの RXPHALIGN をディアサート します。

16. すべてのスレーブ レーンの RXPHALIGN がディアサート される場合、 マスター レーンの RXDLYEN をアサート します。これによ り、マスター レーンの RXPHALIGNDONE がディア

サート されます。

17. マスター レーンの RXPHALIGNDONE が再度アサート されるまで待機します。マルチ レーン

インターフェイスの位相および遅延調整が完了します。温度および電圧の変化に対応するため、

マスター レーンの RXDLYEN を引き続き High に保持します。

マルチ レーンのアプリ ケーシ ョ ンでは、RXELECIDLE がいずれかのレーンでディアサート された

後、 RX アライ メン ト をインターフェイスで開始する必要があ り ます。 すべてのレーンの RX CDRは、 RX アラ イ メ ン ト を開始する前にロ ッ ク します。 これは、 アラ イ メ ン ト前に RX リ カバ リ クロ ッ クおよび RXUSRCLK が安定し、 確実にアライ メン ト可能な状態にするためです。

RX エラスティ ッ ク バッファーをバイパスする場合、 GTP ト ランシーバー リセッ トやレート変更

などによる位相差によって、PMA からの受信データにずれが生じる可能性があ り ます。ファブ リ ッ

ク インターフェイスで評価された受信データがいずれかのレーンで無効な場合は、すべてのレーン

の RX CDR がロ ッ ク された後にインターフェイスの RX アライ メン ト を繰り返す必要があ り ます。

マルチ レーン自動モードで RX バッファー バイパスを使用

マルチ レーンのアプリ ケーシ ョ ンで RX バッファーのバイパスが必要なと きは、位相アライ メン ト

を手動または自動で実行できます。 このセクシ ョ ンでは、 マルチ レーン RX バッファー バイパス

のアライ メン ト を自動で実行するために必要な手順を説明します。

• マスター : マルチ レーンのアプリ ケーシ ョ ンでは、 バッファー バイパス マスターは RXOUTCLK をソース とするレーンです。

• スレーブ : これらはすべて、 バッファー バイパス マスターの RXOUTCLK から生成される、

同じ RXUSRCLK/RXUSRCLK2 を共有するレーンです。

図 4-40 に、 バッファー バイパス マスターおよびスレーブ レーンの例を示します。

Page 191: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 191UG482 (v1.8) 2016 年 6 月 21 日

RX バッファーのバイパス

RX バッファーをバイパスするには、 GTP ト ランシーバーを次のよ うに設定する必要があ り ます。

• RXBUF_EN = FALSE

• RX_XCLK_SEL = RXUSR

• RXOUTCLKSEL = 010 ― RXOUTCLK のソースと して RX リ カバリ クロ ッ クを選択します。

• RXDDIEN = 1

RX リ カバリ ク ロ ッ クが選択される場合、 RXOUTCLK が RXUSRCLK のソース と して使用され

ます。 RXOUTCLK および選択した RX リ カバリ ク ロ ッ クが確実に必要な周波数で動作するよ う

にします。 RX エラスティ ッ ク バッファーをバイパスする場合は、 次の条件の後に必ず RX 位相ア

ライ メン ト プロセスを実行します。

• GTP レシーバーのリセッ ト または電源投入

• PLL のリセッ ト または電源投入

• RX のリ カバリ ク ロ ッ ク ソースまたは周波数の変更

• GTP ト ランシーバーの RX ラ イン レートの変更

マルチ レーン自動モードで RX バッファー バイパスをセッ ト アップするには、 属性を次のよ うに

設定する必要があ り ます。

• RXSYNC_MULTILANE = 1

• RXSYNC_OVRD = 0

X-Ref Target - Figure 4-40

図 4-40 : バッファー バイパス マスターおよびスレーブ レーンの例

GTP RXLane 0

GTP RXLane 1

GTP RXLane 2

GTP RXLane 3

MMCM/PLL

BUFG BUFG

RXUSRCLKRXUSRCLK2

RXUSRCLKRXUSRCLK2

RXUSRCLKRXUSRCLK2

RXUSRCLKRXUSRCLK2

RXOUTCLKMaster

Slave

Slave

Slave

UG482_c4_140_020613

Page 192: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

192 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

図 4-41 に示すよ うにポート を設定します。

図 4-42 に、 RX の位相および遅延の自動調整を示します。

X-Ref Target - Figure 4-41

図 4-41 : RX バッファー バイパス — マルチ レーン、 自動モード ポート接続

UG482_c4_141_020613

Master

RXSYNCMODE

RXSYNCALLIN

RXSYNCIN RXSYNCOUT

RXSYNCDONE

RXPHALIGNDONE

1'b1

RXDLYSRESET

Slave

RXSYNCMODE

RXSYNCALLIN

RXSYNCIN RXSYNCOUT

RXSYNCDONE

RXPHALIGNDONE

1'b0

RXDLYSRESET

Page 193: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 193UG482 (v1.8) 2016 年 6 月 21 日

RX バッファーのバイパス

図 4-42 について説明します。

1. 図 4-42 はイベン ト シーケンスを表しており、 正確な縮尺ではあ り ません。

2. M_* はマスター レーンに関連するポート を示します。

3. S_* はスレーブ レーンに関連するポート を示します。

4. GTP レシーバーのリセッ トや RX のレート変更などが行われた後、 XCLK と RXUSRCLK の位相を揃えるために、RX 位相アライ メン トが実行される必要があ り ます。RX 位相および遅延

調整を開始するには、RXDLYSRESET をアサートする前に、既存の RXELECIDLE および RXCDR がロ ッ ク されるまで待機します。 RXDLYSRESET のアサートは 50ns 未満とする必要が

あ り ます。

5. RXDLYSRESETDONE が High になるまで待機します。RXDLYSRESETDONE は少なく と も

100ns 間アサート されたまま とな り ます。

6. マスター レーンの RXSYNCDONE がアサート される と、アラ イ メン トが完了します。 この信

号は、 アライ メン トが再開されるまでアサート されたまま とな り ます。

7. マスター レーンの RXSYNCDONE がアサート される と、 マスター レーンの RXPHALIGNDONE によってアライ メン トの完了および継続が示されます。

8. 温度や電圧の変化に対応するため、 RX 遅延調整は RXUSRCLK の調整を継続します。

X-Ref Target - Figure 4-42

図 4-42 : RX バッファー バイパス例 — マルチ レーン自動モード

M_RXDLYSRESET

M_RXDLYSRESETDONE

M_RXPHALIGNDONE

M_RXSYNCOUT

M_RXSYNCDONE

S_RXDLYSRESET

S_RXDLYSRESETDONE

S_RXPHALIGNDONE

S_RXSYNCOUT

S_RXSYNCDONE

UG482_c4_141_020613

Page 194: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

194 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

マルチ レーンのアプリ ケーシ ョ ンでは、RXELECIDLE がいずれかのレーンでディアサート された

後、 RX アライ メン ト をインターフェイスで開始する必要があ り ます。 すべてのレーンの RX CDRは、 RX アラ イ メ ン ト を開始する前にロ ッ ク します。 これは、 アラ イ メ ン ト前に RX リ カバ リ クロ ッ クおよび RXUSRCLK が安定し、 確実にアライ メン ト可能な状態にするためです。

RX リ カバ リ ク ロ ッ ク と RXUSRCLK が安定し、 確実にク ロ ッ ク調整で使用できるよ うにするに

は、 RX CDR がロ ッ ク された後に、 必ず RX 位相アライ メン ト を実行する必要があ り ます。

RX エラスティ ッ ク バッファーをバイパスする場合、 GTP ト ランシーバー リセッ トやレート変更

などによる位相差によって、PMA からの受信データにずれが生じる可能性があ り ます。ファブ リ ッ

ク インターフェイスで評価された受信データがいずれかのレーンで無効な場合は、すべてのレーン

の RX CDR がロ ッ ク された後にインターフェイスの RX アライ メン ト を繰り返す必要があ り ます。

RX エラステ ィ ッ ク バッファー

機能の説明

GTP ト ランシーバー RX のデータパスには、PCS で使用される 2 つの内部パラレル ク ロ ッ ク ド メ

イン (PMA パラレル ク ロ ッ ク ド メ イン (XCLK) および RXUSRCLK ド メ イン) があ り ます。デー

タを受信する場合は、 PMA パラレル レート と RXUSRCLK レートが近似し、 2 つのド メ イン間の

位相差をな くす必要があ り ます。 図 4-43 に、 2 つのパラレル ク ロ ッ ク ド メ イン (XCLK および

RXUSRCLK) を示します。

GTP ト ランシーバーには、XCLK ド メ インと RXUSRCLK ド メ イン間の位相差をなくすため、RXエラスティ ッ ク バッファーが内蔵されています。 また、 RX バッファーをバイパスする場合は、 ト

ランシーバーからのリ カバリ ク ロ ッ クを使用して RXUSRCLK を駆動し、その位相を XCLK と一

致するよ う調整するこ とで、2 つのド メ インの位相を一致させるこ と も可能です (180 ページの「RXバッファーのバイパス」 参照)。すべての RX データパスにおいて、 これらの方法のいずれかを使用

して位相を一致させる必要があ り ます。 表 4-32 に、 各方法の長所および短所を示します。

X-Ref Target - Figure 4-43

図 4-43 : RX クロック ド メイン

Clock fromPLL0 or PLL1

From TX ParallelData (Near-EndPCS Loopback)

To TX ParallelData (Far-End

PMA Loopback)

To TX ParallelData (Far-End PCS

Loopback)

FPGA ParallelClock

(RXUSRCLK2)

PCS ParallelClock

(RXUSRCLK)

PMA ParallelClock

(XCLK)

RX SerialClock

RX EQ

RXClock

Dividers

SIPO

RX OOB

Polarity

PRBSChecker

CommaDetect

andAlign

RXGearbox

FPGA RXInterface8B/10B

Decoder RXElasticBuffer

RX PIPEControl

RX StatusControl

UG482_c4_25_112911

RX CDR

Page 195: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 195UG482 (v1.8) 2016 年 6 月 21 日

RX エラスティ ック バッファー

ポートおよび属性

表 4-33 に、 RX バッファーのポート を示します。

表 4-34 に、 RX バッファーの属性を示します。

表 4-32 : RX バッファーと位相アライメン トの比較

RX エラスティ ック バッファー RX 位相アライメン ト

使いやすさ 可能な限り RX バッファーをデフォル ト で使

用するこ とを推奨。 動作が堅牢で扱いやすい。

追加ロジッ クやクロ ッ ク ソースに追加制約が必要なア

ドバンス機能。 RXUSRCLK を駆動するための RXOUTCLK のソース と して、 RXOUTCLKSEL が RX リ カバリ ク ロ ッ クを選択する必要がある。

ク ロ ッキング オプシ ョ ン

RX リ カバリ ク ロ ッ クまたはローカル ク ロ ッ

ク (ク ロ ッ ク コレクシ ョ ンあ り ) を使用可能。

RX リ カバリ ク ロ ッ クの使用が必須。

初期化 すぐに実行可能。 RX 位相および遅延調整を実行する前に、全クロ ッ クが

安定するまで待機する必要がある。

レイテンシ ク ロ ッ ク コレ クシ ョ ンおよびチャネル ボン

ディングなど、 使用する機能によって異なる。

よ り低く確定的なレイテンシ。

ク ロ ッ ク コレク

シ ョ ン/チャネル ボンディング

クロ ッ ク コレクシ ョ ンやチャネル ボンディン

グには必須。

ト ランシーバー内部では実行されない。ユーザー ロジッ

クにインプリ メン トする必要がある。

表 4-33 : RX バッファーのポート

ポート 方向クロック ド メイン

説明

RXBUFRESET 入力 非同期 RX エラスティ ッ ク バッファーを リセッ ト して再初期化します。

RXBUFSTATUS[2:0] 出力 RXUSRCLK2 RX バッファーのステータスです。

3’b000 : 通常条件

3'b001 : バッファーのバイ ト数が CLK_COR_MIN_LAT 未満

3'b010 : バッファーのバイ ト数が CLK_COR_MAX_LAT よ り多い

3'b101 : RX エラスティ ッ ク バッファーがアンダーフロー

3'b110 : RX エラスティ ッ ク バッファーがオーバーフロー

表 4-34 : RX バッファーの属性

属性 タイプ 説明

RXBUF_EN 文字列 RX エラスティ ッ ク バッファーの使用またはバイパスを指定し

ます。

TRUE : RX エラスティ ッ ク バッファーを使用 (デフォルト )

FALSE : RX エラスティ ッ ク バッファーをバイパス (アドバ

ンス機能)

Page 196: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

196 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

RX_XCLK_SEL 文字列 RX パラレル ク ロ ッ ク ド メ イン (XCLK) を駆動するクロ ッ クを

選択します。

RXREC : XCLK のソース と して RX リ カバリ ク ロ ッ クを選

択。 RX エラスティ ッ ク バッファーを使用する と きに使用。

RXUSR : XCLK のソース と して RXUSRCLK を選択。 RX エラスティ ッ ク バッファーをバイパスする と きに使用。

RX_BUFFER_CFG 6 ビッ ト バイナリ

RX エラスティ ッ ク バッファーのコンフ ィギュレーシ ョ ンです。

予約。 7 Series FPGA Transceivers Wizard からの推奨値を使用

してください。

RX_DEFER_RESET_BUF_EN 文字列 カンマ リ アライ メン ト時の RX エラスティ ッ ク バッファー リセッ ト動作を延期します。延期時間は RXBUF_EIDLE_HI_CNTで制御されます。

TRUE : カンマ リ アライ メン ト時の RX エラスティ ッ ク バッ

ファー リセッ ト動作の延期が有効。

FALSE : カンマ リ アライ メン ト時の RX エラスティ ッ ク バッファー リセッ ト動作の延期が無効。

RXBUF_ADDR_MODE 文字列 RX エラスティ ッ ク バッファーのアドレス (処理スピード ) モー

ドを指定します。

FULL : ク ロ ッ ク コレクシ ョ ンおよびチャネル ボンディング

をサポートする RX エラスティ ッ ク バッファーが有効。

FAST : ク ロ ッ ク コレクシ ョ ンおよびチャネル ボンディング

をサポート しない RX エラスティ ッ ク バッファーが有効。

このモードは、 高ライン レートに推奨される。

RXBUF_EIDLE_HI_CNT 4 ビッ ト バイナリ

RXP/RXN シ リ アル ラインに有効なデータが現れない場合、 電

気的アイ ドル時に GTP ト ランシーバー内部で生成される RX エラスティ ッ ク バッファーのリセッ ト信号をアサートするタイ

ミ ングを制御します。

予約。 7 Series FPGA Transceivers Wizard からの推奨値を使用

してください。

RXBUF_EIDLE_LO_CNT 4 ビッ ト バイナリ

RXP/RXN シ リ アル ラインに有効なデータが現れる場合、 電気

的アイ ドル時に GTP ト ランシーバー内部で生成される RX エラスティ ッ ク バッファーのリセッ ト信号をディアサートするタ

イ ミ ングを制御します。

予約。 7 Series FPGA Transceivers Wizard からの推奨値を使用

してください。

表 4-34 : RX バッファーの属性 (続き)

属性 タイプ 説明

Page 197: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 197UG482 (v1.8) 2016 年 6 月 21 日

RX エラスティ ック バッファー

RXBUF_RESET_ON_CB_CHANGE 文字列 チャネル ボンディング変更時に GTP ト ランシーバー内部で生

成される RX エラスティ ッ ク バッファーのリセッ ト機能を制御

します。

TRUE : チャネル ボンディング変更時、 RX エラスティ ッ ク バッファーの自動リセッ ト機能が有効。

FALSE : チャネル ボンディング変更時、RX エラスティ ッ ク バッファーの自動リセッ ト機能が無効。

RXBUF_RESET_ON_COMMAALIGN 文字列 カンマ リ アライ メン ト時に GTP ト ランシーバー内部で生成され

る RX エラスティ ッ ク バッファーのリセッ ト機能を制御します。

TRUE : カンマ リ アライ メン ト時、 RX エラスティ ッ ク バッ

ファーの自動リセッ ト機能が有効。

FALSE : カンマ リ アライ メン ト時、 RX エラスティ ッ ク バッファーの自動リセッ ト機能が無効。

RXBUF_RESET_ON_EIDLE 文字列 電気的アイ ドル時に GTP ト ランシーバー内部で生成される RXエラスティ ッ ク バッファーのリセッ ト機能を制御します。

TRUE : PCI Express 動作における電気的アイ ドル状態のオ

プシ ョ ン リセッ ト シーケンス中、 RX エラスティ ッ ク バッ

ファーの自動リセッ ト機能が有効。

FALSE : 電気的アイ ドル時、RX エラスティ ッ ク バッファー

の自動リセッ ト機能が無効。 これをデフォルト設定とする。

注記 : 信号減衰が大きいチャネル (Nyquist 周波数で通常 15dBを超えるチャネル損失) では、CJPAT/CJTPAT の 101010 シーケ

ンスのよ うに高速変化するデータ パターンは電気的アイ ドルを

ト リガーする可能性があるため、 RXBUF_RESET_ON_EIDLEを FALSE に設定するこ とを推奨します。

RXBUF_RESET_ON_RATE_CHANGE 文字列 レート変更時、 GTP ト ランシーバー内部で生成される RX エラ

スティ ッ ク バッファーのリセッ ト機能を示します。

TRUE : レート変更時、 RX エラスティ ッ ク バッファーの自

動リセッ ト機能が有効。

FALSE : レート変更時、RX エラスティ ッ ク バッファーの自

動リセッ ト機能が無効。

RXBUF_THRESH_OVRD 文字列 RX エラスティ ッ ク バッファーのしきい値オーバーライ ド機能

を示します。

TRUE : RXBUF_THRESH_OVFLW および RXBUF_THRESH_UNDFLW 属性を使用して、 RX エラス

ティ ッ ク バッファーのオーバーフロー /アンダーフローしき

い値を設定。

FALSE : RX エラスティ ッ ク バッファーのオーバーフロー /アンダーフローしきい値を自動計算。 これをデフォルト設

定とする。

表 4-34 : RX バッファーの属性 (続き)

属性 タイプ 説明

Page 198: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

198 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

RX エラステ ィ ック バッファーを使用

XCLK および RXUSRCLK ド メ イン間の位相差を調整する RX バッファーを有効にするには、 次

のよ うに設定します。

• RXBUF_EN = TRUE

• RX_XCLK_SEL = RXREC

RX エラステ ィ ッ ク バッファーがオーバーフロー /アンダーフローになる と、 RX エラスティ ッ ク

バッファーの内容は無効になり ます。 オーバーフロー /アンダーフローのいずれかが生じた場合は、

GTRXRESET、 RXPCSRESET、 RXBUFRESET、 または GTP ト ランシーバーで内部生成された

RX エラスティ ッ ク バッファー リセッ ト信号を使用して、RX エラスティ ッ ク バッファーを リセッ

トする必要があ り ます。内部生成された RX エラスティ ッ ク バッファーのリセッ ト信号は、チャネ

ル ボンディングの変更、 カンマ リ アラ イ メ ン ト 、 電気的アイ ドル、 またはレート変更時に発生し

ます。

RX エラスティ ッ ク バッファーは、ク ロ ッ ク コレクシ ョ ン ( 「RX ク ロ ッ ク コレクシ ョ ン」 参照) およびチャネル ボンディング (208 ページの 「RX チャネル ボンディング」 参照) にも使用されます。

ク ロ ッ ク コレクシ ョ ンは、 XCLK と RXUSRCLK の周波数が一致しない場合に使用されます。

表 4-35 に、一般的なクロッ ク コンフィギュレーシ ョ ンと クロッ ク コレクシ ョ ンの必要性を示します。

RXBUF_THRESH_OVFLW 整数 バイ ト数で指定された RX エラステ ィ ッ ク バッ フ ァーのオー

バーフローしきい値です。 RX エラスティ ッ ク バッファーを通

るデータ レイテンシがこのしきい値に到達あるいは超える場合

は、 バッファーがオーバーフロー状態である と認識されます。

RXBUF_THRESH_OVRD = TRUE のと きに使用します。

予約。 7 Series FPGA Transceivers Wizard からの推奨値を使用

してください。

RXBUF_THRESH_UNDFLW 整数 整数バイ ト数で指定された RX エラスティ ッ ク バッファーのア

ンダーフローしきい値です。 RX エラスティ ッ ク バッファーを

通るデータ レイテンシがこのしきい値に到達あるいは下回る場

合は、バッファーがアンダーフロー状態である と認識されます。

RXBUF_THRESH_OVRD = TRUE のと きに使用します。

予約。 7 Series FPGA Transceivers Wizard からの推奨値を使用

してください。

RXBUFRESET_TIME 5 ビッ ト バイナリ

RX エラスティ ッ ク バッファーのリセッ ト時間です。

予約。 7 Series FPGA Transceivers Wizard からの推奨値を使用

してください。

表 4-34 : RX バッファーの属性 (続き)

属性 タイプ 説明

Page 199: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 199UG482 (v1.8) 2016 年 6 月 21 日

RX クロック コレクシ ョ ン

RX エラステ ィ ッ ク バッファーを使用する と、 ク ロ ッ ク コレ クシ ョ ン機能の使用にかかわらず、

CLK_COR_MIN_LAT の設定による影響がそのバッファーを通る際に生じるレイテンシにおよび

ます。

RX クロック コレクシ ョ ン

機能の説明

RX エラスティ ッ ク バッファーは、CDR からのリ カバリ ク ロ ッ クである 2 つのクロ ッ ク ド メ イン

(RXUSRCLK および XCLK) 間をブリ ッジします。 RXUSRCLK と XCLK が同じクロ ッ ク周波数

で動作している場合でも、 わずかな周波数のずれが常に生じます。 XCLK と RXUSRCLK はまっ

た く同じではないため、 修正されない場合は、 これらの位相差が累積されて 終的に RX エラス

ティ ッ ク バッファーがオーバーフローまたはアンダーフローとな り ます。 ク ロ ッ ク コレクシ ョ ン

を行うには、 各 GTP ト ランシーバー TX は定期的に 1 つまたは複数の特殊キャラ クターを送信し

ます。 このキャラ ク ターは、 GTP ト ランシーバー RX が RX エラスティ ッ ク バッファーで必要に

応じて削除/複製できます。RX エラスティ ッ ク バッファーがフルになりそ うな場合はこれらのキャ

ラ クターを削除し、空になりそ うな場合は複製するこ とで、レシーバーはオーバーフローやアンダー

フローを回避できます。

表 4-35 : 一般的なクロック構成

クロッキングの種類 クロック コレクシ ョ ンの必要性

両サイ ドが物理的に同じオシレーターからの基準ク ロ ッ クを

使用している同期システム必要なし

異なる基準クロ ッ クを使用し、GTP レシーバーが RX リ カバリ

ク ロ ッ クを使用している非同期システム必要なし

異なる基準クロ ッ クを使用し、 GTP ト ランシーバー レシー

バーがローカル ク ロ ッ クを使用している非同期システム必要

Page 200: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

200 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

X-Ref Target - Figure 4-44

図 4-44 : クロック コレクシ ョ ンの詳細図

Write OperationDriven by

XCLK

Read OperationDriven by

RXUSRCLK

Normal Condition If RXUSRCLK and XCLK Are Exactly the Same Frequency

Pointer Difference is Always Same BetweenREAD Address and WRITE Address While

They Are Moving

Write OperationDriven by

XCLK

Insert Special Character toRealign Pointer Difference

to Normal Condition

UG482_c4_26_071612

Read OperationDriven by

RXUSRCLK

Elastic Buffer Can Underflow When Read Clock Faster Than Write Clock

Pointer Difference is Getting SmallerWhen READ Clock is Faster

Write OperationDriven by

XCLK

Remove Special Character toRealign Pointer Differenceto Normal Condition

Read OperationDriven by

RXUSRCLK

Elastic Buffer Can Overflow When Read Clock Slower Than Write Clock

Pointer Difference is Getting BiggerWhen WRITE Clock is Faster

Page 201: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 201UG482 (v1.8) 2016 年 6 月 21 日

RX クロック コレクシ ョ ン

ポートおよび属性

表 4-36 に、 RX ク ロ ッ ク コレクシ ョ ンで必要なポート を示します。

表 4-36 : RX クロック コレクシ ョ ンのポート

ポート 方向 クロック ド メイン 説明

RXBUFRESET 入力 非同期 RX エラスティ ック バッファーと関連ロジックを リセッ ト します。

RXBUFSTATUS[2:0] 出力 RXUSRCLK2 RX エラスティ ッ ク バッファーのステータスを示します。

000 : バッファーが CLK_COR_MIN_LAT から CLK_COR_MAX_LAT 内の通常動作

001 : RX エラスティ ッ ク バッファーが CLK_COR_MIN_LAT 未満

010 : RX エラスティ ッ ク バッファーが CLK_COR_MAX_LAT を超えている

101 : RX エラスティ ッ ク バッファーがアンダーフロー

110 : RX エラスティ ッ ク バッファーがオーバーフロー

RXCLKCORCNT[1:0] 出力 RXUSRCLK2 ク ロ ッ ク コレクシ ョ ン シーケンスの 初のバイ トが RXDATAに現れる と きに、RX エラスティ ッ ク バッファーのクロ ッ ク コレクシ ョ ン ステータスを示します。

00 : ク ロ ッ ク コレクシ ョ ンなし

01 : 1 シーケンスをスキップ

10 : 2 シーケンスをスキップ

11 : 1 シーケンスを追加

RX8B10BEN 入力 RXUSRCLK2 High の場合、 GTP ト ランシーバー RX の 8B/10B デコーダー

を有効にします。 8B/10B エンコーダーが有効の場合、

RX_DATA_WIDTH を 10 の倍数 (20 ビッ ト 、 40 ビッ ト ) に設

定する必要があ り ます。 8B/10B エンコーダーが無効の場合、

RX_DATA_WIDTH を 8 の倍数 (16 ビッ ト、 32 ビッ ト ) に設定

する必要があ り ます。

Page 202: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

202 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

表 4-37 に、 RX チャネル ボンディングで必要な属性を示します。

表 4-37 : RX クロック コレクシ ョ ンの属性

属性 タイプ 説明

CBCC_DATA_SOURCE_SEL 文字列 クロ ッ ク コレクシ ョ ンやチャネル ボンディング用のデータ ソースの選択

に、 RX8B10BEN と共に使用されます。

RX8B10BEN が High で、CBCC_DATA_SOURCE_SEL = DECODED の場合、クロ ッ ク コレクシ ョ ン シーケンスは、8B/10B デコーダー後のデコー

ド されたデータ と一致します。 CBCC_DATA_SOURCE_SEL = ENCODED の場合、クロ ッ ク コレクシ ョ ン シーケンスは、8B/10B デコー

ダー前のカンマ検出やリ アライ メン ト ブロ ッ クからの生データ と一致し

ます。

RX8B10BEN が Low の場合、 CBCC_DATA_SOURCE_SEL = DECODED はサポート されません。 CBCC_DATA_SOURCE_SEL = ENCODED の場合は、 クロ ッ ク コレクシ ョ ン シーケンスがカンマ検出や

リ アライ メン ト ブロ ッ クからの生データ と一致します。

CLK_CORRECT_USE 文字列 TRUE に設定する と、 ク ロ ッ ク コレクシ ョ ン機能が有効になり ます。

FALSE に設定する と、 無効になり ます。

ク ロ ッ ク コレクシ ョ ン機能が無効のと きは、 次の属性を設定する必要があ

り ます。

CLK_COR_SEQ_1_1 = 10'b0100000000

CLK_COR_SEQ_2_1 = 10'b0100000000

CLK_COR_SEQ_1_ENABLE = 4'b1111

CLK_COR_SEQ_2_ENABLE = 4'b1111

CLK_COR_KEEP_IDLE 文字列 TRUE に設定すると、受信したクロック コレクシ ョ ン シーケンスの各連続ス

ト リームに対して、 低 1 クロッ ク コレクシ ョ ン シーケンスを保持します。

RX エラスティ ッ ク バッファーの範囲を リセッ トする必要がある場合は、

FALSE に設定してバイ ト ス ト リームからのすべてのクロ ッ ク コレクシ ョ

ン シーケンスを削除します。

CLK_COR_MAX_LAT 整数 RX エラスティ ッ ク バッファーの 大レイテンシを指定します。RX エラス

ティ ッ ク バッファーが CLK_COR_MAX_LAT を越える と、ク ロ ッ ク コレ

クシ ョ ン回路では、入力されているクロ ッ ク コレクシ ョ ン シーケンスが削

除され、 オーバーフローを回避できます。

7 Series FPGA Transceivers Wizard がアプリ ケーシ ョ ン要件に応じて適切

な値を選択します。 適な性能を保持するためにウ ィザードで選択された

値に従い、 これを上書きしないよ うにします。

Page 203: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 203UG482 (v1.8) 2016 年 6 月 21 日

RX クロック コレクシ ョ ン

CLK_COR_MIN_LAT 整数 RX エラスティ ッ ク バッファーの 小レイテンシを指定します。RX エラス

ティ ッ ク バッファーが CLK_COR_MIN_LAT 未満になる と、 ク ロ ッ ク コレクシ ョ ン回路では、入力されているクロ ッ ク コレクシ ョ ン シーケンスを

反復し、 アンダーフローを回避できます。

RX エラスティ ッ ク バッファーがリセッ ト されたと き、 ポインターはバッ

ファー内に未読 (および未初期化) の CLK_COR_MIN_LAT データ バイ ト

があるよ うに設定されます。

7 Series FPGA Transceivers Wizard がアプリ ケーシ ョ ン要件に応じて適切

な値を選択します。 適な性能を保持するためにウ ィザードで選択された

値に従い、 これを上書きしないよ うにします。

CLK_COR_PRECEDENCE 文字列 クロ ッ ク コレクシ ョ ンとチャネル ボンディングが同時にト リガーされた

場合に、 どちらを優先するかを指定します。

TRUE : チャネル ボンディングよ り ク ロ ッ ク コレクシ ョ ンを優先

FALSE : ク ロ ッ ク コレクシ ョ ンよ りチャネル ボンディングを優先

CLK_COR_REPEAT_WAIT 整数 次のクロ ッ ク コレクシ ョ ンまでの 少 RXUSRCLK サイクル数を指定し

ます。 0 の場合は、 クロ ッ ク コレクシ ョ ン キャラ ク ターの送信頻度に制限

はあ り ません。

有効な設定値は 0 ~ 31 です。

CLK_COR_SEQ_LEN 整数 クロ ッ ク コレクシ ョ ンを検出するために一致させるシーケンスの長さをバ

イ トで定義します。また、 クロ ッ ク コレクシ ョ ンでの調整サイズ (反復また

はスキップするバイ ト数) を定義します。

有効な長さは、 1、 2 および 4 バイ トです。

CLK_COR_SEQ_1_ENABLE 4 ビッ ト バイナリ

初のクロ ッ ク コレクシ ョ ン シーケンスのマスク イネーブル ビッ トです。

CLK_FOR_SEQ_1_ENABLE[0] は、 CLK_COR_SEQ_1_1 のマスク ビッ トです。

CLK_FOR_SEQ_1_ENABLE[1] は、 CLK_COR_SEQ_1_2 のマスク ビッ トです。

CLK_FOR_SEQ_1_ENABLE[2] は、 CLK_COR_SEQ_1_3 のマスク ビッ トです。

CLK_FOR_SEQ_1_ENABLE[3] は、 CLK_COR_SEQ_1_4 のマスク ビッ トです。

CLK_FOR_SEQ_1_ENABLE[*] = 0 の場合、対応する CLK_COR_SEQ_1_*は DON'T CARE と して処理、 または比較しないで自動的に一致として処理

されます。

CLK_FOR_SEQ_1_ENABLE[*] = 1 の場合、対応する CLK_COR_SEQ_1_*が一致しているかど うか比較されます。

CLK_COR_SEQ_1_1 10 ビッ ト バイナリ

CLK_FOR_SEQ_1_ENABLE[0] = 1 の場合に比較される 初のクロ ッ ク コレクシ ョ ン シーケンス 1 です。

CLK_COR_SEQ_1_2 10 ビッ ト バイナリ

CLK_FOR_SEQ_1_ENABLE[1] = 2 の場合に比較される 初のクロ ッ ク コレクシ ョ ン シーケンス 1 です。

表 4-37 : RX クロック コレクシ ョ ンの属性 (続き)

属性 タイプ 説明

Page 204: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

204 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

CLK_COR_SEQ_1_3 10 ビッ ト バイナリ

CLK_FOR_SEQ_1_ENABLE[2] = 3 の場合に比較される 初のクロ ッ ク コレクシ ョ ン シーケンス 1 です。

CLK_COR_SEQ_1_4 10 ビッ ト バイナリ

CLK_FOR_SEQ_1_ENABLE[3] = 4 の場合に比較される 初のクロ ッ ク コレクシ ョ ン シーケンス 1 です。

CLK_COR_SEQ_2_USE 文字列 TRUE に設定する と、 常に使用される CLK_COR_SEQ_1_* に追加して、

2 つ目のクロ ッ ク コレクシ ョ ン シーケンス (CLK_COR_SEQ_2_*) が使用

されます。

CLK_COR_SEQ_2_ENABLE 4 ビッ ト バイナリ

2 つ目のクロッ ク コレクシ ョ ン シーケンスのマスク イネーブル ビッ トです。

CLK_FOR_SEQ_2_ENABLE[0] は、 CLK_COR_SEQ_2_1 のマスク ビッ トです。

CLK_FOR_SEQ_2_ENABLE[1] は、 CLK_COR_SEQ_2_2 のマスク ビッ トです。

CLK_FOR_SEQ_2_ENABLE[2] は、 CLK_COR_SEQ_2_3 のマスク ビッ トです。

CLK_FOR_SEQ_2_ENABLE[3] は、 CLK_COR_SEQ_2_4 のマスク ビッ トです。

CLK_FOR_SEQ_2_ENABLE[*] = 0 の場合、対応する CLK_COR_SEQ_2_*は DON'T CARE と して処理、 または比較しないで自動的に一致として処理

されます。

CLK_FOR_SEQ_2_ENABLE[*] = 1 の場合、対応する CLK_COR_SEQ_2_*が一致しているかど うか比較されます。

CLK_COR_SEQ_2_1 10 ビッ ト バイナリ

CLK_FOR_SEQ_2_ENABLE[0] = 1 の場合に比較される 2 番目のクロ ッ

ク コレクシ ョ ン シーケンス 1 です。

CLK_COR_SEQ_2_2 10 ビッ ト バイナリ

CLK_FOR_SEQ_2_ENABLE[1] = 1 の場合に比較される 2 番目のクロ ッ

ク コレクシ ョ ン シーケンス 2 です。

CLK_COR_SEQ_2_3 10 ビッ ト バイナリ

CLK_FOR_SEQ_2_ENABLE[2] = 1 の場合に比較される 2 番目のクロ ッ

ク コレクシ ョ ン シーケンス 3 です。

CLK_COR_SEQ_2_4 10 ビッ ト バイナリ

CLK_FOR_SEQ_2_ENABLE[3] = 1 の場合に比較される 2 番目のクロ ッ

ク コレクシ ョ ン シーケンス 4 です。

RX_DATA_WIDTH 整数 RXDATA ポートのビッ ト幅を設定します。 8B/10B エンコーダーが有効の

場合、RX_DATA_WIDTH は 20 ビッ ト または 40 ビッ トで設定される必要

があ り ます。 有効な値は、 16、 20、 32、 および 40 です。

詳細は、 226 ページの 「インターフェイス幅の設定」 を参照してください。

表 4-37 : RX クロック コレクシ ョ ンの属性 (続き)

属性 タイプ 説明

Page 205: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 205UG482 (v1.8) 2016 年 6 月 21 日

RX クロック コレクシ ョ ン

RX クロック コレクシ ョ ンの使用

このセクシ ョ ンでは、 レシーバーのクロ ッ ク コレクシ ョ ン機能を使用する際の手順を説明します。

クロック コレクシ ョ ンの有効化

GTP ト ランシーバーには、 クロ ッ ク コレクシ ョ ン回路が備わっています。 この回路は、 RX エラス

ティ ッ ク バッファーのポインターを制御するこ とによ り、ク ロ ッ ク コレクシ ョ ンを実行します。ク

ロ ッ ク コレクシ ョ ンを使用するには、 RXBUF_EN を TRUE に設定して RX エラスティ ッ ク バッ

ファーを有効にし、CLK_CORRECT_USE を TRUE に設定してクロ ッ ク コレクシ ョ ン回路をオン

にします。

RX エラスティ ッ ク バッファーのレイテンシが大きすぎる、または小さすぎる場合にクロ ッ ク コレ

クシ ョ ン回路が一致シーケンスを検出する と、 ク ロ ッ ク コレクシ ョ ンが ト リガーされます。 ク ロ ッ

ク コレクシ ョ ンを使用する場合は、 ク ロ ッ ク コレクシ ョ ン回路に対して次の設定を行う必要があ

り ます。

• RX エラスティ ッ ク バッファーの制限

• ク ロ ッ ク コレクシ ョ ン シーケンス

RX_DISPERR_SEQ_MATCH 文字列 デコード されたバイ トのディ スパリティ エラーがチャネル ボンディング

やクロ ッ ク コレクシ ョ ン シーケンスのインジケーターと一致すべきかを

指定します。

TRUE : ディ スパリティ エラー ステータスが一致。

FALSE : ディ スパリティ エラー ステータスを無視。

ALIGN_COMMA_WORD 整数 マルチバイ トのデータパスで検出されたカンマのアライ メ ン ト を制御し

ます。

1 : 2 バイ ト インターフェイスの場合は 2 バイ ト 、 4 バイ ト インター

フェイスの場合は 4 バイ トのいずれかのバイ トにカンマを揃える。

カンマは、 RXDATA 出力の偶数バイ ト または奇数バイ トのいずれかに

揃えるこ とができる。

2 : カンマを偶数バイ トにのみ揃える。 揃えられたカンマは、 2 バイ ト インターフェイスの場合は偶数バイ トの RXDATA[9:0]、 4 バイ ト イン

ターフェイスの場合は RXDATA[9:0]/RXDATA[29:20] に確実に揃えら

れる。

ALIGN_COMMA_WORD および RX_DATA_WIDTH の異なる設定で利用

できるカンマ アライ メン トの境界の詳細は、 図 4-30 を参照してください。

カンマを偶数位置および奇数位置に送信するプロ ト コルでは、

ALIGN_COMMA_WORD を 1 に設定します。

表 4-37 : RX クロック コレクシ ョ ンの属性 (続き)

属性 タイプ 説明

Page 206: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

206 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

RX エラステ ィ ック バッファーの制限の設定

RX エラスティ ッ ク バッファーの制限は、 CLK_COR_MIN_LAT ( 小レイテンシ) および CLK_COR_MAX_LAT ( 大レ イテンシ) を使用して設定されます。 RX エラ ステ ィ ッ ク バッ

ファー内のバイ ト数が CLK_COR_MIN_LAT 未満になる と、 バッファーのアンダーフローを回避

するため、 ク ロ ッ ク コレクシ ョ ン回路は 初のクロ ッ ク コレクシ ョ ン シーケンス含まれていた同

様の CLK_COR_SEQ_LEN バイ ト を追加で書き込みます。 これと同じよ うに、 RX エラスティ ッ

ク バッファーのバイ ト数が CLK_COR_MAX_LAT を越える と、 ク ロ ッ ク コレ クシ ョ ン回路は、

初のク ロ ッ ク コレクシ ョ ン シーケンスに含まれていた同様の CLK_COR_SEQ_LEN バイ ト を

削除し、 シーケンスの 初のバイ トから開始します。 7 Series FPGA Transceivers Wizard がアプリ

ケーシ ョ ン要件に応じて適切な CLK_COR_MIN_LAT および CLK_COR_MAX_LAT の設定値を

選択します。 適な性能を保持するためにウ ィザードで選択された値に従い、 これらを上書きしな

いよ うにします。

クロック コレクシ ョ ン シーケンスの設定

ク ロ ッ ク コレクシ ョ ン シーケンスは、CLK_COR_SEQ_1_* の属性および CLK_COR_SEQ_LENを使用してプログラムされます。CLK_COR_SEQ_1_* の属性はそれぞれ、 クロ ッ ク コレクシ ョ ン

シーケンス 1 のサブシーケンス 1 つに対応します。CLK_COR_SEQ_LEN は、一致させるサブシー

ケンス数の設定に使用されます。 40 または 20 ビッ ト の内部データパス幅が使用される場合、 ク

ロ ッ ク コレクシ ョ ン回路は各サブシーケンスの 10 ビッ トすべてを一致させます。一方、 16 または

32 ビッ トの内部データパス幅が使用される場合は、各サブシーケンスの右から 8 ビッ トのみが使用

されます。

CLK_COR_SEQ_2_USE を TRUE に設定するこ とで、2 番目の代替クロ ッ ク コレクシ ョ ン シーケ

ンスをアクティブにできます。 初および 2 番目のシーケンスでは、 長さの設定は共通ですが、 一

致させるシーケンスに異なる値を使用します。 2 番目のシーケンスのサブシーケンスを定義するに

は、 CLK_COR_SEQ_2_* 属性を設定します。

8B/10B デコード (RX8B10BEN は High) を使用する場合、 CBCC_DATA_SOURCE_SEL はDECODED に設定され、 シーケンス一致にデコード されていないデータではなく、 8B/10B デコー

ダー出力を検索します。 これによって、 回路では正または負のディ スパリティを持つ 8 ビッ ト値の

検索が可能とな り、 K 符号と通常のキャラ クターを区別できるよ うになり ます (詳細は、 83 ページ

の 「TX 8B/10B エンコーダー」 および 176 ページの 「RX 8B/10B デコーダー」 参照)。図 4-45 に、

RX8B10BEN が High で、 CBCC_DATA_SOURCE_SEL が DECODED に設定されている場合の

クロ ッ ク コレクシ ョ ン シーケンスの設定方法を示します。

CBCC_DATA_SOURCE_SEL が ENCODED に設定されている場合、 シーケンス と入力される生

データが正確に一致する必要があ り ます。 RX_DISPERR_SEQ_MATCH が FALSE に設定されて

いる場合、 CLK_COR_SEQ_x_y[9] は一致させる際に使用されません。

X-Ref Target - Figure 4-45

図 4-45 : CBCC_DATA_SOURCE_SEL = DECODED の場合の

クロック コレクシ ョ ン シーケンス設定

UG482_c4_27_111011

CLK_COR_SEQ_x_y 9 8 7:0

8-bit Clock Correction Sequence

1 = Sequence is a K Character0 = Sequence is a Regular Character

1 = Sequence Uses Inverted Disparity0 = Sequence Uses Regular Disparity

Page 207: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 207UG482 (v1.8) 2016 年 6 月 21 日

RX クロック コレクシ ョ ン

一部のプロ ト コルでは、 Don't Care サブシーケンスを含むクロ ッ ク コレクシ ョ ン シーケンスが使

用されます。 CLK_COR_SEQ_1_ENABLE および CLK_COR_SEQ_2_ENABLE を使用して、 ク

ロ ッ ク コレクシ ョ ン回路がこれらのシーケンスを認識するよ うにプログラムできます。シーケンス

のイネーブル ビッ ト が Low のと き、 そのバイ トは、 値にかかわらず一致します。 図 4-46 に、 ク

ロ ッ ク コレ クシ ョ ン シーケンス と ク ロ ッ ク コレ クシ ョ ン シーケンスのイネーブル ビ ッ ト間の

マッピングを示します。

エラスティ ッ ク バッファーを通るカンマ アライ メン ト を保持するには、表 4-38 で示す値に従う よ

うに CLK_COR_SEQ_LEN および ALIGN_COMMA_WORD を選択する必要があ り ます。

クロック コレクシ ョ ンのオプシ ョ ン

ク ロ ッ ク コレクシ ョ ンの周波数制御には、 CLK_COR_REPEAT_WAIT を使用します。 この値は、

クロ ッ ク コレクシ ョ ン イベン ト間に必要な RXUSRCLK サイクルの 小数に設定する必要があ り

ます。 ク ロ ッ ク コレクシ ョ ンを随時実行可能にする場合は、 この属性を 0 に設定します。一部のプ

ロ ト コルはク ロ ッ ク コレクシ ョ ンを随時実行可能ですが、 ク ロ ッ ク コレクシ ョ ン回路からシーケ

ンスを削除する際に、 ス ト リームに 少 1 シーケンス残す必要があ り ます。 これが要件となってい

るプロ ト コルの場合、 CLK_COR_KEEP_IDLE を TRUE に設定します。

クロック コレクシ ョ ンのモニタ リング

ク ロ ッ ク コレクシ ョ ン回路は、 RXCLKCORCNT および RXBUFSTATUS ポート を使用してモニ

ターできます。 表 4-36 の RXCLKCORCNT の項目に、 ク ロ ッ ク コレクシ ョ ン回路のステータス

を決定するための RXCLKCORCNT 値のデコード方法が説明されています。 表 4-36 の RXBUFSTATUS の項目に、 RX エラスティ ッ ク バッファーをどこまで満たすかを決定するための

RXBUFSTATUS 値のデコード方法が説明されています。

X-Ref Target - Figure 4-46

図 4-46 : クロック コレクシ ョ ン シーケンスのマッピング

表 4-38 : 有効な ALIGN_COMMA_WORD/CLK_COR_SEQ_LEN の組み合わせ

ALIGN_COMMA_WORD CLK_COR_SEQ_LEN

1 1、 2、 4

2 2、 4

UG482_c4_28_111011

4

CLK_COR_SEQ_x_4 CLK_COR_SEQ_x_3 CLK_COR_SEQ_x_2

CLK_COR_SEQ_x_ENABLE

CLK_COR_SEQ_x_1

3 2 1

Page 208: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

208 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

RX チャネル ボンディング

機能の説明

XAUI や PCI Express などのプロ ト コルは、 複数のシ リ アル ト ランシーバー チャネルを組み合わ

せて 1 つの高スループッ ト チャネルを構築します。 この結合したシ リ アル ト ランシーバー チャネ

ルをレーンと呼びます。 これらすべてのシ リ アル チャネルがまったく同じ長さでない限り、 レーン

間のスキューによってデータは同時に送信されますが、到達するタイ ミ ングにずれが生じます。チャ

ネル ボンディングでは、 RX エラスティ ッ ク バッファーを可変レイテンシ ブロ ッ ク と して使用す

るこ とによ り、 GTP ト ランシーバー レーン間のスキューが相殺されます。 チャネル ボンディング

は、 チャネルのスキュー調整やレーン間のスキュー調整と もいわれています。 結合されたチャネル

に使用される GTP ト ランシーバー ト ランス ミ ッ ターは、すべてのチャネル ボンディング キャラ ク

ター (キャラ ク ター シーケンス) を同時に送信します。 シーケンスが受信される と き、 GTP ト ラン

シーバー レシーバーが各レーン間のスキューを判断して RX エラスティ ッ ク バッファーのレイテ

ンシを調整します。 このため、RX ファブリ ッ ク ユーザー インターフェイスにスキューのないデー

タが現れます。

X-Ref Target - Figure 4-47

図 4-47 : チャネル ボンディングの詳細図

Elastic Buffer GTP0 (Master)

GTP1 (Slave)

UG482_c4_29_111011

DeskewedData

RX Data in Two Clock CyclesAhead of GTP1 Data

Set to 4 Cycles of Latency byChannel Bonding Controller

data dataSEQ4

SEQ3

SEQ2

SEQ1

Elastic BufferRX Data in Two Clock CyclesBehind GTP0 Data

Set to 2 Cycles of Latency byChannel Bonding Controller

data dataSEQ4

SEQ3

SEQ2

SEQ1

data dataSEQ4

SEQ3

SEQ2

SEQ1

DeskewedData

data dataSEQ4

SEQ3

SEQ2

SEQ1

Page 209: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 209UG482 (v1.8) 2016 年 6 月 21 日

RX チャネル ボンディング

RX チャネル ボンディングは、8B/10B エンコード されたデータをサポート しますが、次のエンコー

ド データはサポート されていません。

• 64B/66B

• 64B/67B

• 128B/130B

• 暗号データ

ポートおよび属性

表 4-39 に、 RX チャネル ボンディングで必要なポート を示します。

表 4-39 : RX チャネル ボンディングのポート

ポート 方向 クロック ド メ イン 説明

RXCHANBONDSEQ 出力 RXUSRCLK2 RXDATA にチャネル ボンディング シーケンスの開始が含まれる

と High になり ます。

RXCHANISALIGNED 出力 RXUSRCLK2 RX エラスティ ッ ク バッファーからの信号です。 データ ス ト リー

ム内の確認されたチャネル ボンディング シーケンスに従って、

チャネルがマスター ト ランシーバーと適切に揃えられる と High になり ます。 揃えられていないチャネル ボンディング シーケンス

が検出され、チャネル アライ メン トが失われた場合は Low になり

ます。

RXCHANREALIGN 出力 RXUSRCLK2 RX エラスティ ッ ク バッファーからの信号です。レシーバーで ト ラ

ンシーバーとマスター間のアライ メン トが変更される と、少なく と

も 1 サイ クル間 High を保持します。

RXCHBONDI[3:0] 入力 RXUSRCLK スレーブ専用のチャネル ボンディングを制御するポートです。 こ

れらのポート を使用して、 マスター GTP ト ランシーバーの RXCHBONDO ポート、またはマスター GTP ト ランシーバーから

接続されているデイジー チェーン上のスレーブ GTP ト ランシー

バーの RXCHBONDO ポートから、チャネル ボンディング情報や

クロ ッ ク コレクシ ョ ン情報を受信します。

RXCHBONDO[3:0] 出力 RXUSRCLK チャネル ボンディングを制御するポートです。マスターから、ある

いはマスターと接続しているデイジー チェーン上のスレーブから

GTP ト ランシーバーへチャネル ボンディング情報やクロ ッ ク コレクシ ョ ン情報を送信するために使用されます。 マスター RXCHBONDO は、1 つまたは複数のスレーブ RXCHBONDI ポー

トへ接続できます。 スレーブ RXCHBONDO は、デイジー チェー

ンを構築してマスターから各スレーブへ情報を伝搬するため、次の

レベルのスレーブ RXCHBONDI へ接続する必要があ り ます。

RXCHBONDLEVEL[2:0] 入力 RXUSRCLK2 RX エラステ ィ ッ ク バッファーの制御信号に使用される内部パイ

プライン レベル (量) を示します。 値が大きいほど、 よ り レベル数

の多い RXCHBONDO および RXCHBONDI デイジー チェーンが

可能になり、配置配線制約が容易になり ます。 RX エラスティ ッ ク

バッファーを通る際に生じるレイテンシを 小にするには、 マス

ターの CHAN_BOND_LEVEL を、可能な限り も少ないデイジー

チェーン数にします。

Page 210: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

210 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

表 4-40 に、 RX チャネル ボンディングで必要な属性を示します。

RXCHBONDMASTER 入力 RXUSRCLK2 ト ランシーバーがチャネル ボンディングのマスターであるこ とを

示します。RXCHBONDO ポートは、1 つまたは複数のスレーブ トランシーバーの RXCHBONDI ポート を直接駆動します。

このポートは、RXCHBONDSLAVE と同時に High 駆動できません。

RXCHBONDSLAVE 入力 RXUSRCLK2 ト ランシーバーがチャネル ボンディングのスレーブであるこ とを

示します。 RXCHBONDI ポートは、別のスレーブまたはマスター

ト ランシーバーにある RXCHBONDO ポートによって駆動されま

す。 RXCHBONDLEVEL[2:0] の設定が 0 よ り も大きい場合、

RXCHBONDO ポートが 1 つまたは複数のスレーブ ト ランシー

バーの RXCHBONDI ポート を直接駆動するこ とがあ り ます。

このポートは、 RXCHBONDMASTER と同時に High 駆動できま

せん。

RXCHBONDEN 入力 RXUSRCLK2 チャネル ボンディングを有効にします (FPGA ロジッ クからマス

ターとスレーブの両方へ)。

表 4-39 : RX チャネル ボンディングのポート (続き)

ポート 方向 クロック ド メ イン 説明

表 4-40 : RX チャネル ボンディングの属性

属性 タイプ 説明

CHAN_BOND_MAX_SKEW 整数 整数スレーブにチャネル ボンディングの実行を命令する前に、 マス

ターが待機する USRCLK サイ クル数を制御します。 この属性によ

り、チャネル ボンディングで許容可能な 大スキューが決定します。

この値は、 常にチャネル ボンディング シーケンス間の 小距離の半

分 (バイ ト または 10 ビッ ト コード ) 未満とする必要があ り ます。 有

効な設定値は 1 ~ 14 です。

CHAN_BOND_KEEP_ALIGN 文字列 PCI Express デザインでチャネル ボンディング中に ALIGN キャラ

ク ターを保持します。

CHAN_BOND_SEQ_1_1

CHAN_BOND_SEQ_1_2

CHAN_BOND_SEQ_1_3

CHAN_BOND_SEQ_1_4

10 ビッ ト バイナリ

CHAN_BOND_SEQ_1 属性は、 CHAN_BOND_SEQ_1_ENABLEと併用してチャネル ボンディング シーケンス 1 を定義します。各サ

ブシーケンスの長さは 10 ビッ トです。 サブシーケンスの設定規則

は、 RX_DATA_WIDTH および CBCC_DATA_SOURCE_SEL によって決定します。

すべてのサブシーケンスを使用する必要はあ り ません。

CHAN_BOND_SEQ_LEN は、 一致させる際のシーケンス数を決定

します。 CHAN_BOND_SEQ_LEN = 1 の場合、

CHAN_BOND_SEQ_1_1 のみ使用します。

CHAN_BOND_SEQ_1_ENABLE を使用する と、シーケンスの一部

を Don't Care にできます。 CHAN_BOND_SEQ_1_ENABLE[k] が0 の場合、CHAN_BOND_SEQ_1_k は Don't Care サブシーケンス と

な り、 常に一致します。

CHAN_BOND_SEQ_1_ENABLE 4 ビッ ト バイナリ

Page 211: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 211UG482 (v1.8) 2016 年 6 月 21 日

RX チャネル ボンディング

CHAN_BOND_SEQ_2_1

CHAN_BOND_SEQ_2_2

CHAN_BOND_SEQ_2_3

CHAN_BOND_SEQ_2_4

10 ビッ ト バイナリ

CHAN_BOND_SEQ_2 属性は、 CHAN_BOND_SEQ_2_ENABLEと併用して 2 番目のチャネル ボンディングを定義します。

CHAN_BOND_SEQ_2_USE が TRUE の場合は、 2 番目のシーケン

スがチャネル ボンディングを ト リガーする代替シーケンス と して使

用されます。

各サブシーケンスの長さは 10 ビッ トです。サブシーケンスの設定規

則は、RX_DATA_WIDTH および CBCC_DATA_SOURCE_SEL によって決定します。

すべてのサブシーケンスを使用する必要はあ り ません。

CHAN_BOND_SEQ_LEN は、 一致させる際に使用するシーケンス

数を決定します。 CHAN_BOND_SEQ_LEN = 1 の場合、

CHAN_BOND_SEQ_2_1 のみ使用します。

CHAN_BOND_SEQ_2_ENABLE を使用する と、シーケンスの一部

を Don't Care にできます。 CHAN_BOND_SEQ_2_ENABLE[k] が0 の場合、CHAN_BOND_SEQ_2_k は Don't Care サブシーケンス と

な り、 常に一致します。

CHAN_BOND_SEQ_2_ENABLE 4 ビッ ト バイナリ

CHAN_BOND_SEQ_2_USE 文字列 2 つのチャネル ボンディング シーケンスを使用するかを指定します。

TRUE : チャネル ボンディングは、 シーケンス 1 または 2 で ト リ

ガー可能

FALSE : シーケンス 1 でのみト リガー

CHAN_BOND_SEQ_LEN 整数 スキューを検出するために ト ランシーバーが一致させるチャネル ボンディング シーケンスの長さをバイ トで定義します。有効な長さは、

1、 2 および 4 バイ トです。

CBCC_DATA_SOURCE_SEL 文字列 クロ ッ ク コレクシ ョ ンやチャネル ボンディング用のデータ ソース

の選択に使用します。

DECODED に設定した場合、 RX8B10BEN が High になる と 8B/10B デコーダーからのデータを選択します。

ENCODED に設定した場合、 カンマ検出およびリ アライ メン ト ブロ ッ クからのデータを選択します。

FTS_DESKEW_SEQ_ENABLE 4 ビッ ト バイナリ

FTS_LANE_DESKEW_CFG のイネーブル マスク ビッ トです。

FTS_DESKEW_SEQ_ENABLE[0] は FTS_LANE_DESKEW_CFG[0] のマスク ビッ トです。

FTS_DESKEW_SEQ_ENABLE[1] は FTS_LANE_DESKEW_CFG[1] のマスク ビッ トです。

FTS_DESKEW_SEQ_ENABLE[2] は FTS_LANE_DESKEW_CFG[2] のマスク ビッ トです。

FTS_DESKEW_SEQ_ENABLE[3] は FTS_LANE_DESKEW_CFG[3] のマスク ビッ トです。

デフォルト値は 1111 です。

表 4-40 : RX チャネル ボンディングの属性 (続き)

属性 タイプ 説明

Page 212: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

212 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

FTS_LANE_DESKEW_CFG 4 ビッ ト バイナリ

• ビッ ト 3 : スレーブで 1'b1 に設定する と、 適なチャネル アラ

イ メン トが保持されている場合でもス リ ップ 4、 スナップ 4、 ま

たはクロ ッ ク コレクシ ョ ンに続いて生じる、 誤ったアライ メン

トや間違って修正されたアライ メン トが実行されるのを回避する

ため、 アライ メン ト機能を停止させます。 スレーブで 1'b0 に設

定する と、 アライ メン ト機能の停止を解除します。

• ビッ ト 2 : ルッ クアヘッ ド制御ロジッ クで、 FTS OS の 後に到

達した、 FTS レーンのスキュー調整を実行しているマスター チャネルが、 ク ロ ッ ク コレクシ ョ ン コマンドの生成を短い間禁

止すべきかを指定します。 これは、 ク ロ ッ ク コレクシ ョ ン コマ

ンドがスレーブのス リ ップ 4 やスナップ 4 ロジッ クの動作に干渉

するのを回避するこ とが目的です。 ロジッ クは、 完全な SKP OS が存在する場合でも ク ロ ッ ク コレクシ ョ ンを必ず実行します。

• ビッ ト 1 : マスターよ り も先に、 FTS に続いてスレーブが SKP OS へ到達した場合、 FTS レーンのスキュー調整を実行している

スレーブ チャネルが 4 バイ ト (ス リ ップ 4) の即時バッ クワード アライ メン ト を実行するこ とを許可 (1'b1) または禁止 (1'b0) します。

• ビッ ト 0 : スレーブよ り も先に FTS に続いてマスターが SKP OS へ到達した場合、 FTS レーンのスキュー調整を実行しているス

レーブ チャネルが 4 バイ ト (スナップ 4) の即時フォワード アライ

メン ト を実行するこ とを許可 (1'b1) または禁止 (1'b0) します。

FTS_LANE_DESKEW_EN 文字列 TRUE に設定する と、 FTS レーンのスキュー調整用のチャネル ボン

ディング ロジッ クが有効になり ます。FTS レーンのスキュー調整は、

チャネル ボンディング シーケンス 1 と 2 を使用する標準アルゴ リズ

ムから独立しているため、 標準アルゴ リ ズム と同時に動作します。

FTS レーンのスキュー調整は、 2 バイ ト モードでのみ動作します。

PCS_PCIE_EN 文字列 GTP ト ランシーバーが PCI Express で使用される場合は、 この属性

を TRUE に設定し、その他のプロ ト コルで使用される場合は FALSEに設定します。チャネル ボンディング機能では、PIPE エンコードや

FTS レーンのスキュー調整をサポートするため、 この属性と併せて

TXCHARDISPMODE および TXCHARDISPVAL を使用する必要

があ り ます。また、GTP ト ランシーバーが電気的アイ ドルから復帰し

た後に以前のチャネル ボンディング情報を再利用するこ とで、 短い

シーケンス と一致させるため、 TXELECIDLE と併用もできます。

表 4-40 : RX チャネル ボンディングの属性 (続き)

属性 タイプ 説明

Page 213: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 213UG482 (v1.8) 2016 年 6 月 21 日

RX チャネル ボンディング

RX チャネル ボンディングの使用

このセクシ ョ ンでは、 レシーバーのチャネル ボンディング機能を使用する際の手順を説明します。

チャネル ボンディングの有効化

各 GTP ト ランシーバーには、 RX エラスティ ッ ク バッファーのポインターを制御するこ とでチャ

ネル ボンディングを実行する回路が含まれています。 チャネル ボンディングでは RX バッファー

を使用する必要があるため、 RXBUF_EN 属性を TRUE に設定します。

各 GTP ト ランシーバーにはチャネル ボンディング回路が 1 つあり ます。チャネル ボンディングを

実行するよ うに GTP ト ランシーバーを構成するには、 次の手順に従います。

1. 各 GTP ト ランシーバーにチャネル ボンディング モードを設定します。

2. マスター ト ランシーバーの RXCHBONDMASTER を High に接続します。

3. スレーブ ト ランシーバーの RXCHBONDSLAVE を High に接続します。

4. マスターからのチャネル ボンディング ポート を各スレーブに直接接続、またはデイジー チェー

ン接続します。

5. チャネル ボンディング シーケンスおよび検出パラ メーターを設定します。

チャネル ボンディングのモード

チャネル ボンディングのモードは、各 GTP ト ランシーバーのチャネル ボンディングを有効にする

べきか、 また ト ランシーバーがマスターかスレーブかを決定します。チャネル ボンディングが有効

となる GTP ト ランシーバーには、 マスターが 1 つと任意数のスレーブが必要です。 GTP ト ラン

シーバー グループのチャネル ボンディングをオンにするには、1 つのト ランシーバーをマスターに

設定し、 その他の GTP ト ランシーバーをすべてスレーブに設定してください。

RX_DATA_WIDTH 整数 RXDATA ポートのビッ ト幅を設定します。 8B/10B エンコーダーが

有効の場合、RX_DATA_WIDTH は 20 ビッ ト または 40 ビッ トで設

定される必要があ り ます。有効な値は、16、20、32、および 40 です。

詳細は、 226 ページの 「インターフェイス幅の設定」 を参照してくだ

さい。

RX_DISPERR_SEQ_MATCH 文字列 デコード されたバイ トのディ スパリティ エラーがチャネル ボンディ

ングやクロ ッ ク コレクシ ョ ン シーケンスのインジケーターと一致す

べきかを指定します。

TRUE : ディ スパリティ エラーが一致

FALSE : ディ スパリティ エラー ステータスを無視。

表 4-40 : RX チャネル ボンディングの属性 (続き)

属性 タイプ 説明

Page 214: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

214 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

チャネル ボンディング ポートの接続

チャネル ボンディングの実行には、グループのマスター GTP ト ランシーバー RXCHBONDO ポー

ト をすべてのスレーブの RXCHBONDI ポー ト に接続する必要があ り ます。 同じカラムに属する

GTP ト ランシーバーのみが、共にチャネル ボンディング可能です。隣接した GTP ト ランシーバー

は直接接続して ください。 マスターからスレーブへの直接接続の手順は、 次のとおりです。

1. マスターの RXCHBONDO ポート をスレーブの RXCHBONDI ポートに接続します。

2. マスター ト ランシーバーの RXCHBONDMASTER を High に接続します。

3. 各スレーブ ト ランシーバーの RXCHBONDSLAVE を High に接続します。

GTP ト ランシーバーが直接接続されている と、 ト ランシーバー間の距離が長くなるため、 タイ ミ ン

グ制約を満たすこ とが困難にな り ます。 この問題の解決策と して、 ト ランシーバーをデイジー

チェーン接続する とい う方法があ り ます。 デイジー チェーン接続は、 RXCHBONDLEVEL[2:0]ポート を使用し、 マスターおよびスレーブ間にパイプラ イン ステージを追加して行います。 各ス

レーブの RXCHBONDO ポートは、マスターからの RXCHBONDO パスでのパイプライン ステー

ジと して使用されます。 図 4-48 および図 4-49 に、 デイジー チェーンの例を 2 つ示します。

X-Ref Target - Figure 4-48

図 4-48 : チャネル ボンディングのデイジー チェーン例 (1)

RXCHBONDI

RXCHBONDO

RXCHBONDI

RXCHBONDO

RXCHBONDI

RXCHBONDO

RXCHBONDI

RXCHBONDO

RXCHANBONDMASTER = 0RXCHANBONDSLAVE = 1RXCHANBONDLEVEL[2:0] = 0

RXCHANBONDMASTER = 0RXCHANBONDSLAVE = 1RXCHANBONDLEVEL[2:0] = 1

RXCHANBONDMASTER = 0RXCHANBONDSLAVE = 1RXCHANBONDLEVEL[2:0] = 2

RXCHANBONDMASTER = 1RXCHANBONDSLAVE = 0RXCHANBONDLEVEL[2:0] = 3

UG482_c4_30_111011

Page 215: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 215UG482 (v1.8) 2016 年 6 月 21 日

RX チャネル ボンディング

デイジー チェーンを設定する場合、 初に RXCHBONDO および RXCHBONDI を使用して GTPト ランシーバーを接続し、各スレーブの RXCHBONDI ポートからマスターの RXCHBONDO ポー

トへのパスを作成します。チェーン内の GTP ト ランシーバーの RXCHANBONDLEVEL を設定す

る手順は、 次のとおりです。

1. マスターの RXCHANBONDLEVEL を 7 に設定します。

2. 各スレーブの RXCHANBONDLEVEL を、 スレーブの RXCHBONDI ポート を駆動している

GTP ト ランシーバーの RXCHANBONDLEVEL から 1 を引いた値に設定します。

3. も低いレベルのスレーブを見つけます。 GTP ト ランシーバーすべての RXCHANBONDLEVEL からこのレベルを減算し、 も低いスレーブのレベルが 0 とな り、マ

スターがすべてのスレーブ対して機能するための 少のレベル数となるよ うにします。

各 GTP ト ラ ンシーバーにおけるチャネル ボンディ ング ポー ト 間の接続が定義される と きは、

RXCHBONDI および RXCHBONDO が RXUSRCLK ク ロ ッ ク ド メ インに属しているこ とに注意

して ください。 RXUSRCLK の周波数増加に伴い、 また直接接続された ト ランシーバーの距離が離

れるに従って、 RXUSRCLK のタイ ミ ング制約を満たすこ とが困難になり ます。

デバイスの同じ片側半分にある GTP ト ランシーバーは互いに結合できます。 デバイスの上半分に

ある GTP ト ランシーバーは、上半分にある別の GTP ト ランシーバーと結合できます。デバイスの

下半分にある GTP ト ランシーバーは、 上半分にある GTP ト ランシーバーと結合できません。

タイ ミ ング制約が満たされている限り、1 つの RXCHANBONDLEVEL 上の GTP ト ランシーバー

数は制限されません。

X-Ref Target - Figure 4-49

図 4-49 : チャネル ボンディングのデイジー チェーン例 (2)

RXCHBONDI

RXCHBONDO

RXCHANBONDMASTER = 1RXCHANBONDSLAVE = 0RXCHANBONDLEVEL[2:0] = 2

RXCHBONDI

RXCHBONDO

RXCHBONDI

RXCHBONDO

RXCHBONDI

RXCHBONDO

RXCHANBONDMASTER = 0RXCHANBONDSLAVE = 1RXCHANBONDLEVEL[2:0] = 1

RXCHANBONDMASTER = 0RXCHANBONDSLAVE = 1RXCHANBONDLEVEL[2:0] = 0

UG482_c4_31_111011

Page 216: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

216 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

チャネル ボンディング シーケンスの設定

チャネル ボンディング シーケンスは、 ク ロ ッ ク コレクシ ョ ン シーケンスと同じ方法でプログラム

されます。 CHAN_BOND_SEQ_LEN はシーケンスの長さを設定し、 CHAN_BOND_SEQ_1_* はシーケンスの値を設定します。 CHAN_BOND_SEQ_2_USE が TRUE の場合、 CHAN_BOND_SEQ_2_* は 2 番目のシーケンスの値を設定します。 各サブシーケンスのアクテ ィブ ビッ ト数は、

RX_DATA_WIDTH および CBCC_DATA_SOURCE_SEL によって決定されます (199 ページの

「RX ク ロ ッ ク コレクシ ョ ン」 参照)。 RX_DISPERR_SEQ_MATCH が FALSE に設定されている

場合、 CHAN_BOND_SEQ_x_y[9] は一致させる際に使用されません。

図 4-50 に、 サブシーケンスのビッ トがどのよ うにマップされるかを示します。

ク ロ ッ ク コレクシ ョ ン シーケンス と同様、チャネル ボンディング シーケンスにも Don't Care サブ

シーケンスを含めるこ とができます。 CHAN_BOND_SEQ_1_ENABLE および CHAN_BOND_SEQ_2_ENABLE がこれらのバイ ト を設定します。図 4-51 に、チャネル ボンディング サブシーケ

ンスのイネーブル属性のマップを示します。

最大スキューの設定

チャネル ボンディング シーケンスがマスターで受信されても、 すぐにチャネル ボンディングが実

行されるわけではあ り ません。 スレーブにレイテンシがある場合は、 さ らに数バイ ト を受信する必

要があ り ます。 この待機時間が、 実質的には RX エラステ ィ ッ ク バッファーが許容可能な 大ス

キューとな り ます。 スキューが待機時間よ り も大きい場合、マスターがチャネル ボンディングを ト

リガーするまでに、 スレーブでシーケンスが受信されない可能性があ り ます。

図 4-52 に、 マスターとスレーブになっている 2 つの FIFO を示します。 スレーブがマスターの後

にある場合、 マスターはチャネル ボンディ ングを ト リ ガーするまで数サイ クル待機する必要があ

り、 待機しないと、 遅いスレーブのバッファーにはチャネル ボンディング シーケンスが含まれな

くな り ます。

X-Ref Target - Figure 4-50

図 4-50 : チャネル ボンディング シーケンスの設定

X-Ref Target - Figure 4-51

図 4-51 : チャネル ボンディング シーケンスのマッピング

CHAN BOND_SEQ_x_y

8-Bit Channel Bonding Sequence

1 = Sequence Uses Inverted Disparity0 = Sequence Uses Regular Disparity

7:089

1 = Sequence is a K Character0 = Sequence is a Regular Character

UG482_c4_32_111011

CHAN_BOND_SEQ_x_4 CHAN_BOND_SEQ_x_3 CHAN_BOND_SEQ_x_2 CHAN_BOND_SEQ_x_1

CHAN_BOND_SEQ_x_ENABLE 4 3 2 1UG482_c4_33_111011

Page 217: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 217UG482 (v1.8) 2016 年 6 月 21 日

RX チャネル ボンディング

CHAN_BOND_MAX_SKEW は、 各チャネル ボンディング シーケンス 1 および 2 で許容可能な

大スキューの設定に使用されます。 大スキューの範囲は 1 ~ 14 です。 この範囲は、 常にチャ

ネル ボンディング シーケンス間の 小距離の半分 (バイ ト または 10 ビッ ト コード ) 未満の必要が

あ り ます。 この 小距離の値は、 使用しているプロ ト コルに依存します。

チャネル ボンディングとクロック コレクシ ョ ン間の優先順位

ク ロ ッ ク コレクシ ョ ン (199 ページの「RX ク ロ ッ ク コレクシ ョ ン」参照) およびチャネル ボンディ

ングは、 共に RX エラスティ ッ ク バッファーのポインターで動作します。 通常、 2 つの回路は競合

するこ とな く機能しますが、 これらのイベン トが同時に発生する と競合が発生します。 このよ うな

場合は、 一方の回路を優先させる必要があ り ます。 ク ロ ッ ク コレ クシ ョ ンを優先させる場合は、

CLK_COR_PRECEDENCE を TRUE に設定し、 チャネル ボンディ ングを優先させる場合は、 こ

れを FALSE に設定します。

X-Ref Target - Figure 4-52

図 4-52 : チャネル ボンディングの例 (CHAN_BOND_MAX_SKEW = 2 および マスター RXCHANBONDLEVEL[2:0] = 1)

D1D2D3D4D5D6D7SEQ1

D1D2D3D4D5D6D7 D0

D4D5D6D7SEQ1D8D9D10

D4D5D6D7SEQ1D8D9 D3

D5D6D7SEQ1D8D9D10D11

D5D6D7SEQ1D8D9D10 D4SlaveElasticBuffer

MasterElasticBuffer

Slave’s New ElasticBuffer Read Pointer

Master receives CB Sequence

The master waits CHAN_BOND_MAX_SKEWcycles before triggering channel bonding, givingthe slave time to receive the sequence. The message to perform channel bonding is sentusing the RXCHBONDO port.

The RXCHANBONDLEVEL setting of the masterdetermines how many cycles later the bondingoperation is executed. At this time, the slave’selastic buffer pointers are moved so that the output is deskewed.

SlaveElasticBuffer

MasterElasticBuffer

SlaveElasticBuffer

MasterElasticBuffer

UG482_c4_34_111011

Page 218: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

218 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

RX ギアボックス

機能の説明

RX ギアボッ クスは、 64B/66B と 64B/67B のヘッダーおよびペイロードの分割をサポート します。

受信したデータのペイロード とヘッダーの出力ポート と して、 RXDATA[31:0] および RXHEADER[2:0] を使用します。 86 ページの 「TX ギアボッ クス」 と同様に、 RX ギアボッ クスも

シングル ク ロ ッ クを使用する PMA と共に動作します。 このため、出力データが無効になる可能性

があ り ます。 出力ポートの RXHEADERVALID および RXDATAVALID が、 適切なヘッダーおよ

びデータが有効な値であるかを示します。RX ギアボッ クスは、2 バイ トおよび 4 バイ トのインター

フェイスをサポート します。

RX ギアボッ クスから出力されるデータは、 アラ インされる必要はあ り ません。 アラ イ メ ン トは、

FPGA ロジッ クで実行されます。正確にアラインされるまで、RXGEARBOXSLIP ポート を使用し

てギアボッ クスから各サイクルごとにデータをス リ ップします。 ビッ トのス リ ップ動作が完了して

出力データが安定するまでには、 特定のサイクル数が必要です。 データのデスク ランブルとブロ ッ

クの同期化は FPGA ロジッ クで実行されます。

ポートおよび属性

表 4-41 に、 RX ギアボッ クスのポート を示します。

表 4-41 : RX ギアボックスのポート

ポート名 方向 クロック ド メイン 説明

RXDATAVALID[1:0] 出力 RXUSRCLK2 • ビッ ト 0 : ギアボッ クス 64B/66B または 64B/67B が使用される場

合、 RXDATA に現れたデータが有効であるかを示すステータス

出力。 たとえば 64B/66B エンコードの場合、 4 バイ ト インター

フェイスでは 32 サイ クルごとにディアサート され、 2 バイ ト インターフェイスでは 64 サイ クルごとにディアサート される

• ビッ ト 1 : 予約

RXGEARBOXSLIP 入力 RXUSRCLK2 High に遷移する と、ギアボッ クスの内容が次の可能なアライ メン トへ

ス リ ップします。 このポートは、 FPGA ロジッ ク とのアライ メン トに

使用されます。 このポート を RXUSRCLK2 クロ ッ クの 1 サイクル間

アサートする と、ギアボッ クスから出力されるデータ アライ メン トが

変更されます。

データを新たにリ アラインする場合は、RXGEARBOXSLIP を 低 1サイクル間ディアサート し、再びアサートする必要があ り ます。複数

のリアライ メン トが連続して実行される場合は、FPGA ロジッ クで正

しいアライ メン ト ポイン ト を認識しなくても、 適切なアライ メン ト

ポイン ト を渡すこ とができます。

RXHEADER[2:0] 出力 RXUSRCLK2 64B/66B (1:0) および 64B/67B (2:0) のヘッダー出力です。

RXHEADERVALID 出力 RXUSRCLK2 ギアボッ クスを使用する場合、 RXHEADER が有効であるこ とを示

します。

RXSTARTOFSEQ 出力 RXUSRCLK2 ギアボッ クス 64B/66B または 64B/67B が有効の場合、 現在の RXDATA 出力のシーケンス カウンターが 0 であるこ とを示します。

Page 219: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 219UG482 (v1.8) 2016 年 6 月 21 日

RX ギアボックス

表 4-42 に、 RX ギアボッ クスの属性を示します。

RX ギアボックスの有効化

GTP ト ランシーバーの RX ギアボッ クスを有効にする場合は、 RXGEARBOX_EN 属性を TRUEに設定します。 GEARBOX_MODE 属性によって GTP ト ランシーバーの TX および RX ギアボッ

クス使用モードを制御します。

RX ギアボックスの動作モード

RX ギアボッ ク スは、 FPGA ロジッ クに対して 2 バイ トおよび 4 バイ ト のインターフェイスをサ

ポート します。

図 4-53 に示すよ うに、 いずれのモード も RXGEARBOXSLIP 入力と RXHEADER、

RXDATAOUTVALID、 および RXHEADEROUTVALID 出力を使用します。

表 4-42 : RX ギアボックスの属性

属性 タイプ 説明

GEARBOX_MODE 3 ビッ ト バイナリ

TX および RX ギアボッ クス モードを示します。

• ビッ ト 2 : 0 に設定される。 未使用

• ビッ ト 1 : 0 に設定される。

0 : 外部シーケンス カウンターを使用し、 TX ギアボッ クスの TXSEQUENCE へ入力を適用する。

• ビッ ト 0 :

0 : Interlaken 用の 64B/67B ギアボッ クス モード

1 : 64B/66B ギアボッ クス

RXGEARBOX_EN 文字列 TRUE の場合、 RX ギアボッ クスが有効になり ます。

Page 220: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

220 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

図 4-54 に、 2 バイ ト インターフェイス (RX_DATA_WIDTH = 16 (2 バイ ト )) を使用した場合の

64B/66B エンコードで、 RX ギアボッ クスへ入力されるデータおよび RX ギアボッ クスから出力さ

れるデータの 初の 5 サイクルを例示します。

X-Ref Target - Figure 4-53

図 4-53 : ギアボックス (内部/外部シーケンス モード )

Design in FPGA LogicRX Gearbox

(in 7 Series FPGAsGTP Transceiver)

RXHEADER[2:0]

RXDATA[15:0] or RXDATA[31:0]

RXDATAVALID[1:0]

RXGEARBOXSLIP

RXHEADERVALID

RXSTARTOFSEQ

UG482_c4_35_111011

Page 221: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 221UG482 (v1.8) 2016 年 6 月 21 日

RX ギアボックス

X-Ref Target - Figure 4-54

図 4-54 : RX ギアボックスの動作

D15 D14 D5 D4 D3 D2……………

D15 D14 D3 D2………………………

D0 …………D15

D1 D0

D1 D0 D15 D14 D5 D4………………

D1 D0 D15 D14 D3 D2………………………

D0 ………

D15 D14 D5 D4 D3 D2………………

D1 D0 D5………………………

D0 …………D15

D4

D1 D0 D15 D14 D6 D5 D4………………

D3 D2 D5………………

D0 …………

D1 D0 D4D15 D14

D15

D3 D2

H1 H0

H1 H0

H1

H1 H0

D15

D14

D1 D0

H0

Input to the RXGearbox

Cycle N

Cycle N+3

RXHEADEROUTVALID = 1'b1RXDATAOUTVALID = 1'b1

RXHEADER RXDATA

Input to the RXGearbox

Cycle N+1

RXHEADEROUTVALID = 1'b0RXDATAOUTVALID = 1'b1

RXHEADER RXDATA

Input to the RXGearbox

Cycle N+2

RXHEADEROUTVALID = 1'b0RXDATAOUTVALID = 1'b1

RXHEADER RXDATA

Input to the RXGearbox

RXHEADEROUTVALID = 1'b0RXDATAOUTVALID = 1'b1

RXHEADER RXDATA

Output of theRXGearbox

Output of theRXGearbox

Output of theRXGearbox

Output of theRXGearbox

UG482_c4_36_111011

D15 D14 D4………………

D3 D2 D1 D0 D5………………

D0 …………

D15 D14 D4

Cycle N+4

Input to the RXGearbox

RXHEADEROUTVALID = 1'b1RXDATAOUTVALID = 1'b1

RXHEADER RXDATA

Output of theRXGearbox

D15 D14

Page 222: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

222 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

図 4-54 について説明します。

1. IEEE Std 802.3ae-2002 の命名規則に従って、 H1 は RxB0、 H0 は RxB1 などのよ うに対応し

ます。 RX ギアボッ クスはすべてのシーケンスを内部処理します。

この点では、 内部シーケンスか外部シーケンスのいずれかを処理する TX ギアボッ クスのオプシ ョ

ンとは異なり ます。2 バイ ト インターフェイスまたは 4 バイ ト インターフェイスのいずれを使用す

るかによって、RXDATAOUTVALID および RXHEADEROUTVALID 信号のアサート /ディアサー

ト期間は異なり ます。 データおよびヘッダーの長さに関しては、 RX ギアボッ クス と TX ギアボッ

クスで同じです。 図 4-55 に、 これらの長さを示すと共に、 1 サイ クル間ディアサート される RXHEADERVALID 信号と RXDATAVALID 信号を示します。 図 4-56 に、 RX_DATA_WIDTH =16 (2 バイ ト ) の場合での 64B/67B エンコードの動作を示します。

X-Ref Target - Figure 4-55

図 4-55 : 64B/66B エンコードおよび RX_DATA_WIDTH = 32 (4 バイ ト ) を使用した場合の RX ギアボックス

UG482_c4_37_111011

1

Da Db Dc Dd De XXX Df Dg Dh Di Dj Dk Dl Dm Dn

12

RXUSRCLK2

RXGEARBOXSLIP

RXHEADERVALID

RXHEADER[1:0]

RXDATAVALID

RXDATA[63:0]

Data pauses for 1 cycle.

X-Ref Target - Figure 4-56

図 4-56 : 64B/67B エンコードおよび RX_DATA_WIDTH = 16 (2 バイ ト ) を使用した場合の RX ギアボックス

UG482_c4_38_111011

1 1 110 06 6 62

Da Db Dc Dd De XXXDf Dg Dh Di Dj Dk Dl Dm

50

RXUSRCLK2

RXGEARBOXSLIP

RXHEADERVALID

RXHEADER[2:0]

RXDATAVALID

RXDATA[15:0]

Data pauses for 1 cycle. Data pauses for 2 cycles.

Page 223: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 223UG482 (v1.8) 2016 年 6 月 21 日

RX ギアボックス

RX ギアボックス ブロックの同期化

64B/66B および 64B/67B プロ ト コルは、 ブロ ッ クの同期によってその境界が決ま り ます。 すべて

の入力データはブロ ッ クがロ ッ ク されるまで安定しないため、 ブロ ッ クは同期化する必要があ り ま

す。 同期化するには、 データ アライ メン ト を変更して、 有効な同期ヘッダーを検出します。

RXGEARBOXSLIP 入力ポート を使用して、ギアボッ クスのデータ アライ メン ト を変更する と、す

べての可能なアライ メン ト をチェッ クできます。RXGEARBOXSLIP 信号がブロ ッ ク同期化ステー

ト マシンから RX ギアボッ クスへフ ィードバッ クするこ とで、 そのギアボッ クスにデータ アライ

メン ト をス リ ップするよ うに伝えます。 このプロセス (ス リ ップして同期ヘッダーをテス ト ) は、ブ

ロ ッ クがロ ッ ク されるまで繰り返されます。 RX ギアボッ クスを使用する場合、 FPGA ロジッ クで

はブロ ッ ク同期化ステート マシンが必要です。 図 4-57 に、 ブロ ッ ク同期化ステート マシンの動作

を示します。7 Series FPGA Transceivers Wizard に、このよ うなモジュールのコード例があ り ます。

Page 224: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

224 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

ステート マシンは、有効な同期ヘッダーと無効な同期ヘッダーを ト ラ ッキングするこ とで機能して

います。 リセッ ト時は、 ブロ ッ ク ロ ッ クがディアサート されてステートは LOCK_INIT にな り ま

す。次に、 RESET_CNT ステートへ遷移して、すべてのカウンターが 0 にリセッ ト されます。同期

ヘッダーは TEST_SH ステートで解析されます。 ヘッダーが有効の場合は、 VALID_SH ステート

で sh_cnt がインク リ メ ン ト されます。 無効の場合は、 INVALID_SH ステートで sh_count および

sh_invalid_count がインク リ メン ト されます。

X-Ref Target - Figure 4-57

図 4-57 : ブロック同期化ステート マシン

LOCK_INIT

block_lock <= falsetest_sh <= false

RESET_CNT

sh_cnt <= 0sh_invalid_cnt <= 0slip_done <= false

TEST_SH

test_sh <= false

VALID_SH

sh_cnt <= sh_cnt + 1

64_GOOD

block_lock <= true

SLIP

block_lock <= falseSLIP <= true

INVALID_SH

sh_cnt <= sh_cnt + 1sh_invalid_cnt <= sh_invalid_cnt + 1

sh_cnt = 64 AND sh_invalid_cnt = 0

sh_cnt = 64 AND sh_invalid_cnt > 0

sh_cnt = 64 AND sh_invalid_cnt < 16 AND block_lock = true

sh_invalid_cnt = 16 OR block_lock = false

slip_done = true

sh_valid = 0

Unconditional Transition

sh_valid = 1

test_sh = true AND sh_cnt < 64

test_sh = 1

Unconditional Transition

test_sh = true AND sh_cnt < 64 AND sh_invalid_cnt < 16 AND block_lock = true

UG482_c4_39_111011

Page 225: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 225UG482 (v1.8) 2016 年 6 月 21 日

RX ギアボックス

図 4-57 に示すブロ ッ ク同期化ステート マシンでは、 sh_cnt_max が 64 で sh_invalid_cnt_max が16 に設定されています。VALID_SH ステートでは、sh_cnt が sh_cnt_max 値よ り も小さ く、test_shが High のと きに TEST_SH ステートへ遷移します。 一方、 sh_cnt が sh_cnt_max と等し く、

sh_invalid_cnt が 0 のと きは、GOOD_64 ステートへ遷移して block_lock がアサート されます。そ

してプロセスが再び繰り返されてカウンターが 0 にク リ アされます。 ブロ ッ クのロ ッ クを完了する

には、 ステー ト マシンが有効な同期ヘッダーを sh_cnt_max の数だけ連続して (無効な同期ヘッ

ダーを受信せず) 受信する必要があ り ます。 しかし、 ブロ ッ クのロ ッ クが完了する と、 有効な同期

ヘッダーを sh_cnt_max の数だけ受信する間に、 sh_invalid_cnt_max - 1 数の無効な同期ヘッダー

を受信できます。 したがって、一度ロ ッ クする と、 ロ ッ クは解除されるこ とはほとんどあ り ません。

図 4-58 に、 ブロ ッ ク同期化ステート マシンの波形を示します。 このステート マシンは、 無効な同

期ヘッダーがあるため、 データ アライ メン トが完了する前までに多数の RXGEARBOXSLIP がア

サート されています。 RXGEARBOXSLIP が送信された後、 ステート マシンは RXUSRCLK2 の32 サイクル間待機してから、 有効な同期ヘッダーをチェッ ク します。

X-Ref Target - Figure 4-58

図 4-58 : RX ギアボックス (ブロックの同期化を実行)

UG482_c4_40_111011

Data alignmentachieved

Data check onunscrambled data

block_sync_i

unscrambled_data_i

begin_r

track_data_r

start_of_packet_detected_r

RXDATA

RXDATAVALID

RXGEARBOXSLIP

RXHEADER

RXHEADERVALID

RXSTARTOFSEQ

0

Slip dataalignment

Closely spaced slip pulses. State machineasserts slip as soon as it sees bad header.

0000000000000000

0000000000000000

Page 226: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

226 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

FPGA RX インターフェイス

機能の説明

FPGA RX インターフェイスは、 GTP ト ランシーバーの RX データパスへの入り口です。 アプ リ

ケーシ ョ ンは、 RXUSRCLK2 の立ち上がりエッジで RXDATA ポートからデータを読み出すこ と

で、 GTP ト ランシーバーからデータを受信します。 ポート幅は、 2 または 4 バイ トに設定できま

す。 実際のポート幅は、 RX_DATA_WIDTH 属性と RX8B10BEN ポートの設定に依存します。 有

効なポー ト幅は、 16、 20、 32、 および 40 ビッ ト です。 インターフェイスでのパラレル ク ロ ッ ク

(RXUSRCLK2) レートは、 RX ライン レート、 RXDATA ポート幅、 および 8B/10B デコードの使

用有無によって決定します。パラレル動作モードの場合、2 番目のパラレル ク ロ ッ ク (RXUSRCLK)は、 ト ランス ミ ッ ターの内部 PCS ロジッ クに使用する必要があ り ます。こ こでは、パラレル ク ロ ッ

クがどのよ うに駆動されるかを示し、 それらが正し く動作するための制約について説明します。

インターフェイス幅の設定

7 シ リーズ FPGA GTP ト ランシーバーには内部に 2 バイ トのデータパスがあ り ます。 FPGA イン

ターフェイス幅は、RX_DATA_WIDTH 属性で設定できます。8B/10B エンコーダーが有効の場合、

RX_DATA_WIDTH 属性は 20 ビッ ト または 40 ビッ トに設定される必要があ り ます。 そしてこの

場合、 FPGA RX インターフェイスは RXDATA ポートのみを使用します。 たとえば、 FPGA イン

ターフェイス幅が 16 の場合は、 RXDATA[15:0] が使用されます。 8B/10B デコーダーをバイパス

する場合、 RX_DATA_WIDTH は 16 ビッ ト 、 20 ビッ ト 、 32 ビッ ト、 または 40 ビッ トに設定でき

ます。

表 4-43 に、 RX データパスのインターフェイス幅がどのよ うに決定されるかを示します。 8B/10Bデコードについては、 176 ページの 「RX 8B/10B デコーダー」 で詳細に説明します。

8B/10B デコーダーがバイパスされて、 RX_DATA_WIDTH が 20 または 40 の場合は、

RXDISPERR および RXCHARISK ポート を使用して RXDATA ポート を 16 から 20 へ、 32 から

40 へ、 または 32 から 40 へ拡張します。 表 4-44 に、 8B/10B デコーダーが無効の場合の受信デー

タを示します。 RX ギアボッ クスを使用する場合のデータ転送順は、 218 ページの 「RX ギアボッ

クス」 を参照して ください。

表 4-43 : FPGA RX インターフェイスのデータパス設定

RX8B10BEN RX_DATA_WIDTHFPGA

インターフェイス幅内部データ幅

120 16 20

40 32 20

0

16 16 16

20 20 20

32 32 16

40 40 20

Page 227: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 227UG482 (v1.8) 2016 年 6 月 21 日

FPGA RX インターフェイス

RXUSRCLK および RXUSRCLK2 の生成

FPGA RX インターフェイスには、 2 つのパラレル ク ロ ッ ク (RXUSRCLK および RXUSRCLK2)があ り ます。 RXUSRCLK は、 GTP ト ランシーバー TX の PCS ロジッ ク用の内部ク ロ ッ クです。

RXUSRCLK で必要なレー ト は、 GTPE2_CHANNEL プ リ ミ テ ィブの内部データパス幅および

GTP ト ランシーバー TX の RX ライン レートによって決ま り ます。RXUSRCLK のレートは式 4-2から求められます。

式 4-2

RXUSRCLK2 は、 GTP ト ランシーバーの RX 側に入る信号すべてを同期化するためのプライマ リ

ク ロ ッ クです。 GTP ト ランシーバーの RX 側に入力されるほとんどの信号は、 RXUSRCLK2 の立

ち上がりエッジで取り込まれます。RXUSRCLK2 と RXUSRCLK は、RX_DATA_WIDTH の設定

に基づく固定されたレート関係があ り ます。表 4-45 に、DATA_WIDTH 値に対する RXUSRCLK2と RXUSRCLK の関係を示します。

RXUSRCLK と RXUSRCLK2 の関係には、 次のよ うな規則があ り ます。

• RXUSRCLK および RXUSRCLK2 は、 クロ ッ ク スキューを可能な限り 小限に抑え、立ち上

がりエッジで揃える必要があ り ます。 このため、 スキューが小さいクロ ッ ク リ ソース (BUFGおよび BUFH) を使用して RXUSRCLK および RXUSRCLK2 を駆動する必要があ り ます。

• ト ランス ミ ッ ターおよびレシーバーの基準クロ ッ クが同じオシレーターで駆動するよ うにチャ

ネルが構成されている と、TXOUTCLK を使用して TXUSRCLK および TXUSRCLK2 を駆動

する場合と同様の方法で、RXUSRCLK および RXUSRCLK2 が駆動できます。 ク ロ ッ ク コレ

クシ ョ ンがオフのと き、 または RX バッファーをバイパスする と きは、 RX 位相アライ メン ト

機能を使用してシ リ アル ク ロ ッ クおよびパラレル ク ロ ッ クを揃える必要があ り ます。

• チャネルの ト ランス ミ ッ ターおよびレシーバーの基準ク ロ ッ クを別々のオシレーターで駆動

し、 ク ロ ッ ク コレクシ ョ ンが未使用の場合は、 RXUSRCLK および RXUSRCLK2 は、

RXOUTCLK (RXOUTCLKPMA の場合は RXOUTCLKSEL = 3'b010) で分周して位相アラ

イ メン ト回路を使用する必要があ り ます。

表 4-44 : 8B/10B デコーダーをバイパスする場合での RX 受信データ

< < < 右から左へデータ受信 (LSB から MSB) < < <

39 38 37 36 35 34 33 32 31 30 29 28 27 26 25 24 23 22 21 20 19 18 17 16 15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0

受信

データ

RX

DIS

PE

RR

[3]

RX

CH

AR

ISK

[3]

RX

DA

TA[3

1:24

]

RX

DIS

PE

RR

[2]

RX

CH

AR

ISK

[2]

RX

DA

TA[2

3:16

]

RX

DIS

PE

RR

[1]

RX

CH

AR

ISK

[1]

RX

DA

TA[1

5:8]

RX

DIS

PE

RR

[0]

RX

CH

AR

ISK

[0]

RX

DA

TA[7

:0]

表 4-45 : RXUSRCLK2 と RXUSRCLK の周波数関係

FPGA

インターフェイス幅RX_DATA_WIDTH RXUSRCLK2 の周波数

2 バイ ト 16、 20 FRXUSRCLK2 = FRXUSRCLK

4 バイ ト 32、 40 FRXUSRCLK2 = FRXUSRCLK /2

RXUSRCLK RateLine Rate

Internal Datapath Width-------------------------------------------------------------------=

Page 228: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

228 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 4 章 : レシーバー

• ク ロ ッ ク コレクシ ョ ンを使用している場合は、 RXOUTCLK または TXOUTCLK をソースと

して RXUSRCLK および RXUSRCLK2 が使用可能です。

ポートおよび属性

表 4-46 に、 FPGA RX インターフェイスのポート を示します。

表 4-47 に、 FPGA RX インターフェイスの属性を示します。

表 4-46 : FPGA RX インターフェイスのポート

ポート 方向 クロック ド メ イン 説明

RXDISPERR[3:0] 出力 RXUSRCLK2 8B/10B デコードが無効の場合、 20 ビッ トおよび 40 ビッ ト RX インターフェイスのデータ バスの拡張に使用されます。

RXCHARISK[3:0] 出力 RXUSRCLK2 8B/10B デコードが無効の場合、 20 ビッ トおよび 40 ビッ ト RX インターフェイスのデータ バスの拡張に使用されます。

RXDATA[31:0] 出力 RXUSRCLK2 データ送信用のバスです。 ポート幅は RX_DATA_WIDTH によって決定します。

RX_DATA_WIDTH = 16、 20 :

RXDATA[15:0] = 16 ビッ ト幅

RX_DATA_WIDTH = 32、 40 :

RXDATA[31:0] = 32 ビッ ト幅

20 ビッ ト または 40 ビッ トのバスが必要な場合は、 8B/10B エン

コーダーの RXCHARISK および RXDISPERR ポート と RXDATA ポート を結合します (227 ページの表 4-44 参照)。

RXUSRCLK 入力 クロ ッ ク 内部 RX PCS データパスへのクロ ッ ク提供に使用します。

RXUSRCLK2 入力 クロ ッ ク FPGA ロジッ ク と RX インターフェイスの同期に使用します。

ユーザーが RXUSRCLK を提供する場合、 このク ロ ッ クは RXUSRCLK の立ち上がりエッジに揃う必要があ り ます。

表 4-47 : FPGA RX インターフェイスの属性

属性 タイプ 説明

RX_DATA_WIDTH 整数 RXDATA ポートのビッ ト幅を設定します。 8B/10B エンコーダーが有効の

場合、 RX_DATA_WIDTH は 20 ビッ ト または 40 ビッ トで設定される必要

があ り ます。 有効な値は、 16、 20、 32、 および 40 です。

詳細は、 226 ページの 「インターフェイス幅の設定」 を参照してください。

Page 229: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 229UG482 (v1.8) 2016 年 6 月 21 日

第 5 章

ボード デザインのガイド ライン

概要

この章では、 7 シ リーズの Artix™-7 FPGA GTP ト ランシーバーを使用するデザインを PCB 上に

実装する際のガイ ド ラ インを示します。 GTP ト ランシーバーはアナログ回路であるため、 PCB に実装する際には特別な配慮が必要です。デザインを正常に動作させるには、デバイス ピンの機能を

理解し、 デバイス インターフェイス、 伝送ラ インのインピーダンス と配線、 電源回路デザインの

フ ィルタ リ ングと分配、 コンポーネン ト選択、 PCB レイアウ ト と スタ ッ クアップ デザインなどの

問題に取り組む必要があ り ます。

ピンの説明およびデザインのガイド ライン

GTP ピンの説明

表 5-1 : GTP クワッ ド ピンの説明

ピン 方向 説明

MGTREFCLK0PMGTREFCLK0

入力 (パッ ド ) GTP ト ランシーバー クワ ッ ドの基準クロ ッ ク用の差動クロ ッ ク入力ピン ペアです。

MGTREFCLK1PMGTREFCLK1N

入力 (パッ ド ) GTP ト ランシーバー クワ ッ ドの基準クロ ッ ク用の差動クロ ッ ク入力ピン ペアです。

MGTPRXP0/MGTPRXN0MGTPRXP1/MGTPRXN1MGTPRXP2/MGTPRXN2MGTPRXP3/MGTPRXN3

入力 (パッ ド ) RXP と RXN は、GTP ト ランシーバー クワ ッ ドにある各レシーバーの差

動入力ペアです。

MGTTXP0/MGTPTXN0MGTTXP1/MGTPTXN1MGTTXP2/MGTPTXN2MGTTXP3/MGTPTXN3

出力 (パッ ド ) TXP と TXN は、 GTP ト ランシーバー クワ ッ ドにある各ト ランス ミ ッ

ターの差動出力ペアです。

MGTRREF 入力 (パッ ド ) 終端抵抗キャ リブレーシ ョ ン回路のキャ リブレーシ ョ ン抵抗入力ピンで

す。 MGTAVTT にも接続される 100Ω 抵抗へ接続します。

Page 230: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

230 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 5 章 : ボード デザインのガイド ライン

図 5-1 について説明します。

1. 公称値です。詳細は、『Artix-7 FPGA データシート : DC 特性およびスイ ッチ特性』 (DS181) を参照して ください。

MGTAVCC 入力 (パッ ド ) MGTAVCC は、 GTP ト ランシーバー クワ ッ ド タイルの内部アナログ回

路へ供給するアナログ電源ピンです。 この回路には、 PLL、 ト ランス ミ ッ

ター、およびレシーバー用のアナログ回路が含まれます。多くのパッケー

ジには、 その内部に MGTAVCC 用の電源接続グループが複数あ り ます。

特定の GTP クワ ッ ドが割り当てられている電源グループの詳細は、 パッ

ケージ ピンの説明を参照してください。 公称電圧は、 1.0VDC です。

MGTAVTT 入力 (パッ ド ) MGTAVTT は、 GTP クワ ッ ド タイルの ト ランス ミ ッ ター回路およびレ

シーバー回路へ供給するアナログ電源ピンです。 多くのパッケージには、

その内部に MGTAVTT 用の電源接続グループが複数あ り ます。 特定の GTP クワ ッ ドが割り当てられている電源グループの詳細は、 パッケージ ピンの説明を参照してください。 公称電圧は、 1.2VDC です。

表 5-1 : GTP クワッ ド ピンの説明 (続き)

ピン 方向 説明

X-Ref Target - Figure 5-1

図 5-1 : Artix-7 FPGA GTP 電源の接続

MGTAVTT

100 ohm1%

GTP Quad

MGTAVCC

1.0V (1)

MGTRREF

1.2V (1)

UG482_c5_01_080612

Page 231: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 231UG482 (v1.8) 2016 年 6 月 21 日

ピンの説明およびデザインのガイド ライン

終端抵抗キャリブレーシ ョ ン回路

各 GTP クワ ッ ドには抵抗キャ リブレーシ ョ ン回路 (RCAL) が 1 つあり ます。MGTRREF ピンを使

用し、 バイアス回路と外部キャ リブレーシ ョ ン抵抗を RCAL 回路へ接続します。 RCAL 回路は、

FPGA のコンフ ィギュレーシ ョ ン中にのみ抵抗キャ リブレーシ ョ ンを実行します。 コンフ ィギュ

レーシ ョ ン前に、 『Artix-7 FPGA データシート : DC 特性およびスイ ッチ特性』 (DS181) に記載さ

れている適切な耐性範囲内ですべてのアナログ電源電圧が供給されているこ とを確認する必要があ

り ます。

MGTRREF ピンは、 100Ω の外部精密抵抗のピンを介して MGTAVTT 電源ピンに接続する必要が

あ り ます。抵抗キャ リブレーシ ョ ン回路によって、MGTRREF ピンへ接続されている抵抗に制御さ

れた電流負荷がかけられます。 そして、 この回路は外部キャ リブレーシ ョ ン抵抗における電圧降下

を判断し、 その値に基づいて抵抗キャ リブレーシ ョ ン値を変更 (調整) します。 抵抗キャ リブレー

シ ョ ンの質は、 MGTRREF ピンでの電圧測定精度によって決ま り ます。

未使用 GTP ト ランシーバーの処理

通常のアプ リ ケーシ ョ ンでは、 すべての GTP ト ランシーバーを使用するのではなく、 一部のみ使

用します。 そこで、 Artix-7 FPGA の消費電力などに影響を与える未使用 GTP ト ランシーバーの処

理について考える必要があ り ます。 アプ リ ケーシ ョ ンで使用する GTP ク ワ ッ ド を選択する際は、

パッケージの電源プレーン構造を考慮して ください。 パッケージ内に複数のアナログ電源プレーン

がある と、 電力を効率よ く利用できるよ うにな り ます。 ご く一部の GTP ク ワ ッ ド しか使用できな

い場合は、 一部の GTP クワ ッ ドの電源を完全にオフ状態にできます。

X-Ref Target - Figure 5-2

図 5-2 : 7 シリーズ FPGA GTP ト ランシーバーの電源の内部接続

TxOOBandPCIe

PISO

MGTAVCC

MGTAVTT

PLL[0/1]

RefClkBuffer

RXEQ

MGTAVCC

MGTAVTT

MGTAVCC

TX Term.

MGTAVTT

TXOut

RXIn

MGTAVCC

Tx PCS

Rx PCSRx FabricData In

VCCINT

VCCINT

ExternalRefClkInput

MGTAVTT

RCAL

MGTAVTT

RRCALInterQuadDistribution

SIPO

MGTAVCC

TXDriver

RXCDR

MGTAVCC

UG482_c5_02_021113

MGTAVCC

InputTerm.

Tx Pre/ Post Emp

RXOOB

Tx FabricData Out

RefClocks From Other

Quads

Page 232: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

232 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 5 章 : ボード デザインのガイド ライン

アナログ電源ピン

Artix-7 FPGA GTP クワ ッ ドのアナログ電源 (MGTAVCC および MGTAVTT) には、パッケージ内

にプレーンがあ り ます。 パッケージによっては、 これらの各アナログ電源に対して複数のプレーン

があるものもあ り ます。 これらのプレーンはグループ別に分かれており、 G10 および G11 などの

グループ識別記号で表されます。電源グループの各 GTP クワ ッ ドについては、各 GTP クワ ッ ドの

電源ピンがパッケージ内の同じプレーンに接続されます。 各電源グループは、 パッケージ内に

MGTAVCC 電源プレーンおよび MGTAVTT 電源プレーンがあ り ます。 接続される Artix-7 FPGAGTP クワ ッ ドについては、 表 5-2 を参照して ください。

表 5-2 に示すよ うに、 カラム内のクワ ッ ドのグループ化には 2 通りあ り ます。

• パッケージ内の複数 GTP クワ ッ ドに対して 2 つの電源グループを持つデバイス

• 一部の GTP クワ ッ ドに対応する G10 電源グループ

• その他の GTP クワ ッ ドに対応する G11 電源グループ

• 1 つの GTP クワ ッ ドに対して単一の電源グループを持つデバイス

表 5-2 : Artix-7 デバイス、 パッケージ、 GTP ト ランシーバー、 および電源プレーン

Artix-7 FPGA GTP ト ランシーバー

MGT113 MGT213 MGT116 MGT216

XC7A15T-CPG326 単一(1)

XC7A15T-CSG325 単一

XC7A15T-FGG484 単一

XC7A35T-CPG236 単一

XC7A35T-CSG325 単一

XC7A35T-FGG484 単一

XC7A50T-CPG236 単一

XC7A50T-CSG325 単一

XC7A50T-FGG484 単一

XC7A75T-FGG484 単一

XC7A75T-FGG676 G10(2) G11(3)

XC7A100T-FGG484 単一

XC7A100T-FGG676 G10 G11

XC7A200T-SBG484 単一

XC7A200T-FBG484 単一

XC7A200T-FBG676 G10 G11

Page 233: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 233UG482 (v1.8) 2016 年 6 月 21 日

ピンの説明およびデザインのガイド ライン

表 5-3 に、 Zynq®-7000 AP SoC を接続した GTP クワ ッ ドを示します。

図 5-3 に、GTP クワ ッ ドのデバイス パッケージ ピン フ ィールドおよび電源グループの位置関係を

示します。 GTP クワ ッ ドのグループ化はデバイス パッケージに依存します。 FGG484、 FBG484、CPG236、 CLG484、 CSG325、 および SBG484 パッケージでは、 各 MGT 電源に 1 つの共通電源

プレーンを使用します。 FGG676 および FBG676 パッケージでは、 各 MGT 電源に 2 つの電源プ

レーンを使用します。 また、 FFG1156 パッケージでは、 各 MGT 電源に 2 つの電源プレーンを使

用します。

XC7A200T-FFG1156 G10 G10 G11 G11

注記 :

1. 単一 : GTP クワ ッ ドは 1 組の電源プレーン (MGTAVCC、 MGTAVTT) によって電源供給されます。

2. G10 : GTP クワ ッ ドはパッケージ電源プレーン G10 (MGTAVCC_G10、 MGTAVTT_G10) によって電源供給されます。

3. G11 : GTP クワ ッ ドはパッケージ電源プレーン G11 (MGTAVCC_G11、 MGTAVTT_G11) によって電源供給されます。 XC 製品の番号は、 XA および XQ の製品にも適用されます (該当する製品が供給されている場合)。

4. XC 製品の番号は、 XA および XQ の製品にも適用されます (該当する製品が供給されている場合)。

表 5-3 : Zynq-7000 デバイス、 パッケージ、 GTP ト ランシーバー、 および電源プレーン

Zynq-7000 AP SoC GTP ト ランシーバー

MGT112

XC7Z015-CLG485 単一

表 5-2 : Artix-7 デバイス、 パッケージ、 GTP ト ランシーバー、 および電源プレーン (続き)

Artix-7 FPGA GTP ト ランシーバー

MGT113 MGT213 MGT116 MGT216

Page 234: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

234 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 5 章 : ボード デザインのガイド ライン

図 5-3 について説明します。

1. (G10) および (G11) はパッケージ電源プレーンです。 GTP クワ ッ ドの電源接続は、 同じパッ

ケージ電源プレーンを使用するすべての GTP クワ ッ ドで共通です。 この記号が付かないデバ

イスには、 1 組の GTP パッケージ電源プレーンしかあ り ません。

X-Ref Target - Figure 5-3

図 5-3 : Artix-7 FPGA パッケージにおける GTP クワッ ドおよびパッケージ電源プレーン グループの位置関係

Top ViewXC7A15T-CPG236XC7A15T-CSG325XC7A15T-FGG484XC7A35T-CPG236XC7A35T-CSG325XC7A35T-FGG484XC7A50T-CPG236XC7A50T-CSG325XC7A50T-FGG484XC7A75T-FGG484XC7A100T-FGG484

TopViewXC7A75T-FGG676XC7A100T-FGG676

MGT216

Top View XC7A200T-FBG484XC7A200T-SBG484

MGT216(G11)

MGT213(G10)

MGT216 (G11)

MGT213(G10)

MGT116(G11)

MGT216(G11)

MGT113(G10)

MGT213(G10)

MGT216

MGTAVCC_G11MGTAVTT_G11

MGTAVCC_G10MGTAVTT_G10

UG482_c5_03_110514

Top ViewXC7A200T-FBG676

Top ViewXC7A200T-FFG1156

Page 235: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 235UG482 (v1.8) 2016 年 6 月 21 日

ピンの説明およびデザインのガイド ライン

未使用の GTP クワッ ド電源グループ

電源グループ内の GTP クワ ッ ドが 1 つも使用されていない場合、 GTP クワ ッ ドのピンを表 5-4 に示すよ うに接続できます。

一部未使用の GTP クワッ ド電源グループ

電源グループの一部の GTP クワ ッ ド しか使用しない場合は、 未使用の GTP クワ ッ ドのピンを

表 5-5 に示すよ うに接続します。この場合、電源ピンは適切な動作電源電圧に接続する必要があ り、

MGTRREF ピンは 100Ω 抵抗を介して MGTAVTT に接続します。

一部使用の GTP クワッ ド

Artix-7 FPGA GTP ク ワ ッ ドには 4 つの GTP ト ランシーバーがあ り ます。 1 ~ 3 つの ト ランシー

バーを使用する GTP クワ ッ ドの場合、 アナログ電源 (MGTAVCC および MGTAVTT) を接続する

必要があ り ます。 表 5-6 に、 未使用 GTP ト ランシーバーのクワッ ドへの接続を示します。

表 5-4 : 未使用 GTP クワッ ド カラムの接続

未使用 GTP クワッ ドのピンまたはピン ペア 接続

MGTAVCC GND

MGTAVTT GND

MGTREFCLKP/MGTREFCLKN フローティング

MGTRXP/MGTRXN GND

MGTTXP/MGTTXN フローティング

MGTRREF(1) GND

注記 :

1. これは、 MGTRREF ピンをグランド接続しても可能な場合に限り ます。 その他の場合、 これらのピンは通常動作用に接続する必要があ り ます。

表 5-5 : 未使用 GTP クワッ ド カラムの接続

未使用 GTP クワッ ドのピンまたはピン ペア 接続

MGTAVCC AVCC

MGTAVTT AVTT

MGTREFCLKP/MGTREFCLKN フローティング

MGTRXP/MGTRXN GND

MGTTXP/MGTTXN フローティング

MGTRREF 100Ω 抵抗を介する MGTAVTT

表 5-6 : 未使用 GTP クワッ ド カラムの接続

未使用 GTP クワッ ドのピンまたはピン ペア 接続

MGTAVCC AVCC

MGTAVTT AVTT

MGTREFCLKP/MGTREFCLKN フローティング (未使用の場合)

Page 236: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

236 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 5 章 : ボード デザインのガイド ライン

基準クロック

概要

このセクシ ョ ンでは、 基準クロ ッ ク ソースやオシレーターの選択について説明します。 オシレー

ターは、 次の特性で評価されます。

• 周波数範囲

• 出力電圧幅

• ジッター (予測可能、 ランダム、 Peak-to-Peak)

• 立ち上がりおよび立ち下がり時間

• 電源電圧および電流

• ノ イズ仕様

• デューティ サイクルおよびデューティ サイ クル耐性

• 周波数の安定性

これらの特性は、 GTP ト ランシーバー デザインで使用するオシレーターを決定する際の選択基準

です。図 5-4 に、シングルエンド ク ロ ッ ク入力の Peak-to-Peak 電圧幅を示します。この図は、図 5-5に示す差動クロ ッ ク入力の電圧幅との対比となるものです。

MGTRXP/MGTRXN GND

MGTTXP/MGTTXN フローティング

MGTRREF 100Ω 抵抗を介する MGTAVTT

表 5-6 : 未使用 GTP クワッ ド カラムの接続 (続き)

未使用 GTP クワッ ドのピンまたはピン ペア 接続

X-Ref Target - Figure 5-4

図 5-4 : シングルエンド クロック入力の Peak-to-Peak 電圧幅

MGTREFCLKP

UG482_c5_04_080612

Single-endedVoltage

MGTREFCLKN

+V

0

Page 237: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 237UG482 (v1.8) 2016 年 6 月 21 日

基準クロック

図 5-5 に、 MGTREFCLKP - MGTREFCLKN と規定され、 Artix-7 FPGA データシートの GTP トランシーバーに関する記載に使用されている差動クロ ッ ク入力の Peak-to-Peak 電圧幅を示します。

図 5-6 に、 基準クロ ッ クの立ち上がりおよび立ち下がり時間を示します。

図 5-7 に、 IBUFDS 内部の詳細を示します。 専用の差動基準ク ロ ッ ク入力ペア (MGTREFCLKP/MGTREFCLKN) が 100Ω の差動インピーダンスで内部終端されています。 この差動基準クロ ッ ク

入力ペアの同相電圧は 4/5 の MGTAVCC です (= 0.8V、 公称値)。 詳細は、 『Artix-7 FPGA データ

シート : DC 特性およびスイ ッチ特性』 (DS181) を参照してください。

X-Ref Target - Figure 5-5

図 5-5 : 差動クロック入力の Peak-to-Peak 電圧幅

MGTREFCLKP - MGTREFCLKN

VIDIFF

UG482_c5_05_072412

+V

0

–V

X-Ref Target - Figure 5-6

図 5-6 : 立ち上がりおよび立ち下がり時間

TRCLK

TFCLK

80%

20%

UG482_c5_06_080612

X-Ref Target - Figure 5-7

図 5-7 : MGTREFCLK 入力の詳細

UG482_c5_07_080612

REFCLK

To GTPDedicatedClockRouting

MGTREFCLKP

MGTREFCLKN

4/5 MGTAVCC

50 (1)Ω

50 (1)Ω

Page 238: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

238 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 5 章 : ボード デザインのガイド ライン

図 5-7 について説明します。

1. 公称値です。詳細は、『Artix-7 FPGA データシート : DC 特性およびスイ ッチ特性』 (DS181) を参照して ください。

GTP 基準クロックのチェ ック項目

GTP ト ランシーバー デザインで使用するオシレーターを選択する際には、 次の条件を満たしてい

るかを判断する必要があ り ます。

• オシレーターの出力ピンと GTP クワ ッ ド専用のクロ ッ ク入力ピン間に AC カップリ ングを提

供する。

• 基準クロ ッ クの差動電圧幅が DS181 で指定されている範囲である (公称範囲は 350mV ~ 2,000mV、 公称値は 1,200mV)。

• DS181 で指定された基準クロ ッ クの特性を満たす、 またはそれ以上である。

• GTP ト ランシーバーが物理層に対応する場合の、標準的な基準クロ ッ クの特性を満たす、 また

はそれ以上である。

• オシレーター ベンダーが提供する、電源、 ボード配置、およびノ イズ仕様に関する規定要件を

満たしている。

• オシレーターと GTP クワ ッ ドのクロ ッ ク入力ピン間には、 専用の Point-to-Point 接続を使用

する。

• 差動送信ライン上のインピーダンス断絶を 小限に抑える (インピーダンス断絶はジッターを

発生する)。

基準クロックのインターフェイス

LVDS

図 5-8 を参照してください。

X-Ref Target - Figure 5-8

図 5-8 : LVDS オシレーターと Artix-7 FPGA GTP の基準クロック入力の接続

0.1µF

LVDS Oscillator0.1µF

Internal to Artix-7 FPGA

GTP Reference Clock Input Buffer

UG482_c5_08_080612

Page 239: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 239UG482 (v1.8) 2016 年 6 月 21 日

基準クロック

LVPECL

図 5-9 を参照してください。

図 5-9 について説明します。

1. 公称値です。実際のバイアス抵抗要件は、オシレーター ベンダーが提供するデータシート を参

照して ください。

AC カップリングされた基準クロック

オシレーターの基準クロ ッ ク出力と GTP クワ ッ ドの基準クロ ッ ク入力の AC カップ リ ングは、 次

のよ うな役割を果たします。

• オシレーターと GTP ト ランシーバー クワ ッ ド専用のクロ ッ ク入力ピンの間における DC 電流

をブロ ッ ク します (これによ り、 両方の消費電力が削減される)。

• 同相電圧を独立させます。

• AC カップリ ング キャパシタがオンチップ終端を持つハイ パス フ ィルターとなり、基準クロ ッ

クのワンダーを低減させます。

ノ イズおよび消費電力を 小限にするには、 ソースとなっているオシレーターと GTP クワッ ド専用

の基準クロッ ク入力ピンの間に外部 AC カップリ ング キャパシタが必要です。

未使用基準クロック

未使用の差動クロ ッ ク入力ピン ペア (MGTREFCLKP および MGTREFCLKN の両方) は、 フロー

ティングのままにするこ とを推奨します。

基準クロックの電源

GTP の基準クロ ッ ク入力回路は、 MGTAVCC から電源供給されます。 この電圧に過剰なノ イズが

発生する と、 この回路からの基準クロ ッ クを使用する GTP ク ワ ッ ドのパフォーマンスが低下する

可能性があ り ます。

X-Ref Target - Figure 5-9

図 5-9 : LVPECL オシレーターと Artix-7 FPGA GTP の基準クロック入力の接続

0.1µF

0.1µF

Internal to Artix-7 FPGA

GTP Reference Clock Input Buffer

UG482_c5_09_080612

240 (1)

LVPECLOscillator

Ω

240 (1)Ω

Page 240: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

240 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 5 章 : ボード デザインのガイド ライン

電源およびフ ィルタ リング

概要

Artix-7 FPGA GTP ク ワ ッ ド に 2 つのアナロ グ電源が必要にな り ます。 公称電圧 1.0VDC のMGTAVCC および公称電圧 1.2VDC の MGTAVTT です。これらのアナログ電源の各ピンは、パッ

ケージのプレーンへ接続されます。 一部のパッケージには、 各アナログ電源に対して 2 つのプレー

ンがあ り ます。 Artix-7 FPGA GTP パッケージの内部電源プレーンの詳細は、 232 ページの 「アナ

ログ電源ピン」 を参照して ください。

GTP のアナログ電源でのノ イズは、ト ランシーバーのパフォーマンスに悪影響を与える可能性があ

り ます。つま り、 GTP ト ランス ミ ッ ターの出力でジッターが増加し、 レシーバーのジッター耐性が

低下します。 電源ノ イズの原因には、 次のよ うなものがあ り ます。

• 電圧レギュレータのノ イズ

• 電力分配ネッ ト ワーク

• ほかの回路からのカップリ ング

GTP アナログ電源を実装する際は、 これらのノ イズの原因を考慮する必要があ り ます。FPGA の入

力ピンで測定される Peak-to-Peak ノ イズの合計値は、 10mVPK-PK を超過できません。

電圧レギュレータ

多くのアプリ ケーシ ョ ンでは、GTP のアナログ電源には、電圧制御の 終段階を提供するローカル

電圧レギュレータがあ り ます。 これらのレギュレータは、 できる限り GTP の電源ピンの近くに配

置するこ とが理想です。 アナログ電圧レギュレータ と GTP 電源ピンの距離が短いほど、 制御後の

ノ イズ結合や動的な負荷による過渡電流が原因で生じる ノ イズ生成の可能性が抑えられます。

リニア レギュレータおよびスイッチング レギュレータ

使用する電圧レギュレータによって、電源回路の複雑性、 コス ト、および性能が大き く異なり ます。

電圧レギュレータは、 システム全体の熱要件や効率要件を満たしながら、 ノ イズを 小限に抑えて

GTP ト ランシーバーへ適切な電源を供給する必要があ り ます。 GTP アナログ電圧レールで使用さ

れるレギュレータは、 主に 2 種類 ( リ ニア レギュレータおよびスイ ッチング レギュレータ) あ り ま

す。 各レギュレータにはそれぞれに長所と短所があるため、 適なレギュレータを選択する際は、

次の要件を基準にします。

• 物理的サイズ

• 熱バジェッ ト

• 電力効率

• コス ト

リニア レギュレータ

一般的に リ ニア レギュレータは GTP アナログ電源レールの電圧制御と しては もシンプルなレ

ギュレータです。 これは、 制御された出力電圧に大きなノ イズを発生させないこ とが特徴です。 す

べてのリニア レギュレータが、電圧入力で生じたノ イズを出力で除去する機能を備えているわけで

はあ り ません。 リ ニア レギュレータのも う 1 つの長所は、 小限の外部コンポーネン トで PCB 上に電源回路を構築できるこ とです。

Page 241: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 241UG482 (v1.8) 2016 年 6 月 21 日

電源およびフ ィルタ リング

一方、 主な短所には 小ド ロ ップアウ ト電圧と制限される効率性があ り ます。 このレギュレータで

は、出力電圧よ り も高い入力電圧が必要であ り、 小ド ロ ップアウ ト電圧は負荷電流に依存します。

低ド ロ ップアウ トの リニア レギュレータであっても、レギュレータの入力電圧と出力電圧には 小

限の電圧差が必要です。 このため、 システム電源回路デザインでは、 リ ニア レギュレータの 小ド

ロ ップアウ ト電圧要件を確認しておく必要があ り ます。

リ ニア レギュレータの効率は、 その入力電圧と出力電圧の差に依存します。 たとえば、入力電圧が

2.5VDC で出力電圧が 1.2V の場合、電圧差は 1.3VDC です。レギュレータへ入力する電流とレギュ

レータから出力される電流が同じである と仮定した場合、 このレギュレータの 大効率は 48% とな り ます。 つま り、 負荷に対して電力が供給され、 そのたびにレギュレータが余分な電力を消費し

ます。 レギュレータが電力を消費する と熱が生成されるため、 システムではこれらの熱を処理する

必要があ り ます。 このよ うに リ ニア レギュレータで生成された熱の放熱処理が、 システム コス ト

を増加させる可能性があ り ます。 コンポーネン ト数や複雑性を考えた場合、 リ ニア レギュレータは

スイ ッチング レギュレータよ り も優位性があるよ うに思いますが、消費電力や放熱器を含む全体的

なシステム コス ト を考えた場合、 高電流アプリ ケーシ ョ ンではリ ニア レギュレータの方が高コス

トになる場合もあ り ます。

スイッチング レギュレータ

スイ ッチング レギュレータは、 GTP アナログ電源に対して優れた電圧制御を提供できる高効率レ

ギュレータです。 リニア レギュレータ とは異なり、 スイ ッチング レギュレータによる電圧制御は、

入力電圧と出力電圧の電圧降下に依存しません。 したがって、 高い効率を維持しながら、 大容量の

電流を供給できます。 スイ ッチング レギュレータが 95% 以上の効率性を維持できるこ とはめずら

し くあ り ません。 このレギュレータの効率は、 入力電圧と出力電圧の差にあま り影響されず、 また

負荷電流の影響も リニア レギュレータの場合よ り もはるかに低くな り ます。このよ うにスイ ッチン

グ レギュレータは高効率で、大量の電力を回路へ供給する必要がない上に、 レギュレータで生成さ

れる熱を放出するための大きな装置も必要あ り ません。

スイ ッチング レギュレータの短所は、回路の複雑性とレギュレータのスイ ッチ機能によってノ イズ

が生成されるこ とです。 通常、 スイ ッチング レギュレータの回路はリ ニア レギュレータの回路よ

り も複雑です。 近年、 スイ ッチング レギュレータ コンポーネン トの開発ベンダーの多くがこの短

所を解消する努力を続けています。 通常、 スイ ッチング レギュレータ回路には、 スイ ッチング トランジスタ エレ メン ト、 インダクター、およびキャパシタが必要です。求められる効率要件や負荷

要件によっては、 外部にスイ ッチング ト ランジスタやインダク ターが必要になる場合があ り ます。

コンポーネン ト数のほかにも、 これらのスイ ッチング レギュレータを効率よ く動作させるには、

PCB 上の配置配線を慎重に行う必要があ り ます。

スイ ッチング レギュレータは非常に大きなノ イズを生成するため、Artix-7 FPGA の GTP アナログ

電源入力ピンへ電圧を供給する前にフ ィルター機能を追加する必要があ り ます。 前述のとおり、 ノ

イズ振幅は 10mVPK-PK 未満に抑えてください。 したがって、 このノ イズ要件を満たすよ うに電源

フ ィルターを設計し、 スイ ッチング レギュレータで生成される ノ イズを抑えてください。

Page 242: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

242 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 5 章 : ボード デザインのガイド ライン

電力分配ネッ トワーク

さまざまな段階でのデカップリング

ダイ

ダイ上にはデカップリ ング キャパシタがあ り、電源で生じる高周波数ノ イズ コンポーネン トにフ ィ

ルターを使用します。 ダイ上の内部回路が高周波ノ イズの原因となる可能性があ り ます。

PCB (プリン ト回路基板)

PCB 上のデカップリ ング キャパシタは、 ト ランシーバーに与える電源ノ イズの影響を 小限に抑え

る という重要な役割を果たします。 電源プレーンとグランド プレーン間を低インピーダンスにする

こ とで、 PCB デカップリ ング キャパシタはパッケージ内の GTP ト ランシーバー間を分断します。

デカップリ ング キャパシタには基本的な機能が 2 つあり ます。その内の 1 つが、回路と回路を分断

し、 電源ソース と負荷回路を分断するこ とです。 電源とグランド間のインピーダンスを 小限にす

るこ とで、 ある回路によって電源で生じたノ イズが、 同じ電源を共有する別の回路の電源でノ イズ

を誘発しな くな り ます。 この場合、同じ FPGA 内の GTP ト ランシーバー間で生じる ノ イズ カップ

リ ングが問題となる可能性があ り ます。 また、 も う 1 つの機能と して、デカップリ ング キャパシタ

は電源ソース と負荷回路を分断します。

電源デカップリング キャパシタ

Artix-7 FPGA GTP ト ランシーバーのアナログ電源におけるデカップリ ング キャパシタの主な目的

は、 電源ソースや PCB 上のその他の回路から発生する ノ イズ振幅を低減させるこ とです。 表 5-7に、 MGTAVCC および MGTAVTT 電源の推奨フ ィルターを示します。

電源デカップリング キャパシタのレイアウトおよび配置

デカップ リ ング キャパシタの効果は、 PCB におけるそれらの配置および配線によって直接決定し

ます。ダイ上にあるキャパシタ間および電源プレーンとグランド プレーン間のパスのインダクタン

スは 小限に抑える必要があ り ます。 パスのインダクタンスが小さいほど、 負荷による過渡電流が

要因となって生じる電圧ノ イズも小さ くな り ます。

4.7µF 以上のキャパシタは、それぞれが近くに位置するよ うに、 FPGA ピン フ ィールド周囲の外側

に配置します。

0.1µF のキャパシタは、 可能な限り GTP ク ワ ッ ドの電源ピンの近くに配置します。 FPGA の下面

のボードの 下位層にキャパシタを配置するこ とで、 この要件を満たします。 これらのキャパシタ

の配置には、 オプシ ョ ンがあ り ます。

1 つ目のオプシ ョ ンと して、 0402 サイズのキャパシタで充填されたビアを使用します。 このサイズ

のキャパシタがビア間に適合します。 ビア ホールは、はんだウ ィ ッキングがビアで生じないよ うに

充填しておく必要があ り ます。0.1µF のキャパシタの配置および配線の例は、図 5-10 を参照してく

ださい。

表 5-7 : 推奨される電源フ ィルター キャパシタ

数量/電源グループ キャパシタンス

(µF) 許容率 タイプMGTAVCC MGTAVTT

1 1 4.7 10% セラ ミ ッ ク

2 2 0.1 10% セラ ミ ッ ク

Page 243: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 243UG482 (v1.8) 2016 年 6 月 21 日

電源およびフ ィルタ リング

2 つ目のオプシ ョ ンは、 0201 サイズのキャパシタ使用し、 それをビア間にマウン トする方法です。

その例を図 5-11 に示します。

X-Ref Target - Figure 5-10

図 5-10 : FPGA の下面のパッ ドにおける 0.1µF 0402 キャパシタの配置 (充填されたビアを使用)

1 mm.

BGA Pin Field Vias

Filled Via in Pad

0402 Capacitor

1 mm.View From Bottom of PCB

UG482_c5_10_072412

X-Ref Target - Figure 5-11

図 5-11 : FPGA の下面のパッ ドにおける 0.1µF 0201 キャパシタの配置 (充填されたビアを使用)

1 mm.BGA Pin Field Vias

Filled Via in Pad

0201 Capacitor

1 mm.View From Bottom of PCB

UG482_c5_11_072412

Page 244: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

244 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 5 章 : ボード デザインのガイド ライン

また、 別のオプシ ョ ンと して 0201 キャパシタを BGA ビア パッ ドに隣接してマウン トするこ と も

可能です。 これによ り、 パッ ドで充填されたビアを使用する必要がな くな り ます。 図 5-12 に、 こ

の配置の一例を示します。

GTP ト ランシーバーを持つ Artix-7 FPGA および Zynq-7000 AP SoC パッケージには、アナログ電

源ピンおよび隣接するグランド ピンがあ り ます。 表 5-8 から表 5-13 に、 0.1µF キャパシタをマウ

ン トするための、推奨される電源ピンとグランド ピンのペアを示します。 これらの表のガイダンス

および前述のレイアウ トおよび配置に関するガイダンスに従う と、 ダイ上の回路および PCB 上の

キャパシタ間のパスにおけるインダクタンスを 小限に抑えながら、 効果的な電源デカップ リ ング

を実現できます。

X-Ref Target - Figure 5-12

図 5-12 : FPGA の下面の PCB の最下層における 0.1µF 0201 キャパシタの配置

1 mm.BGA Pin Field Vias

Cover Via With Solder Mask

0201 Capacitor

1 mm.View From Bottom of PCB

UG482_c5_12_072412

表 5-8 : CLG485 パッケージ – 0.1µF キャパシタの配置

キャパシタパッケージ ピン 値

MGTAVCC MGTAVTT GND

Cap1 Y7 W7

0.1µFCap2 AA4 AB4

Cap3 U8 V8

Cap4 W5 Y5

表 5-9 : CPG236 パッケージ – 0.1µF キャパシタの配置

キャパシタパッケージ ピン 値

MGTAVCC MGTAVTT GND

Cap1 G7 G80.1µF

Cap2 B1 A1

Page 245: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 245UG482 (v1.8) 2016 年 6 月 21 日

電源およびフ ィルタ リング

Cap3 H9 H80.1µF

Cap4 C1 C2

表 5-10 : CSG325 パッケージ – 0.1µF キャパシタの配置

キャパシタパッケージ ピン 値

MGTAVCC MGTAVTT GND

Cap1 F3 F4

0.1µFCap2 A2 A1

Cap3 B4 B3

Cap4 F5 F6

表 5-11 : FGG484/FBG484/SBG484 パッケージ – 0.1µF キャパシタの配置

キャパシタパッケージ ピン

値MGTAVCC MGTAVTT GND

Cap1 D6 - C6

0.1µFCap2 D10 - C10

Cap3 - C4 C3

Cap4 - B11 B12

表 5-12 : FGG676/FBG676 パッケージ – 0.1µF キャパシタの配置

キャパシタ 電源グループパッケージ ピン

値MGTAVCC MGTAVTT GND

Cap1

G10

AC9 - AD9

0.1µF

Cap2 AA12 - AB12

Cap5 - AD7 AC7

Cap6 - AD15 AC15

Cap3

G11

D9 - E9

Cap4 D13 - C13

Cap7 - C7 D7

Cap8 - C15 D15

表 5-9 : CPG236 パッケージ – 0.1µF キャパシタの配置 (続き)

キャパシタパッケージ ピン 値

MGTAVCC MGTAVTT GND

Page 246: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

246 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 5 章 : ボード デザインのガイド ライン

クロス トーク

MGT のパフォーマンス低下の主な原因となるのがクロス トークです。ク ロス トーク とは、アグレッ

サー信号による信号ト レースとのカップリ ングや MGT 電源とのカップリ ングによって生じる ノ イ

ズ現象のこ とです。 特に、 2 つ目の電源ノ イズが も大きなダメージに繋がり ます。 電源でノ イズ

が生じる と、 信号ト レースのノ イズ カップ リ ングのよ うにシングル レーンへの影響ではな く、 ト

ランシーバー回路全体へ影響をおよぼします。 また、電源でノ イズ カップリ ングが生じた場合、 ノ

イズは ト ランシーバーの通常信号に巻き込まれているため、原因を解明するこ とが困難となり ます。

結果と して、 ト ランス ミ ッ ターの出力にノ イズが生じ、 レシーバーのジッター耐性が低下するこ と

で、 ト ランシーバーのパフォーマンスが低下します。

ク ロス トークによるパフォーマンスの低下を回避するには、 次を実行する必要があ り ます。

• メモ リ インターフェイスやプロセッサ バスのデータ ラインなどのボード上のほかの回路から

電力プレーンが影響を受けていないかをモニターします。

• 負荷ポイン ト周辺にある MGT の電源に対して適切なフ ィルタ リ ングを適用します。 ノ イズの

原因となる信号の大きさや周波数に基づいてフ ィルタ リ ング要件を判断します。 MGT 電源の

ノ イズは、 10mVPK-PK を超過しないよ うにし、 10kHz ~ 80MHz に維持する必要があ り ます。

• MGT 電力分配ネッ ト ワークに近接している信号ト レースのリ ターン電流パスに注意します。

同一層または隣接する層の上で生じる ト レースのブロードバンドおよびエッジ カップ リ ング

以外にも、異なる リ ファレンス プレーンがある層の間でアグレッサー信号が伝搬される場合に

は、 アグレッサー信号によるカップリ ングが生じます。 リ ターン電流パスを持たないビア部分

に信号が伝搬される と、 ボード上で次にインピーダンスが低いパスにリ ターン電流が流れるこ

とがあ り ます。 このと き犠牲となるのは、 MGT 信号ビアまたは電源ビアです。

表 5-13 : FFG1156 パッケージ – 0.1µF キャパシタの配置

キャパシタ 電源グループパッケージ ピン

値MGTAVCC MGTAVTT GND

Cap1

G10

AJ14 - AK14

0.1µF

Cap2 AJ20 - AK20

Cap5 - AN14 AP14

Cap6 - AN22 AP22

Cap3

G11

F14 - E14

Cap4 F20 - E20

Cap7 - B14 A14

Cap8 - B22 A22

Page 247: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 247UG482 (v1.8) 2016 年 6 月 21 日

SelectIO の使用ガイド ライン

SelectIO の使用ガイドライン

SelectIO™ インターフェイスを使用する環境では、 GTP ト ランシーバーのパフォーマンスが低下

する可能性があるため、GTP ト ランシーバーのパフォーマンスに与える影響を 小にする SelectIOの使用ガイ ド ラインを設けるこ とが必要になり ます。

PCB 上で GTP ト ランシーバーのデータ信号を配線する場合は、次のガイ ド ラインに従ってください。

• GTP ト ランシーバー信号の配線と SelectIO 信号の配線は隣接する層で行わないでください。

これらの信号が隣接する層で配線されている と、大きなノ イズ カップリ ングが生じる可能性が

あ り ます。

• SelectIO 信号と GTP ト ランシーバー信号のリ ターン電流パスを分離します ( ト レースおよび

ビアを含む)。

• GTP ト ランシーバーの電源領域も、SelectIO がノ イズを発生する原因となり ます。SelectIO 信号は、 GTP の電源領域に配線できません。

FGG676 パッケージに関する SelectIO ガイド ライン

• 隣接バンクの SelectIO による GTP 性能への影響を 小限に抑えるために、 SelectIO のバンク

16 およびバンク 35 のライン レート を 6Gb/s 以上にするこ とは避けてください。

• SelectIO バンク 16 およびバンク 35 を使用する必要がある場合は、それらのバンクで使用する

SelectIO の数を減らすこ とを推奨します。 また、 次の SelectIO は使用できません。

• バンク 16 : F17、 F18、 F20、 G15、 H14、 H15、 A17、 A18、 A19、 B17、 B19、 C17、 D16、D18、 E16、 E18、 F15、 F19

• バンク 35 : K8、J8、J6、J5、J4、H9、H8、H7、H6、H4、G9、G8、G7、G6、F8、F7、E6、D6

Page 248: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

248 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

第 5 章 : ボード デザインのガイド ライン

PCB デザインのチェ ックリス ト

表 5-14 に、 7 シ リーズ FPGA の GTP ト ランシーバー PCB の回路図およびレイアウ ト を設計し、

評価する際に使用するチェッ ク項目を示します。

表 5-14 : GTP PCB デザインのチェ ックリス ト

ピン チェ ック内容

MGTREFCLK0PMGTREFCLK0NMGTREFCLK1PMGTREFCLK1N

• AC カップリ ング キャパシタを使用してオシレーターへ接続します。

• AC カップリ ング キャパシタの詳細は、236 ページの「基準クロ ッ ク」を参照してください。

LVDS の推奨値は 100nF です。

• 基準クロ ッ ク ト レースには、 隣接する信号からのクロス トークを排除するのに十分な間隔

が必要です。

• 基準クロ ッ ク オシレーターの出力は、これら入力ピンの 小/ 大振幅値に従う必要があ り

ます。詳細は、『Artix-7 FPGA データシート : DC 特性およびスイ ッチ特性』 (DS181) を参

照してください。

• 基準クロ ッ ク入力が使用されていない場合、 それに関連するピン ペアを未接続のままにし

ます。

MGTRXP0/MGTRXN0MGTRXP1/MGTRXN1MGTRXP2/MGTRXN2MGTRXP3/MGTRXN3

• AC カップ リ ング キャパシタを使用して ト ランス ミ ッ ターへ接続します。AC カップリ ング

キャパシタの推奨値は 100nF です。

• レシーバー データ ト レースには、隣接する信号からのクロス トークを排除するのに十分な

間隔が必要です。

• レシーバーが使用されていない場合、 それに関連するピン ペアをグランドへ接続します。

• 128 ページの 「RX アナログ フロン ト エンド」 を参照してください。

MGTTXP0/MGTTXN0MGTTXP1/MGTTXN1MGTTXP2/MGTTXN2MGTTXP3/MGTTXN3

• ト ランス ミ ッ ターは、 AC カップリ ングを用いてレシーバーへ接続する必要があ り ます。

AC カップリ ング キャパシタの推奨値は 100nF です。

• ト ランス ミ ッ ター データ ト レースには、隣接する信号からのクロス トークを排除するのに

十分な間隔が必要です。

• ト ランス ミ ッ ターが使用されていない場合、 それに関連するピン ペアを未接続のままにし

ます。

MGTRREF • MGTAVTT にも接続される 100Ω 抵抗へ接続します。

• 231 ページの 「終端抵抗キャ リブレーシ ョ ン回路」 を参照してください。

Page 249: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 249UG482 (v1.8) 2016 年 6 月 21 日

PCB デザインのチェ ックリス ト

MGTAVCC_G[N] • 公称電圧は 1.0VDC です。

• 詳細は、『Artix-7 FPGA データシート : DC 特性およびスイ ッチ特性』 (DS181) を参照して

ください。

• この電圧に対応する電圧レギュレータは、 ト ランシーバー以外の負荷と共有できません。

• 多くのパッケージには、 その内部に MGTAVCC 用の電源接続グループが複数あ り ます。

特定の GTP ト ランシーバー クワ ッ ドに配置される電源グループの詳細は、 表 5-2 を参照

してください。 各パッケージのピン位置の詳細は、 『7 シ リーズ FPGA パッケージおよび

ピン配置ガイ ド』 (UG475) を参照してください。

• 各電源グループには、 次のセラ ミ ッ ク フ ィルター キャパシタの使用を推奨します。

• 4.7µF 10% × 1

• 0.1µF 10% × 2

• 適な性能、 電源ノ イズは 10mVPK-PK 未満に抑える必要があ り ます。

• 電源グループのすべてのクワ ッ ドを使用しない場合は、 関連する電源ピンをグランドに接

続できます。

• 消費電力の詳細は、http://japan.xilinx.com/products/technology/power/index.htm から 7 シリーズの Xilinx Power Estimator (XPE) を参照して ください。

MGTAVTT_G[N] • 公称電圧は 1.2VDC です。

• 詳細は、『Artix-7 FPGA データシート : DC 特性およびスイ ッチ特性』 (DS181) を参照して

ください。

• この電圧に対応する電圧レギュレータは、 MTG 以外の負荷と共有できません。

• 多くのパッケージには、そのパッケージ内に MGTAVTT 用の電源接続グループが複数あ り

ます。特定の GTP ト ランシーバー クワ ッ ドに配置される電源グループの詳細は、表 5-2 を参照してください。各パッケージのピン位置の詳細は、『7 シ リーズ FPGA パッケージおよ

びピン配置ガイ ド』 (UG475) を参照してください。

• 各電源グループには、 次のセラ ミ ッ ク フ ィルター キャパシタの使用を推奨します。

• 4.7µF 10% × 1

• 0.1µF 10% × 2

• 適な性能、 電源ノ イズは 10mVPK-PK 未満に抑える必要があ り ます。

• 電源グループのすべてのクワ ッ ドを使用しない場合は、 関連する電源ピンをグランドに接

続できます。

• 消費電力の詳細は、http://japan.xilinx.com/products/technology/power/index.htm から 7 シリーズの Xilinx Power Estimator (XPE) を参照して ください。

表 5-14 : GTP PCB デザインのチェ ックリス ト (続き)

ピン チェ ック内容

Page 251: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 251UG482 (v1.8) 2016 年 6 月 21 日

付録 A

パッケージ別の配置情報

この付録に、 デバイス /パッケージのすべての組み合わせにおけるクワッ ドの位置情報を示します。

この情報には各シ リ アル ト ランシーバー チャネルおよびそれに伴うプリ ミ ティブに関連する外部

信号のパッ ド番号が含まれます。XC 製品の番号は、XA および XQ の製品にも適用されます (該当

する製品が供給されている場合)。

• 252 ページの 「CPG236 パッケージの配置図」

• 253 ページの 「CSG325 パッケージの配置図」

• 254 ページの 「CLG485 パッケージの配置図」

• 255 ページの 「FGG484 パッケージの配置図」

• 256 ページの 「FGG676 パッケージの配置図」

• 258 ページの 「FBG484 パッケージの配置図」

• 259 ページの 「SBG484 パッケージの配置図」

• 260 ページの 「FBG676 パッケージの配置図」

• 262 ページの 「FFG1156 パッケージの配置図」

Page 252: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

252 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

付録 A : パッケージ別の配置情報

CPG236 パッケージの配置図

図 A-1 に、 CPG236 パッケージの配置図を示します。

X-Ref Target - Figure A-1

図 A-1 : CPG236 パッケージの配置図

B10 MGTREFCLK1P_216

A10 MGTREFCLK1N_216

B8 MGTREFCLK0P_216A8 MGTREFCLK0N_216

B2 MGTPTXP1_216

UG482_aA_11_110514

A2 MGTPTXN1_216

B6 MGTPRXP1_216A6 MGTPRXN1_216

D2 MGTPTXP0_216

D1 MGTPTXN0_216

B4 MGTPRXP0_216

A4 MGTPRXN0_216

XC7A15T:GTPE2_COMMON_X0Y0

XC7A35T:GTPE2_COMMON_X0Y0

XC7A50T:GTPE2_COMMON_X0Y0

XC7A15T:GTPE2_CHANNEL_X0Y1

XC7A35T:GTPE2_CHANNEL_X0Y1

XC7A50T:GTPE2_CHANNEL_X0Y1

XC7A15T:GTPE2_CHANNEL_X0Y0

XC7A35T:GTPE2_CHANNEL_X0Y0

XC7A50T:GTPE2_CHANNEL_X0Y0

Page 253: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 253UG482 (v1.8) 2016 年 6 月 21 日

CSG325 パッケージの配置図

CSG325 パッケージの配置図

図 A-2 に、 CSG325 パッケージの配置図を示します。

X-Ref Target - Figure A-2

図 A-2 : CSG325 パッケージの配置図

B2 MGTPTXP3_216

B1 MGTPTXN3_216

G4 MGTPRXP3_216G3 MGTPRXN3_216

D2 MGTPTXP2_216

UG482_aA_13_110714

D1 MGTPTXN2_216

C4 MGTPRXP2_216C3 MGTPRXN2_216

B6 MGTREFCLK1P_216

B5 MGTREFCLK1N_216

D6 MGTREFCLK0P_216

D5 MGTREFCLK0N_216

XC7A15T:GTPE2_CHANNEL_X0Y3

XC7A35T:GTPE2_CHANNEL_X0Y3

XC7A50T:GTPE2_CHANNEL_X0Y3

F2 MGTPTXP1_216

F1 MGTPTXN1_216

A4 MGTPRXP1_216

A3 MGTPRXN1_216

H2 MGTPTXP0_216

H1 MGTPTXN0_216

E4 MGTPRXP0_216

E3 MGTPRXN0_216

XC7A15T:GTPE2_CHANNEL_X0Y2

XC7A35T:GTPE2_CHANNEL_X0Y2

XC7A50T:GTPE2_CHANNEL_X0Y2

XC7A15T:GTPE2_COMMON_X0Y0

XC7A35T:GTPE2_COMMON_X0Y0

XC7A50T:GTPE2_COMMON_X0Y0

XC7A15T:GTPE2_CHANNEL_X0Y1

XC7A35T:GTPE2_CHANNEL_X0Y1

XC7A50T:GTPE2_CHANNEL_X0Y1

XC7A15T:GTPE2_CHANNEL_X0Y0

XC7A35T:GTPE2_CHANNEL_X0Y0

XC7A50T:GTPE2_CHANNEL_X0Y0

Page 254: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

254 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

付録 A : パッケージ別の配置情報

CLG485 パッケージの配置図

図 A-3 に、 CLG485 パッケージの配置図を示します。

X-Ref Target - Figure A-3

図 A-3 : CLG485 パッケージの配置図

W2 MGTPTXP3_112

Y2 MGTPTXN3_112

W6 MGTPRXP3_112Y6 MGTPRXN3_112

AA5 MGTPTXP2_112

UG482_aA_12_030614

AB5 MGTPTXN2_112

AA9 MGTPRXP2_112AB9 MGTPRXN2_112

U5 MGTREFCLK1P_112

V5 MGTREFCLK1N_112

V9 MGTREFCLK0P_112

U9 MGTREFCLK0N_112

W4 MGTPTXP1_112

Y4 MGTPTXN1_112

W8 MGTPRXP1_112Y8 MGTPRXN1_112

AA3 MGTPTXP0_112

AB3 MGTPTXN0_112

AA7 MGTPRXP0_112AB7 MGTPRXN0_112

XC7Z015:GTPE2_CHANNEL_X0Y3

XC7Z015:GTPE2_CHANNEL_X0Y2

XC7Z015:GTPE2_COMMON_X0Y0

XC7Z015:GTPE2_CHANNEL_X0Y1

XC7Z015:GTPE2_CHANNEL_X0Y0

Page 255: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 255UG482 (v1.8) 2016 年 6 月 21 日

FGG484 パッケージの配置図

FGG484 パッケージの配置図

図 A-4 に、 FGG484 パッケージの配置図を示します。

X-Ref Target - Figure A-4

図 A-4 : FGG484 パッケージの配置図

D7 MGTPTXP3_216

C7 MGTPTXN3_216

D9 MGTPRXP3_216C9 MGTPRXN3_216

B6 MGTPTXP2_216

UG482_aA_01_110514

A6 MGTPTXN2_216

B10 MGTPRXP2_216A10 MGTPRXN2_216

F10 MGTREFCLK1P_216

E10 MGTREFCLK1N_216

F6 MGTREFCLK0P_216

E6 MGTREFCLK0N_216

D5 MGTPTXP1_216

C5 MGTPTXN1_216

D11 MGTPRXP1_216C11 MGTPRXN1_216

B4 MGTPTXP0_216

A4 MGTPTXN0_216

B8 MGTPRXP0_216A8 MGTPRXN0_216

XC7A15T:GTPE2_CHANNEL_X0Y3XC7A35T:GTPE2_CHANNEL_X0Y3XC7A50T:GTPE2_CHANNEL_X0Y3XC7A75T:GTPE2_CHANNEL_X0Y7

XC7A100T:GTPE2_CHANNEL_X0Y7

XC7A15T:GTPE2_CHANNEL_X0Y2XC7A35T:GTPE2_CHANNEL_X0Y2XC7A50T:GTPE2_CHANNEL_X0Y2XC7A75T:GTPE2_CHANNEL_X0Y6

XC7A100T:GTPE2_CHANNEL_X0Y6

XC7A15T:GTPE2_COMMON_X0Y0XC7A35T:GTPE2_COMMON_X0Y0XC7A50T:GTPE2_COMMON_X0Y0XC7A75T:GTPE2_COMMON_X0Y1

XC7A100T:GTPE2_COMMON_X0Y1

XC7A15T:GTPE2_CHANNEL_X0Y1XC7A35T:GTPE2_CHANNEL_X0Y1XC7A50T:GTPE2_CHANNEL_X0Y1XC7A75T:GTPE2_CHANNEL_X0Y5

XC7A100T:GTPE2_CHANNEL_X0Y5

XC7A15T:GTPE2_CHANNEL_X0Y0XC7A35T:GTPE2_CHANNEL_X0Y0XC7A50T:GTPE2_CHANNEL_X0Y0XC7A75T:GTPE2_CHANNEL_X0Y4

XC7A100T:GTPE2_CHANNEL_X0Y4

Page 256: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

256 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

付録 A : パッケージ別の配置情報

FGG676 パッケージの配置図

図 A-5 および図 A-6 に、 FGG676 パッケージの配置図を示します。

X-Ref Target - Figure A-5

図 A-5 : FGG676 パッケージの配置図 (1/2)

D10 MGTPTXP3_216

C10 MGTPTXN3_216

D12 MGTPRXP3_216C12 MGTPRXN3_216

B9 MGTPTXP2_216

UG482_aA_02_022614

A9 MGTPTXN2_216

B13 MGTPRXP2_216A13 MGTPRXN2_216

F13 MGTREFCLK1P_216

E13 MGTREFCLK1N_216

F11 MGTREFCLK0P_216

E11 MGTREFCLK0N_216

D8 MGTPTXP1_216

C8 MGTPTXN1_216

D14 MGTPRXP1_216C14 MGTPRXN1_216

B7 MGTPTXP0_216

A7 MGTPTXN0_216

B11 MGTPRXP0_216A11 MGTPRXN0_216

XC7A75T:GTPE2_CHANNEL_X0Y4

XC7A100T:GTPE2_CHANNEL_X0Y4

XC7A75T:GTPE2_CHANNEL_X0Y7

XC7A100T:GTPE2_CHANNEL_X0Y7

XC7A75T:GTPE2_CHANNEL_X0Y6

XC7A100T:GTPE2_CHANNEL_X0Y6

XC7A75T:GTPE2_CHANNEL_X0Y5

XC7A100T:GTPE2_CHANNEL_X0Y5

XC7A75T:GTPE2_COMMON_X0Y1

XC7A100T:GTPE2_COMMON_X0Y1

Page 257: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 257UG482 (v1.8) 2016 年 6 月 21 日

FGG676 パッケージの配置図

X-Ref Target - Figure A-6

図 A-6 : FGG676 パッケージの配置図 (2/2)

AE7 MGTPTXP3_213

AF7 MGTPTXN3_213

AE11 MGTPRXP3_213AF11 MGTPRXN3_213

AC8 MGTPTXP2_213

UG482_aA_03_080513

AD8 MGTPTXN2_213

AC14 MGTPRXP2_213AD14 MGTPRXN2_213

AA11 MGTREFCLK1P_213

AB11 MGTREFCLK1N_213

AA13 MGTREFCLK0P_213

AB13 MGTREFCLK0N_213

AE9 MGTPTXP1_213

AF9 MGTPTXN1_213

AE13 MGTPRXP1_213AF13 MGTPRXN1_213

AC10 MGTPTXP0_213

AD10 MGTPTXN0_213

AC12 MGTPRXP0_213AD12 MGTPRXN0_213

XC7A75T:GTPE2_CHANNEL_X0Y0

XC7A100T:GTPE2_CHANNEL_X0Y0

XC7A75T:GTPE2_CHANNEL_X0Y3

XC7A100T:GTPE2_CHANNEL_X0Y3

XC7A75T:GTPE2_CHANNEL_X0Y2

XC7A100T:GTPE2_CHANNEL_X0Y2

XC7A75T:GTPE2_CHANNEL_X0Y1

XC7A100T:GTPE2_CHANNEL_X0Y1

XC7A75T:GTPE2_COMMON_X0Y0

XC7A100T:GTPE2_COMMON_X0Y0

Page 258: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

258 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

付録 A : パッケージ別の配置情報

FBG484 パッケージの配置図

図 A-7 に、 FBG484 パッケージの配置図を示します。

X-Ref Target - Figure A-7

図 A-7 : FBG484 パッケージの配置図

D7 MGTPTXP3_216

C7 MGTPTXN3_216

D9 MGTPRXP3_216C9 MGTPRXN3_216

B6 MGTPTXP2_216

UG482_aA_04_021113

A6 MGTPTXN2_216

B10 MGTPRXP2_216A10 MGTPRXN2_216

F10 MGTREFCLK1P_216

E10 MGTREFCLK1N_216

F6 MGTREFCLK0P_216

E6 MGTREFCLK0N_216

D5 MGTPTXP1_216

C5 MGTPTXN1_216

D11 MGTPRXP1_216C11 MGTPRXN1_216

B4 MGTPTXP0_216

A4 MGTPTXN0_216

B8 MGTPRXP0_216A8 MGTPRXN0_216

XC7A200T:GTPE2_CHANNEL_X0Y7

XC7A200T:GTPE2_CHANNEL_X0Y6

XC7A200T:GTPE2_COMMON_X0Y1

XC7A200T:GTPE2_CHANNEL_X0Y5

XC7A200T:GTPE2_CHANNEL_X0Y4

Page 259: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 259UG482 (v1.8) 2016 年 6 月 21 日

SBG484 パッケージの配置図

SBG484 パッケージの配置図

図 A-8 に、 SBG484 パッケージの配置図を示します。

X-Ref Target - Figure A-8

図 A-8 : SBG484 パッケージの配置図

D7 MGTPTXP3_216

C7 MGTPTXN3_216

D9 MGTPRXP3_216C9 MGTPRXN3_216

B6 MGTPTXP2_216

UG482_aA_04_021113

A6 MGTPTXN2_216

B10 MGTPRXP2_216A10 MGTPRXN2_216

F10 MGTREFCLK1P_216

E10 MGTREFCLK1N_216

F6 MGTREFCLK0P_216

E6 MGTREFCLK0N_216

D5 MGTPTXP1_216

C5 MGTPTXN1_216

D11 MGTPRXP1_216C11 MGTPRXN1_216

B4 MGTPTXP0_216

A4 MGTPTXN0_216

B8 MGTPRXP0_216A8 MGTPRXN0_216

XC7A200T:GTPE2_CHANNEL_X0Y7

XC7A200T:GTPE2_CHANNEL_X0Y6

XC7A200T:GTPE2_COMMON_X0Y1

XC7A200T:GTPE2_CHANNEL_X0Y5

XC7A200T:GTPE2_CHANNEL_X0Y4

Page 260: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

260 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

付録 A : パッケージ別の配置情報

FBG676 パッケージの配置図

図 A-9 および図 A-10 に、 FBG676 パッケージの配置図を示します。

X-Ref Target - Figure A-9

図 A-9 : FBG676 パッケージの配置図 (1/2)

D10 MGTPTXP3_216

C10 MGTPTXN3_216

D12 MGTPRXP3_216C12 MGTPRXN3_216

B9 MGTPTXP2_216

UG482_aA_05_021113

A9 MGTPTXN2_216

B13 MGTPRXP2_216A13 MGTPRXN2_216

F13 MGTREFCLK1P_216

E13 MGTREFCLK1N_216

F11 MGTREFCLK0P_216

E11 MGTREFCLK0N_216

D8 MGTPTXP1_216

C8 MGTPTXN1_216

D14 MGTPRXP1_216C14 MGTPRXN1_216

B7 MGTPTXP0_216

A7 MGTPTXN0_216

B11 MGTPRXP0_216A11 MGTPRXN0_216

XC7A200T:GTPE2_CHANNEL_X0Y7

XC7A200T:GTPE2_CHANNEL_X0Y6

XC7A200T:GTPE2_COMMON_X0Y1

XC7A200T:GTPE2_CHANNEL_X0Y5

XC7A200T:GTPE2_CHANNEL_X0Y4

Page 261: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 261UG482 (v1.8) 2016 年 6 月 21 日

FBG676 パッケージの配置図

X-Ref Target - Figure A-10

図 A-10 : FBG676 パッケージの配置図 (2/2)

AE7 MGTPTXP3_213

AF7 MGTPTXN3_213

AE11 MGTPRXP3_213AF11 MGTPRXN3_213

AC8 MGTPTXP2_213

UG482_aA_06_021113

AD8 MGTPTXN2_213

AC14 MGTPRXP2_213AD14 MGTPRXN2_213

AA11 MGTREFCLK1P_213

AB11 MGTREFCLK1N_213

AA13 MGTREFCLK0P_213

AB13 MGTREFCLK0N_213

AE9 MGTPTXP1_213

AF9 MGTPTXN1_213

AE13 MGTPRXP1_213AF13 MGTPRXN1_213

AC10 MGTPTXP0_213

AD10 MGTPTXN0_213

AC12 MGTPRXP0_213AD12 MGTPRXN0_213

XC7A200T:GTPE2_CHANNEL_X0Y3

XC7A200T:GTPE2_CHANNEL_X0Y2

XC7A200T:GTPE2_COMMON_X0Y0

XC7A200T:GTPE2_CHANNEL_X0Y1

XC7A200T:GTPE2_CHANNEL_X0Y0

Page 262: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

262 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

付録 A : パッケージ別の配置情報

FFG1156 パッケージの配置図

図 A-11 ~図 A-14 に、 FFG1156 パッケージの配置図を示します。

X-Ref Target - Figure A-11

図 A-11 : FFG1156 パッケージの配置図 (1/4)

B19 MGTPTXP3_216

A19 MGTPTXN3_216

D18 MGTPRXP3_216C18 MGTPRXN3_216

B21 MGTPTXP2_216

UG482_aA_07_021113

A21 MGTPTXN2_216

F19 MGTPRXP2_216E19 MGTPRXN2_216

H20 MGTREFCLK1P_216

G20 MGTREFCLK1N_216

H18 MGTREFCLK0P_216

G18 MGTREFCLK0N_216

D22 MGTPTXP1_216

C22 MGTPTXN1_216

D20 MGTPRXP1_216C20 MGTPRXN1_216

B23 MGTPTXP0_216

A23 MGTPTXN0_216

F21 MGTPRXP0_216E21 MGTPRXN0_216

XC7A200T:GTPE2_CHANNEL_X0Y7

XC7A200T:GTPE2_CHANNEL_X0Y6

XC7A200T:GTPE2_COMMON_X0Y1

XC7A200T:GTPE2_CHANNEL_X0Y5

XC7A200T:GTPE2_CHANNEL_X0Y4

Page 263: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 263UG482 (v1.8) 2016 年 6 月 21 日

FFG1156 パッケージの配置図

X-Ref Target - Figure A-12

図 A-12 : FFG1156 パッケージの配置図 (2/4)

B17 MGTPTXP3_116

A17 MGTPTXN3_116

F17 MGTPRXP3_116E17 MGTPRXN3_116

B15 MGTPTXP2_116

UG482_aA_08_021113

A15 MGTPTXN2_116

D16 MGTPRXP2_116C16 MGTPRXN2_116

H14 MGTREFCLK1P_116

G14 MGTREFCLK1N_116

H16 MGTREFCLK0P_116

G16 MGTREFCLK0N_116

D14 MGTPTXP1_116

C14 MGTPTXN1_116

F15 MGTPRXP1_116E15 MGTPRXN1_116

B13 MGTPTXP0_116

A13 MGTPTXN0_116

F13 MGTPRXP0_116E13 MGTPRXN0_116

XC7A200T:GTPE2_CHANNEL_X1Y7

XC7A200T:GTPE2_CHANNEL_X1Y6

XC7A200T:GTPE2_COMMON_X1Y1

XC7A200T:GTPE2_CHANNEL_X1Y5

XC7A200T:GTPE2_CHANNEL_X1Y4

Page 264: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

264 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

付録 A : パッケージ別の配置情報

X-Ref Target - Figure A-13

図 A-13 : FFG1156 パッケージの配置図 (3/4)

AN23 MGTPTXP3_213

AP23 MGTPTXN3_213

AJ21 MGTPRXP3_213AK21 MGTPRXN3_213

AL22 MGTPTXP2_213

UG482_aA_09_021113

AM22 MGTPTXN2_213

AL20 MGTPRXP2_213AM20 MGTPRXN2_213

AG18 MGTREFCLK1P_213

AH18 MGTREFCLK1N_213

AG20 MGTREFCLK0P_213

AH20 MGTREFCLK0N_213

AN21 MGTPTXP1_213

AP21 MGTPTXN1_213

AJ19 MGTPRXP1_213AK19 MGTPRXN1_213

AN19 MGTPTXP0_213

AP19 MGTPTXN0_213

AL18 MGTPRXP0_213AM18 MGTPRXN0_213

XC7A200T:GTPE2_CHANNEL_X0Y3

XC7A200T:GTPE2_CHANNEL_X0Y2

XC7A200T:GTPE2_COMMON_X0Y0

XC7A200T:GTPE2_CHANNEL_X0Y1

XC7A200T:GTPE2_CHANNEL_X0Y0

Page 265: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 265UG482 (v1.8) 2016 年 6 月 21 日

FFG1156 パッケージの配置図

X-Ref Target - Figure A-14

図 A-14 : FFG1156 パッケージの配置図 (4/4)

AN13 MGTPTXP3_113

AP13 MGTPTXN3_113

AJ13 MGTPRXP3_113AK13 MGTPRXN3_113

AL14 MGTPTXP2_113

UG482_aA_10_021113

AM14 MGTPTXN2_113

AJ15 MGTPRXP2_113AK15 MGTPRXN2_113

AG16 MGTREFCLK1P_113

AH16 MGTREFCLK1N_113

AG14 MGTREFCLK0P_113

AH14 MGTREFCLK0N_113

AN15 MGTPTXP1_113

AP15 MGTPTXN1_113

AL16 MGTPRXP1_113AM16 MGTPRXN1_113

AN17 MGTPTXP0_113

AP17 MGTPTXN0_113

AJ17 MGTPRXP0_113AK17 MGTPRXN0_113

XC7A200T:GTPE2_CHANNEL_X1Y3

XC7A200T:GTPE2_CHANNEL_X1Y2

XC7A200T:GTPE2_COMMON_X1Y0

XC7A200T:GTPE2_CHANNEL_X1Y1

XC7A200T:GTPE2_CHANNEL_X1Y0

Page 267: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 267UG482 (v1.8) 2016 年 6 月 21 日

付録 B

デバイス別の配置情報

表 B-1 に、 Artix™-7 FPGA デバイス とパッケージの組み合わせ、 および使用可能な GTP ト ラン

シーバー バンクを示します。表 B-2 に、Zynq-7000 デバイス とパッケージの組み合わせ、および使

用可能な GTP ト ランシーバー バンクを示します。 ト ランシーバーの位置は、 付録 A 「パッケージ

別の配置情報」 を参照して ください。

表 B-1 : Artix-7 FPGA デバイスとパッケージの組み合わせ、 および GTP ト ランシーバー バンク

パッケージ CPG236 CSG325 FGG484 FGG676 SBG484 FBG484 FBG676 FFG1156

XC7A15TMGT_BANK

_216MGT_BANK

_216MGT_BANK

_216

XC7A35TMGT_BANK

_216MGT_BANK

_216MGT_BANK

_216

XC7A50TMGT_BANK

_216MGT_BANK

_216MGT_BANK

_216

XC7A75TMGT_BANK

_216

MGT_BANK_213、

MGT_BANK_216

XC7A100T MGT_BANK_216

MGT_BANK_213、

MGT_BANK_216

XC7A200TMGT_BANK

_216MGT_BANK

_216

MGT_BANK_213、

MGT_BANK_216

MGT_BANK_113、

MGT_BANK_116、

MGT_BANK_213、

MGT_BANK_216

表 B-2 : Zynq-7000 デバイス/パッケージの組み合わせ、 および GTP ト ランシーバー バンク

パッケージ CLG485

XC7Z015 MGT_BANK_112

Page 269: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 269UG482 (v1.8) 2016 年 6 月 21 日

付録 C

8B/10B の符号

8B/10B エンコードには、データ文字と K 符号が含まれます。8 ビッ トの値は 10 ビッ トの値にコー

ド化され、シ リ アル ラインの DC バランスを保ちます。K 符号とは、CHARISK で指定された特殊

なデータ文字です。これらは、特定の情報を示す場合に使用します。表 C-1 に有効なデータ文字を、

277 ページの表 C-2 に K 符号を示します。

表 C-1 : 有効なデータ文字

データ バイ ト

ビッ ト HGF EDCBA

現在の RD –abcdei fghj

現在の RD + abcdei fghj

D0.0 000 00000 100111 0100 011000 1011

D1.0 000 00001 011101 0100 100010 1011

D2.0 000 00010 101101 0100 010010 1011

D3.0 000 00011 110001 1011 110001 0100

D4.0 000 00100 110101 0100 001010 1011

D5.0 000 00101 101001 1011 101001 0100

D6.0 000 00110 011001 1011 011001 0100

D7.0 000 00111 111000 1011 000111 0100

D8.0 000 01000 111001 0100 000110 1011

D9.0 000 01001 100101 1011 100101 0100

D10.0 000 01010 010101 1011 010101 0100

D11.0 000 01011 110100 1011 110100 0100

D12.0 000 01100 001101 1011 001101 0100

D13.0 000 01101 101100 1011 101100 0100

D14.0 000 01110 011100 1011 011100 0100

D15.0 000 01111 010111 0100 101000 1011

D16.0 000 10000 011011 0100 100100 1011

D17.0 000 10001 100011 1011 100011 0100

D18.0 000 10010 010011 1011 010011 0100

D19.0 000 10011 110010 1011 110010 0100

D20.0 000 10100 001011 1011 001011 0100

Page 270: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

270 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

付録 C : 8B/10B の符号

D21.0 000 10101 101010 1011 101010 0100

D22.0 000 10110 011010 1011 011010 0100

D23.0 000 10111 111010 0100 000101 1011

D24.0 000 11000 110011 0100 001100 1011

D25.0 000 11001 100110 1011 100110 0100

D26.0 000 11010 010110 1011 010110 0100

D27.0 000 11011 110110 0100 001001 1011

D28.0 000 11100 001110 1011 001110 0100

D29.0 000 11101 101110 0100 010001 1011

D30.0 000 11110 011110 0100 100001 1011

D31.0 000 11111 101011 0100 010100 1011

D0.1 001 00000 100111 1001 011000 1001

D1.1 001 00001 011101 1001 100010 1001

D2.1 001 00010 101101 1001 010010 1001

D3.1 001 00011 110001 1001 110001 1001

D4.1 001 00100 110101 1001 001010 1001

D5.1 001 00101 101001 1001 101001 1001

D6.1 001 00110 011001 1001 011001 1001

D7.1 001 00111 111000 1001 000111 1001

D8.1 001 01000 111001 1001 000110 1001

D9.1 001 01001 100101 1001 100101 1001

D10.1 001 01010 010101 1001 010101 1001

D11.1 001 01011 110100 1001 110100 1001

D12.1 001 01100 001101 1001 001101 1001

D13.1 001 01101 101100 1001 101100 1001

D14.1 001 01110 011100 1001 011100 1001

D15.1 001 01111 010111 1001 101000 1001

D16.1 001 10000 011011 1001 100100 1001

D17.1 001 10001 100011 1001 100011 1001

D18.1 001 10010 010011 1001 010011 1001

D19.1 001 10011 110010 1001 110010 1001

D20.1 001 10100 001011 1001 001011 1001

表 C-1 : 有効なデータ文字 (続き)

データ バイ ト

ビッ ト HGF EDCBA

現在の RD –abcdei fghj

現在の RD + abcdei fghj

Page 271: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 271UG482 (v1.8) 2016 年 6 月 21 日

D21.1 001 10101 101010 1001 101010 1001

D22.1 001 10110 011010 1001 011010 1001

D23.1 001 10111 111010 1001 000101 1001

D24.1 001 11000 110011 1001 001100 1001

D25.1 001 11001 100110 1001 100110 1001

D26.1 001 11010 010110 1001 010110 1001

D27.1 001 11011 110110 1001 001001 1001

D28.1 001 11100 001110 1001 001110 1001

D29.1 001 11101 101110 1001 010001 1001

D30.1 001 11110 011110 1001 100001 1001

D31.1 001 11111 101011 1001 010100 1001

D0.2 010 00000 100111 0101 011000 0101

D1.2 010 00001 011101 0101 100010 0101

D2.2 010 00010 101101 0101 010010 0101

D3.2 010 00011 110001 0101 110001 0101

D4.2 010 00100 110101 0101 001010 0101

D5.2 010 00101 101001 0101 101001 0101

D6.2 010 00110 011001 0101 011001 0101

D7.2 010 00111 111000 0101 000111 0101

D8.2 010 01000 111001 0101 000110 0101

D9.2 010 01001 100101 0101 100101 0101

D10.2 010 01010 010101 0101 010101 0101

D11.2 010 01011 110100 0101 110100 0101

D12.2 010 01100 001101 0101 001101 0101

D13.2 010 01101 101100 0101 101100 0101

D14.2 010 01110 011100 0101 011100 0101

D15.2 010 01111 010111 0101 101000 0101

D16.2 010 10000 011011 0101 100100 0101

D17.2 010 10001 100011 0101 100011 0101

D18.2 010 10010 010011 0101 010011 0101

D19.2 010 10011 110010 0101 110010 0101

D20.2 010 10100 001011 0101 001011 0101

表 C-1 : 有効なデータ文字 (続き)

データ バイ ト

ビッ ト HGF EDCBA

現在の RD –abcdei fghj

現在の RD + abcdei fghj

Page 272: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

272 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

付録 C : 8B/10B の符号

D21.2 010 10101 101010 0101 101010 0101

D22.2 010 10110 011010 0101 011010 0101

D23.2 010 10111 111010 0101 000101 0101

D24.2 010 11000 110011 0101 001100 0101

D25.2 010 11001 100110 0101 100110 0101

D26.2 010 11010 010110 0101 010110 0101

D27.2 010 11011 110110 0101 001001 0101

D28.2 010 11100 001110 0101 001110 0101

D29.2 010 11101 101110 0101 010001 0101

D30.2 010 11110 011110 0101 100001 0101

D31.2 010 11111 101011 0101 010100 0101

D0.3 011 00000 100111 0011 011000 1100

D1.3 011 00001 011101 0011 100010 1100

D2.3 011 00010 101101 0011 010010 1100

D3.3 011 00011 110001 1100 110001 0011

D4.3 011 00100 110101 0011 001010 1100

D5.3 011 00101 101001 1100 101001 0011

D6.3 011 00110 011001 1100 011001 0011

D7.3 011 00111 111000 1100 000111 0011

D8.3 011 01000 111001 0011 000110 1100

D9.3 011 01001 100101 1100 100101 0011

D10.3 011 01010 010101 1100 010101 0011

D11.3 011 01011 110100 1100 110100 0011

D12.3 011 01100 001101 1100 001101 0011

D13.3 011 01101 101100 1100 101100 0011

D14.3 011 01110 011100 1100 011100 0011

D15.3 011 01111 010111 0011 101000 1100

D16.3 011 10000 011011 0011 100100 1100

D17.3 011 10001 100011 1100 100011 0011

D18.3 011 10010 010011 1100 010011 0011

D19.3 011 10011 110010 1100 110010 0011

D20.3 011 10100 001011 1100 001011 0011

表 C-1 : 有効なデータ文字 (続き)

データ バイ ト

ビッ ト HGF EDCBA

現在の RD –abcdei fghj

現在の RD + abcdei fghj

Page 273: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 273UG482 (v1.8) 2016 年 6 月 21 日

D21.3 011 10101 101010 1100 101010 0011

D22.3 011 10110 011010 1100 011010 0011

D23.3 011 10111 111010 0011 000101 1100

D24.3 011 11000 110011 0011 001100 1100

D25.3 011 11001 100110 1100 100110 0011

D26.3 011 11010 010110 1100 010110 0011

D27.3 011 11011 110110 0011 001001 1100

D28.3 011 11100 001110 1100 001110 0011

D29.3 011 11101 101110 0011 010001 1100

D30.3 011 11110 011110 0011 100001 1100

D31.3 011 11111 101011 0011 010100 1100

D0.4 100 00000 100111 0010 011000 1101

D1.4 100 00001 011101 0010 100010 1101

D2.4 100 00010 101101 0010 010010 1101

D3.4 100 00011 110001 1101 110001 0010

D4.4 100 00100 110101 0010 001010 1101

D5.4 100 00101 101001 1101 101001 0010

D6.4 100 00110 011001 1101 011001 0010

D7.4 100 00111 111000 1101 000111 0010

D8.4 100 01000 111001 0010 000110 1101

D9.4 100 01001 100101 1101 100101 0010

D10.4 100 01010 010101 1101 010101 0010

D11.4 100 01011 110100 1101 110100 0010

D12.4 100 01100 001101 1101 001101 0010

D13.4 100 01101 101100 1101 101100 0010

D14.4 100 01110 011100 1101 011100 0010

D15.4 100 01111 010111 0010 101000 1101

D16.4 100 10000 011011 0010 100100 1101

D17.4 100 10001 100011 1101 100011 0010

D18.4 100 10010 010011 1101 010011 0010

D19.4 100 10011 110010 1101 110010 0010

D20.4 100 10100 001011 1101 001011 0010

表 C-1 : 有効なデータ文字 (続き)

データ バイ ト

ビッ ト HGF EDCBA

現在の RD –abcdei fghj

現在の RD + abcdei fghj

Page 274: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

274 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

付録 C : 8B/10B の符号

D21.4 100 10101 101010 1101 101010 0010

D22.4 100 10110 011010 1101 011010 0010

D23.4 100 10111 111010 0010 000101 1101

D24.4 100 11000 110011 0010 001100 1101

D25.4 100 11001 100110 1101 100110 0010

D26.4 100 11010 010110 1101 010110 0010

D27.4 100 11011 110110 0010 001001 1101

D28.4 100 11100 001110 1101 001110 0010

D29.4 100 11101 101110 0010 010001 1101

D30.4 100 11110 011110 0010 100001 1101

D31.4 100 11111 101011 0010 010100 1101

D0.5 101 00000 100111 1010 011000 1010

D1.5 101 00001 011101 1010 100010 1010

D2.5 101 00010 101101 1010 010010 1010

D3.5 101 00011 110001 1010 110001 1010

D4.5 101 00100 110101 1010 001010 1010

D5.5 101 00101 101001 1010 101001 1010

D6.5 101 00110 011001 1010 011001 1010

D7.5 101 00111 111000 1010 000111 1010

D8.5 101 01000 111001 1010 000110 1010

D9.5 101 01001 100101 1010 100101 1010

D10.5 101 01010 010101 1010 010101 1010

D11.5 101 01011 110100 1010 110100 1010

D12.5 101 01100 001101 1010 001101 1010

D13.5 101 01101 101100 1010 101100 1010

D14.5 101 01110 011100 1010 011100 1010

D15.5 101 01111 010111 1010 101000 1010

D16.5 101 10000 011011 1010 100100 1010

D17.5 101 10001 100011 1010 100011 1010

D18.5 101 10010 010011 1010 010011 1010

D19.5 101 10011 110010 1010 110010 1010

D20.5 101 10100 001011 1010 001011 1010

表 C-1 : 有効なデータ文字 (続き)

データ バイ ト

ビッ ト HGF EDCBA

現在の RD –abcdei fghj

現在の RD + abcdei fghj

Page 275: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 275UG482 (v1.8) 2016 年 6 月 21 日

D21.5 101 10101 101010 1010 101010 1010

D22.5 101 10110 011010 1010 011010 1010

D23.5 101 10111 111010 1010 000101 1010

D24.5 101 11000 110011 1010 001100 1010

D25.5 101 11001 100110 1010 100110 1010

D26.5 101 11010 010110 1010 010110 1010

D27.5 101 11011 110110 1010 001001 1010

D28.5 101 11100 001110 1010 001110 1010

D29.5 101 11101 101110 1010 010001 1010

D30.5 101 11110 011110 1010 100001 1010

D31.5 101 11111 101011 1010 010100 1010

D0.6 110 00000 100111 0110 011000 0110

D1.6 110 00001 011101 0110 100010 0110

D2.6 110 00010 101101 0110 010010 0110

D3.6 110 00011 110001 0110 110001 0110

D4.6 110 00100 110101 0110 001010 0110

D5.6 110 00101 101001 0110 101001 0110

D6.6 110 00110 011001 0110 011001 0110

D7.6 110 00111 111000 0110 000111 0110

D8.6 110 01000 111001 0110 000110 0110

D9.6 110 01001 100101 0110 100101 0110

D10.6 110 01010 010101 0110 010101 0110

D11.6 110 01011 110100 0110 110100 0110

D12.6 110 01100 001101 0110 001101 0110

D13.6 110 01101 101100 0110 101100 0110

D14.6 110 01110 011100 0110 011100 0110

D15.6 110 01111 010111 0110 101000 0110

D16.6 110 10000 011011 0110 100100 0110

D17.6 110 10001 100011 0110 100011 0110

D18.6 110 10010 010011 0110 010011 0110

D19.6 110 10011 110010 0110 110010 0110

D20.6 110 10100 001011 0110 001011 0110

表 C-1 : 有効なデータ文字 (続き)

データ バイ ト

ビッ ト HGF EDCBA

現在の RD –abcdei fghj

現在の RD + abcdei fghj

Page 276: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

276 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

付録 C : 8B/10B の符号

D21.6 110 10101 101010 0110 101010 0110

D22.6 110 10110 011010 0110 011010 0110

D23.6 110 10111 111010 0110 000101 0110

D24.6 110 11000 110011 0110 001100 0110

D25.6 110 11001 100110 0110 100110 0110

D26.6 110 11010 010110 0110 010110 0110

D27.6 110 11011 110110 0110 001001 0110

D28.6 110 11100 001110 0110 001110 0110

D29.6 110 11101 101110 0110 010001 0110

D30.6 110 11110 011110 0110 100001 0110

D31.6 110 11111 101011 0110 010100 0110

D0.7 111 00000 100111 0001 011000 1110

D1.7 111 00001 011101 0001 100010 1110

D2.7 111 00010 101101 0001 010010 1110

D3.7 111 00011 110001 1110 110001 0001

D4.7 111 00100 110101 0001 001010 1110

D5.7 111 00101 101001 1110 101001 0001

D6.7 111 00110 011001 1110 011001 0001

D7.7 111 00111 111000 1110 000111 0001

D8.7 111 01000 111001 0001 000110 1110

D9.7 111 01001 100101 1110 100101 0001

D10.7 111 01010 010101 1110 010101 0001

D11.7 111 01011 110100 1110 110100 1000

D12.7 111 01100 001101 1110 001101 0001

D13.7 111 01101 101100 1110 101100 1000

D14.7 111 01110 011100 1110 011100 1000

D15.7 111 01111 010111 0001 101000 1110

D16.7 111 10000 011011 0001 100100 1110

D17.7 111 10001 100011 0111 100011 0001

D18.7 111 10010 010011 0111 010011 0001

D19.7 111 10011 110010 1110 110010 0001

D20.7 111 10100 001011 0111 001011 0001

表 C-1 : 有効なデータ文字 (続き)

データ バイ ト

ビッ ト HGF EDCBA

現在の RD –abcdei fghj

現在の RD + abcdei fghj

Page 277: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 277UG482 (v1.8) 2016 年 6 月 21 日

D21.7 111 10101 101010 1110 101010 0001

D22.7 111 10110 011010 1110 011010 0001

D23.7 111 10111 111010 0001 000101 1110

D24.7 111 11000 110011 0001 001100 1110

D25.7 111 11001 100110 1110 100110 0001

D26.7 111 11010 010110 1110 010110 0001

D27.7 111 11011 110110 0001 001001 1110

D28.7 111 11100 001110 1110 001110 0001

D29.7 111 11101 101110 0001 010001 1110

D30.7 111 11110 011110 0001 100001 1110

D31.7 111 11111 101011 0001 010100 1110

表 C-2 : 有効な制御文字 (K 符号)

特殊コード名ビッ ト

HGF EDCBA現在の RD –abcdei fghj

現在の RD +abcdei fghj

K28.0 000 11100 001111 0100 110000 1011

K28.1 001 11100 001111 1001 110000 0110

K28.2 010 11100 001111 0101 110000 1010

K28.3 011 11100 001111 0011 110000 1100

K28.4 100 11100 001111 0010 110000 1101

K28.5 101 11100 001111 1010 110000 0101

K28.6 110 11100 001111 0110 110000 1001

K28.7(1) 111 11100 001111 1000 110000 0111

K23.7 111 10111 111010 1000 000101 0111

K27.7 111 11011 110110 1000 001001 0111

K29.7 111 11101 101110 1000 010001 0111

K30.7 111 11110 011110 1000 100001 0111

注記 :

1. テス トおよび特性評価にのみ使用します。

表 C-1 : 有効なデータ文字 (続き)

データ バイ ト

ビッ ト HGF EDCBA

現在の RD –abcdei fghj

現在の RD + abcdei fghj

Page 279: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 279UG482 (v1.8) 2016 年 6 月 21 日

付録 D

GTP ト ランシーバー DRP アドレス マップ

表 D-1 に、 GTPE2_COMMON プリ ミ ティブの DRP マップをアドレス順に並べて示します。

注記 : 予約ビッ トは変更できません。明記されていない属性は、7 Series FPGA Transceivers Wizardによって自動的に設定されます。これらの属性は、異なる値を明示的に要求する場合を除いてデフォ

ルトのまま と します。

表 D-1 : GTPE2_COMMON プリ ミテ ィブの DRP マップ

DRP アドレス (16 進数)

DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコード

DRP バイナリ エンコード

0002 15:0 R/W PLL0_CFG 15:0 0–65535 0–65535

0003 10:0 R/W PLL0_CFG 26:16 0–2047 0–2047

0004 13:9 R/W PLL0_REFCLK_DIV 4:01 16

2 0

0004 7 R/W PLL0_FBDIV_45 04 0

5 1

0004 5.0 R/W PLL0_FBDIV 5:0

1 16

2 0

3 1

4 2

5 3

0005 8:0 R/W PLL0_LOCK_CFG 8:0 0-511 0-511

0006 15:0 R/W PLL0_INIT_CFG 15:0 0-65535 0-65535

0007 7:0 R/W PLL0_INIT_CFG 23:16 0-255 0-255

000A 15:0 R/W RSVD_ATTR0 15:0 0-65535 0-65535

000F 1 R/W PLL1_DMON_CFG 0 0-1 0-1

000F 0 R/W PLL0_DMON_CFG 0 0-1 0-1

0011 15:0 R/W COMMON_CFG 15:0 0-65535 0-65535

0012 15:0 R/W COMMON_CFG 31:16 0-65535 0-65535

0013 7:0 R/W PLL_CLKOUT_CFG 7:0 0-255 0-255

0019 15:0 R/W BIAS_CFG 15:0 0-65535 0-65535

Page 280: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

280 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

付録 D : GTP ト ランシーバー DRP アドレス マップ

001A 15:0 R/W BIAS_CFG 31:16 0-65535 0-65535

001B 15:0 R/W BIAS_CFG 47:32 0-65535 0-65535

001C 15:0 R/W BIAS_CFG 63:48 0-65535 0-65535

0024 15:0 R/W RSVD_ATTR1 15:0 0-65535 0-65535

0028 15:0 R/W PLL1_INIT_CFG 15:0 0-65535 0-65535

0029 7:0 R/W PLL1_INIT_CFG 23:16 0-255 0-255

002A 8:0 R/W PLL1_LOCK_CFG 8:0 0-511 0-511

002B 13:9 R/W PLL1_REFCLK_DIV 4:01 16

2 0

002B 7 R/W PLL1_FBDIV_45 04 0

5 1

002B 5:0 R/W PLL1_FBDIV 5:0

1 16

2 0

3 1

4 2

5 3

002C 15:0 R/W PLL1_CFG 15:0 0-65535 0-65535

002D 10:0 R/W PLL1_CFG 26:16 0-2047 0-2047

表 D-1 : GTPE2_COMMON プリ ミテ ィブの DRP マップ (続き)

DRP アドレス (16 進数)

DRP ビッ ト R/W 属性名 属性ビッ ト属性の

エンコード

DRP バイナリ エンコード

Page 281: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 281UG482 (v1.8) 2016 年 6 月 21 日

表 D-2 に、 GTPE2_CHANNEL プリ ミ ティブの DRP マップをアドレス順に並べて示します。

注記 : 予約ビッ トは変更できません。明記されていない属性は、7 Series FPGA Transceivers Wizardによって自動的に設定されます。これらの属性は、異なる値を明示的に要求する場合を除いてデフォ

ルトのまま と します。

表 D-2 : GTPE2_CHANNEL プリ ミテ ィブの DRP マップ

DRP

アドレスDRP ビッ ト R/W 属性名 属性ビッ ト

属性の

エンコード

DRP のエンコード

0000 15 R/W ACJTAG_RESET 0 0-1 0-1

0000 14 R/W ACJTAG_DEBUG_MODE 0 0-1 0-1

0000 13 R/W ACJTAG_MODE 0 0-1 0-1

0000 1 R/W UCODEER_CLR 0 0-1 0-1

000C 15:11 R/W RXBUFRESET_TIME 4:0 0-31 0-31

000D 14:10 R/W RXCDRPHRESET_TIME 4:0 0-31 0-31

000D 9:5 R/W RXCDRFREQRESET_TIME 4:0 0-31 0-31

000D 4:0 R/W RXPMARESET_TIME 4:0 0-31 0-31

000E 11:7 R/W RXPCSRESET_TIME 4:0 0-31 0-31

000E 6:0 R/W RXLPMRESET_TIME 6:0 0-127 0-127

000F 11:7 R/W RXISCANRESET_TIME 4:0 0-31 0-31

0010 15 R/W RXSYNC_OVRD 0 0-1 0-1

0010 14 R/W TXSYNC_OVRD 0 0-1 0-1

0010 13 R/W RXSYNC_SKIP_DA 0 0-1 0-1

0010 12 R/W TXSYNC_SKIP_DA 0 0-1 0-1

0010 11 R/W TXSYNC_MULTILANE 0 0-1 0-1

0010 10 R/W RXSYNC_MULTILANE 0 0-1 0-1

0010 9:5 R/W TXPCSRESET_TIME 4:0 0-31 0-31

0010 4:0 R/W TXPMARESET_TIME 4:0 0-31 0-31

0011 14 R/W RX_XCLK_SEL 0RXREC 0

RXUSR 1

0011 13:11 R/W RX_DATA_WIDTH 2:0

16 2

20 3

32 4

40 5

0011 10:6 R/W RX_CLK25_DIV 4:0

1 0

2 1

3 2

4 3

Page 282: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

282 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

付録 D : GTP ト ランシーバー DRP アドレス マップ

0011

(続き)10:6 R/W RX_CLK25_DIV 4:0

5 4

6 5

7 6

8 7

9 8

10 9

11 10

12 11

13 12

14 13

15 14

16 15

17 16

18 17

19 18

20 19

21 20

22 21

23 22

24 23

25 24

26 25

27 26

28 27

29 28

30 29

31 30

32 31

0011 5:4 R/W RX_CM_SEL 1:0 0-3 0-3

0011 0 R/W RXPRBS_ERR_LOOPBACK 0 0-1 0-1

0012 15:12 R/W SATA_BURST_SEQ_LEN 3:0 0-15 0-15

0012 11:10 R/W OUTREFCLK_SEL_INV 1:0 0-3 0-3

表 D-2 : GTPE2_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP

アドレスDRP ビッ ト R/W 属性名 属性ビッ ト

属性の

エンコード

DRP のエンコード

Page 283: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 283UG482 (v1.8) 2016 年 6 月 21 日

0012 9:7 R/W SATA_BURST_VAL 2:0 0-7 0-7

0012 6:0 R/W RXOOB_CFG 6:0 0-127 0-127

0013 14:9 R/W SAS_MIN_COM 5:0

1 1

2 2

3 3

4 4

5 5

6 6

7 7

8 8

9 9

10 10

11 11

12 12

13 13

14 14

15 15

16 16

17 17

18 18

19 19

20 20

21 21

22 22

23 23

24 24

25 25

26 26

27 27

28 28

29 29

30 30

表 D-2 : GTPE2_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP

アドレスDRP ビッ ト R/W 属性名 属性ビッ ト

属性の

エンコード

DRP のエンコード

Page 284: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

284 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

付録 D : GTP ト ランシーバー DRP アドレス マップ

0013

(続き)14:9 R/W SAS_MIN_COM 5:0

31 31

32 32

33 33

34 34

35 35

36 36

37 37

38 38

39 39

40 40

41 41

42 42

43 43

44 44

45 45

46 46

47 47

48 48

49 49

50 50

51 51

52 52

53 53

54 54

55 55

56 56

57 57

58 58

59 59

60 60

61 61

62 62

63 63

表 D-2 : GTPE2_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP

アドレスDRP ビッ ト R/W 属性名 属性ビッ ト

属性の

エンコード

DRP のエンコード

Page 285: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 285UG482 (v1.8) 2016 年 6 月 21 日

0013 8:3 R/W SATA_MIN_BURST 5:0

1 1

2 2

3 3

4 4

5 5

6 6

7 7

8 8

9 9

10 10

11 11

12 12

13 13

14 14

15 15

16 16

17 17

18 18

19 19

20 20

21 21

22 22

23 23

24 24

25 25

26 26

27 27

28 28

29 29

30 30

31 31

32 32

表 D-2 : GTPE2_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP

アドレスDRP ビッ ト R/W 属性名 属性ビッ ト

属性の

エンコード

DRP のエンコード

Page 286: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

286 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

付録 D : GTP ト ランシーバー DRP アドレス マップ

0013

(続き)8:3 R/W SATA_MIN_BURST 5:0

33 33

34 34

35 35

36 36

37 37

38 38

39 39

40 40

41 41

42 42

43 43

44 44

45 45

46 46

47 47

48 48

49 49

50 50

51 51

52 52

53 53

54 54

55 55

56 56

57 57

58 58

59 59

60 60

61 61

0013 2:0 R/W SATA_EIDLE_VAL 2:0 0-7 0-7

0014 11:6 R/W SATA_MIN_WAKE 5:01 1

2 2

表 D-2 : GTPE2_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP

アドレスDRP ビッ ト R/W 属性名 属性ビッ ト

属性の

エンコード

DRP のエンコード

Page 287: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 287UG482 (v1.8) 2016 年 6 月 21 日

0014

(続き)11:6 R/W SATA_MIN_WAKE 5:0

3 3

4 4

5 5

6 6

7 7

8 8

9 9

10 10

11 11

12 12

13 13

14 14

15 15

16 16

17 17

18 18

19 19

20 20

21 21

22 22

23 23

24 24

25 25

26 26

27 27

28 28

29 29

30 30

31 31

32 32

33 33

34 34

表 D-2 : GTPE2_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP

アドレスDRP ビッ ト R/W 属性名 属性ビッ ト

属性の

エンコード

DRP のエンコード

Page 288: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

288 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

付録 D : GTP ト ランシーバー DRP アドレス マップ

0014

(続き)11:6 R/W SATA_MIN_WAKE 5:0

35 35

36 36

37 37

38 38

39 39

40 40

41 41

42 42

43 43

44 44

45 45

46 46

47 47

48 48

49 49

50 50

51 51

52 52

53 53

54 54

55 55

56 56

57 57

58 58

59 59

60 60

61 61

62 62

63 63

0014 5:0 R/W SATA_MIN_INIT 5:0

1 1

2 2

3 3

表 D-2 : GTPE2_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP

アドレスDRP ビッ ト R/W 属性名 属性ビッ ト

属性の

エンコード

DRP のエンコード

Page 289: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 289UG482 (v1.8) 2016 年 6 月 21 日

0014

(続き)5:0 R/W SATA_MIN_INIT 5:0

4 4

5 5

6 6

7 7

8 8

9 9

10 10

11 11

12 12

13 13

14 14

15 15

16 16

17 17

18 18

19 19

20 20

21 21

22 22

23 23

24 24

25 25

26 26

27 27

28 28

29 29

30 30

31 31

32 32

33 33

34 34

35 35

表 D-2 : GTPE2_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP

アドレスDRP ビッ ト R/W 属性名 属性ビッ ト

属性の

エンコード

DRP のエンコード

Page 290: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

290 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

付録 D : GTP ト ランシーバー DRP アドレス マップ

0014

(続き)5:0 R/W SATA_MIN_INIT 5:0

36 36

37 37

38 38

39 39

40 40

41 41

42 42

43 43

44 44

45 45

46 46

47 47

48 48

49 49

50 50

51 51

52 52

53 53

54 54

55 55

56 56

57 57

58 58

59 59

60 60

61 61

62 62

63 63

0015 12:6 R/W SAS_MAX_COM 6:0

1 1

2 2

3 3

4 4

表 D-2 : GTPE2_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP

アドレスDRP ビッ ト R/W 属性名 属性ビッ ト

属性の

エンコード

DRP のエンコード

Page 291: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 291UG482 (v1.8) 2016 年 6 月 21 日

0015

(続き)12:6 R/W SAS_MAX_COM 6:0

5 5

6 6

7 7

8 8

9 9

10 10

11 11

12 12

13 13

14 14

15 15

16 16

17 17

18 18

19 19

20 20

21 21

22 22

23 23

24 24

25 25

26 26

27 27

28 28

29 29

30 30

31 31

32 32

33 33

34 34

35 35

表 D-2 : GTPE2_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP

アドレスDRP ビッ ト R/W 属性名 属性ビッ ト

属性の

エンコード

DRP のエンコード

Page 292: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

292 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

付録 D : GTP ト ランシーバー DRP アドレス マップ

0015

(続き)12:6 R/W SAS_MAX_COM 6:0

36

37 37

38 38

39 39

40 40

41 41

42 42

43 43

44 44

45 45

46 46

47 47

48 48

49 49

50 50

51 51

52 52

53 53

54 54

55 55

56 56

57 57

58 58

59 59

60 60

61 61

62 62

63 63

64 64

65 65

66 66

表 D-2 : GTPE2_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP

アドレスDRP ビッ ト R/W 属性名 属性ビッ ト

属性の

エンコード

DRP のエンコード

Page 293: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 293UG482 (v1.8) 2016 年 6 月 21 日

0015

(続き)12:6 R/W SAS_MAX_COM 6:0

67 67

68 68

69 69

70 70

71 71

71 71

73 73

74 74

75 75

76 76

77 77

78 78

79 79

80 80

81 81

82 82

83 83

84 84

85 85

86 86

87 87

88 88

89 89

90 90

91 91

92 92

93 93

94 94

95 95

96 96

97 97

98 98

表 D-2 : GTPE2_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP

アドレスDRP ビッ ト R/W 属性名 属性ビッ ト

属性の

エンコード

DRP のエンコード

Page 294: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

294 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

付録 D : GTP ト ランシーバー DRP アドレス マップ

0015

(続き)12:6 R/W SAS_MAX_COM 6:0

99 99

100 100

101 101

102 102

103 103

104 104

105 105

106 106

107 107

108 108

109 109

110 110

111 111

112 112

113 113

114 114

115 115

116 116

117 117

118 118

119 119

120 120

121 121

122 122

123 123

124 124

125 125

126 126

127 127

0015 5:0 R/W SATA_MAX_BURST 5:0

1 1

2 2

3 3

表 D-2 : GTPE2_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP

アドレスDRP ビッ ト R/W 属性名 属性ビッ ト

属性の

エンコード

DRP のエンコード

Page 295: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 295UG482 (v1.8) 2016 年 6 月 21 日

0015

(続き)5:0 R/W SATA_MAX_BURST 5:0

4 4

5 5

6 6

7 7

8 8

9 9

10 10

11 11

12 12

13 13

14 14

15 15

16 16

17 17

18 18

19 19

20 20

21 21

22 22

23 23

24 24

25 25

26 26

27 27

28 28

29 29

30 30

31 31

32 32

33 33

34 34

35 35

表 D-2 : GTPE2_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP

アドレスDRP ビッ ト R/W 属性名 属性ビッ ト

属性の

エンコード

DRP のエンコード

Page 296: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

296 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

付録 D : GTP ト ランシーバー DRP アドレス マップ

0015

(続き)5:0 R/W SATA_MAX_BURST 5:0

36 36

37 37

38 38

39 39

40 40

41 41

42 42

43 43

44 44

45 45

46 46

47 47

48 48

49 49

50 50

51 51

52 52

53 53

54 54

55 55

56 56

57 57

58 58

59 59

60 60

61 61

62 62

63 63

0016 11:6 R/W SATA_MAX_WAKE 5:0

1 1

2 2

3 3

4 4

表 D-2 : GTPE2_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP

アドレスDRP ビッ ト R/W 属性名 属性ビッ ト

属性の

エンコード

DRP のエンコード

Page 297: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 297UG482 (v1.8) 2016 年 6 月 21 日

0016

(続き)11:6 R/W SATA_MAX_WAKE 5:0

5 5

6 6

7 7

8 8

9 9

10 10

11 11

12 12

13 13

14 14

15 15

16 16

17 17

18 18

19 19

20 20

21 21

22 22

23 23

24 24

25 25

26 26

27 27

28 28

29 29

30 30

31 31

32 32

33 33

34 34

35 35

36 36

表 D-2 : GTPE2_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP

アドレスDRP ビッ ト R/W 属性名 属性ビッ ト

属性の

エンコード

DRP のエンコード

Page 298: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

298 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

付録 D : GTP ト ランシーバー DRP アドレス マップ

0016

(続き)11:6 R/W SATA_MAX_WAKE 5:0

37 37

38 38

39 39

40 40

41 41

42 42

43 43

44 44

45 45

46 46

47 47

48 48

49 49

50 50

51 51

52 52

53 53

54 54

55 55

56 56

57 57

58 58

59 59

60 60

61 61

62 62

63 63

0016 5:0 R/W SATA_MAX_INIT 5:0

1 1

2 2

3 3

4 4

5 5

表 D-2 : GTPE2_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP

アドレスDRP ビッ ト R/W 属性名 属性ビッ ト

属性の

エンコード

DRP のエンコード

Page 299: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 299UG482 (v1.8) 2016 年 6 月 21 日

0016

(続き)5:0 R/W SATA_MAX_INIT 5:0

6 6

7 7

8 8

9 9

10 10

11 11

12 12

13 13

14 14

15 15

16 16

17 17

18 18

19 19

20 20

21 21

22 22

23 23

24 24

25 25

26 26

27 27

28 28

29 29

30 30

31 31

32 32

33 33

34 34

35 35

36 36

37 37

表 D-2 : GTPE2_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP

アドレスDRP ビッ ト R/W 属性名 属性ビッ ト

属性の

エンコード

DRP のエンコード

Page 300: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

300 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

付録 D : GTP ト ランシーバー DRP アドレス マップ

0016

(続き)5:0 R/W SATA_MAX_INIT 5:0

38 38

39 39

40 40

41 41

42 42

43 43

44 44

45 45

46 46

47 47

48 48

49 49

50 50

51 51

52 52

53 53

54 54

55 55

56 56

57 57

58 58

59 59

60 60

61 61

62 62

63 63

0017 15:11 R/W RXOSCALRESET_TIMEOUT 4:0 0-31 0-31

0017 10:6 R/W RXOSCALRESET_TIME 4:0 0-31 0-31

0018 7:0 R/W TRANS_TIME_RATE 7:0 0-255 0-255

0019 15 R/W PMA_LOOPBACK_CFG 0 0-1 0-1

0019 12 R/W TX_PREDRIVER_MODE 0 0-1 0-1

0019 11:9 R/W TX_EIDLE_DEASSERT_DELAY 2:0 0-7 0-7

表 D-2 : GTPE2_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP

アドレスDRP ビッ ト R/W 属性名 属性ビッ ト

属性の

エンコード

DRP のエンコード

Page 301: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 301UG482 (v1.8) 2016 年 6 月 21 日

0019 8:6 R/W TX_EIDLE_ASSERT_DELAY 2:0 0-7 0-7

0019 5 R/W TX_LOOPBACK_DRIVE_HIZ 0FALSE 0

TRUE 1

0019 4:0 R/W TX_DRIVE_MODE 0DIRECT 0

PIPE 1

001A 15:8 R/W PD_TRANS_TIME_TO_P2 7:0 0-255 0-255

001A 7:0 R/W PD_TRANS_TIME_NONE_P2 7:0 0-255 0-255

001B 12:1 R/W PD_TRANS_TIME_FROM_P2 11:0 0-4095 0-4095

001B 0 R/W PCS_PCIE_EN 0FALSE 0

TRUE 1

001C 15 R/W TXBUF_RESET_ON_RATE_CHANGE 0FALSE 0

TRUE 1

001C 14 R/W TXBUF_EN 0FALSE 0

TRUE 1

001C 5 R/W TXGEARBOX_EN 0FALSE 0

TRUE 1

001C 2:0 R/W GEARBOX_MODE 2:0 0-7 0-7

001E 14 R/W RXLPM_HOLD_DURING_EIDLE 0 0-1 0-1

0024 12:0 R/W RX_OS_CFG 12:0 0-8191 0-8191

002A 15:14 R/W RXLPM_LF_CFG 17:16 0-3 0-3

002A 13:0 R/W RXLPM_HF_CFG 13:0 0-16383 0-16383

002B 15:0 R/W RXLPM_LF_CFG 15:0 0-65535 0-65535

002C 15:0 R/W ES_QUALIFIER 15:0 0-65535 0-65535

002D 15:0 R/W ES_QUALIFIER 31:16 0-65535 0-65535

002E 15:0 R/W ES_QUALIFIER 47:32 0-65535 0-65535

002F 15:0 R/W ES_QUALIFIER 63:48 0-65535 0-65535

0030 15:0 R/W ES_QUALIFIER 79:64 0-65535 0-65535

0031 15:0 R/W ES_QUAL_MASK 15:0 0-65535 0-65535

0032 15:0 R/W ES_QUAL_MASK 31:16 0-65535 0-65535

0033 15:0 R/W ES_QUAL_MASK 47:32 0-65535 0-65535

0034 15:0 R/W ES_QUAL_MASK 63:48 0-65535 0-65535

0035 15:0 R/W ES_QUAL_MASK 79:64 0-65535 0-65535

表 D-2 : GTPE2_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP

アドレスDRP ビッ ト R/W 属性名 属性ビッ ト

属性の

エンコード

DRP のエンコード

Page 302: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

302 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

付録 D : GTP ト ランシーバー DRP アドレス マップ

0036 15:0 R/W ES_SDATA_MASK 15:0 0-65535 0-65535

0037 15:0 R/W ES_SDATA_MASK 31:16 0-65535 0-65535

0038 15:0 R/W ES_SDATA_MASK 47:32 0-65535 0-65535

0039 15:0 R/W ES_SDATA_MASK 63:48 0-65535 0-65535

003A 15:0 R/W ES_SDATA_MASK 79:64 0-65535 0-65535

003B 15:11 R/W ES_PRESCALE 4:0 0-31 0-31

003B 8:0 R/W ES_VERT_OFFSET 8:0 0-511 0-511

003C 11:0 R/W ES_HORZ_OFFSET 11:0 0-4095 0-4095

003D 15 R/W RX_DISPERR_SEQ_MATCH 0FALSE 0

TRUE 1

003D 14 R/W DEC_PCOMMA_DETECT 0FALSE 0

TRUE 1

003D 13 R/W DEC_MCOMMA_DETECT 0FALSE 0

TRUE 1

003D 12 R/W DEC_VALID_COMMA_ONLY 0FALSE 0

TRUE 1

003D 9 R/W ES_ERRDET_EN 0FALSE 0

TRUE 1

003D 8 R/W ES_EYE_SCAN_EN 0FALSE 0

TRUE 1

003D 5:0 R/W ES_CONTROL 5:0 0-63 0-63

003E 9:0 R/W ALIGN_COMMA_ENABLE 9:0 0-1023 0-1023

003F 9:0 R/W ALIGN_MCOMMA_VALUE 9:0 0-1023 0-1023

0040 15:14 R/W RXSLIDE_MODE 1:0

OFF 0

AUTO 1

PCS 2

PMA 3

0040 9:0 R/W ALIGN_PCOMMA_VALUE 9:0 0-1023 0-1023

0041 14:13 R/W ALIGN_COMMA_WORD 1:01 1

2 2

0041 12:8 R/W RX_SIG_VALID_DLY 4:01 0

2 1

表 D-2 : GTPE2_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP

アドレスDRP ビッ ト R/W 属性名 属性ビッ ト

属性の

エンコード

DRP のエンコード

Page 303: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 303UG482 (v1.8) 2016 年 6 月 21 日

0041

(続き)12:8 R/W RX_SIG_VALID_DLY 4:0

3 2

4 3

5 4

6 5

7 6

8 7

9 8

10 9

11 10

12 11

13 12

14 13

15 14

16 15

17 16

18 17

19 18

20 19

21 20

22 21

23 22

24 23

25 24

26 25

27 26

28 27

29 28

30 29

31 30

32 31

0041 7 R/W ALIGN_PCOMMA_DET 0FALSE 0

TRUE 1

表 D-2 : GTPE2_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP

アドレスDRP ビッ ト R/W 属性名 属性ビッ ト

属性の

エンコード

DRP のエンコード

Page 304: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

304 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

付録 D : GTP ト ランシーバー DRP アドレス マップ

0041 6 R/W ALIGN_MCOMMA_DET 0FALSE 0

TRUE 1

0041 5 R/W SHOW_REALIGN_COMMA 0FALSE 0

TRUE 1

0041 4 R/W ALIGN_COMMA_DOUBLE 0FALSE 0

TRUE 1

0041 3:0 R/W RXSLIDE_AUTO_WAIT 3:0

0 0

1 1

2 2

3 3

4 4

5 5

6 6

7 7

8 8

9 9

10 10

11 11

12 12

13 13

14 14

15 15

0044 14 R/W CLK_CORRECT_USE 0FALSE 0

TRUE 1

0044 13:10 R/W CLK_COR_SEQ_1_ENABLE 3:0 0-15 0-15

0044 9:0 R/W CLK_COR_SEQ_1_1 9:0 0-1023 0-1023

0045 15:10 R/W CLK_COR_MAX_LAT 5:0

6 6

7 7

8 8

表 D-2 : GTPE2_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP

アドレスDRP ビッ ト R/W 属性名 属性ビッ ト

属性の

エンコード

DRP のエンコード

Page 305: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 305UG482 (v1.8) 2016 年 6 月 21 日

0045

(続き)15:10 R/W CLK_COR_MAX_LAT 5:0

9 9

10 10

11 11

12 12

13 13

14 14

15 15

16 16

17 17

18 18

19 19

20 20

21 21

22 22

23 23

24 24

25 25

26 26

27 27

28 28

29 29

30 30

31 31

32 32

33 33

34 34

35 35

36 36

37 37

38 38

39 39

表 D-2 : GTPE2_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP

アドレスDRP ビッ ト R/W 属性名 属性ビッ ト

属性の

エンコード

DRP のエンコード

Page 306: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

306 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

付録 D : GTP ト ランシーバー DRP アドレス マップ

0045

(続き)15:10 R/W CLK_COR_MAX_LAT 5:0

40 40

41 41

42 42

43 43

44 44

45 45

46 46

47 47

48 48

49 49

50 50

51 51

52 52

53 53

54 54

55 55

56 56

57 57

58 58

59 59

60 60

0045 9:0 R/W CLK_COR_SEQ_1_2 9:0 0-1023 0-1023

0046 15:10 R/W CLK_COR_MIN_LAT 5:0

4 4

5 5

6 6

7 7

8 8

9 9

10 10

11 11

12 12

表 D-2 : GTPE2_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP

アドレスDRP ビッ ト R/W 属性名 属性ビッ ト

属性の

エンコード

DRP のエンコード

Page 307: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 307UG482 (v1.8) 2016 年 6 月 21 日

0046

(続き)15:10 R/W CLK_COR_MIN_LAT 5:0

13 13

14 14

15 15

16 16

17 17

18 18

19 19

20 20

21 21

22 22

23 23

24 24

25 25

26 26

27 27

28 28

29 29

30 30

31 31

32 32

33 33

34 34

35 35

36 36

37 37

38 38

39 39

40 40

41 41

42 42

43 43

44 44

表 D-2 : GTPE2_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP

アドレスDRP ビッ ト R/W 属性名 属性ビッ ト

属性の

エンコード

DRP のエンコード

Page 308: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

308 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

付録 D : GTP ト ランシーバー DRP アドレス マップ

0046

(続き)15:10 R/W CLK_COR_MIN_LAT 5:0

45 45

46 46

47 47

48 48

49 49

50 50

51 51

52 52

53 53

54 54

55 55

56 56

57 57

58 58

59 59

60 60

0046 9:0 R/W CLK_COR_SEQ_1_3 9:0 0-1023 0-1023

0047 14:10 R/W CLK_COR_REPEAT_WAIT 4:0

0 0

1 1

2 2

3 3

4 4

5 5

6 6

7 7

8 8

9 9

10 10

11 11

12 12

13 13

14 14

表 D-2 : GTPE2_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP

アドレスDRP ビッ ト R/W 属性名 属性ビッ ト

属性の

エンコード

DRP のエンコード

Page 309: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 309UG482 (v1.8) 2016 年 6 月 21 日

0047

(続き)14:10 R/W CLK_COR_REPEAT_WAIT 4:0

15 15

16 16

17 17

18 18

19 19

20 20

21 21

22 22

23 23

24 24

25 25

26 26

27 27

28 28

29 29

30 30

31 31

0047 9:0 R/W CLK_COR_SEQ_1_4 9:0 0-1023 0-1023

0048 14 R/W CLK_COR_SEQ_2_USE 0FALSE 0

TRUE 1

0048 13:10 R/W CLK_COR_SEQ_2_ENABLE 3:0 0-15 0-15

0048 9:0 R/W CLK_COR_SEQ_2_1 9:0 0-1023 0-1023

0049 14 R/W CLK_COR_KEEP_IDLE 0FALSE 0

TRUE 1

0049 12 R/W CLK_COR_PRECEDENCE 0FALSE 0

TRUE 1

0049 11:10 R/W CLK_COR_SEQ_LEN 1:0

1 0

2 1

3 2

4 3

0049 9:0 R/W CLK_COR_SEQ_2_2 9:0 0-1023 0-1023

004A 9:0 R/W CLK_COR_SEQ_2_3 9:0 0-1023 0-1023

表 D-2 : GTPE2_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP

アドレスDRP ビッ ト R/W 属性名 属性ビッ ト

属性の

エンコード

DRP のエンコード

Page 310: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

310 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

付録 D : GTP ト ランシーバー DRP アドレス マップ

004B 15 R/W RXGEARBOX_EN 0FALSE 0

TRUE 1

004B 9:0 R/W CLK_COR_SEQ_2_4 9:0 0-1023 0-1023

004C 15:12 R/W CHAN_BOND_SEQ_1_ENABLE 3:0 0-15 0-15

004C 9:0 R/W CHAN_BOND_SEQ_1_1 9:0 0-1023 0-1023

004D 15:14 R/W CHAN_BOND_SEQ_LEN 1:0

1 0

2 1

3 2

4 3

004D 9:0 R/W CHAN_BOND_SEQ_1_2 9:0 0-1023 0-1023

004E 15 R/W CHAN_BOND_KEEP_ALIGN 0FALSE 0

TRUE 1

004E 9:0 R/W CHAN_BOND_SEQ_1_3 9:0 0-1023 0-1023

004F 9:0 R/W CHAN_BOND_SEQ_1_4 9:0 0-1023 0-1023

0050 15:12 R/W CHAN_BOND_SEQ_2_ENABLE 3:0 0-15 0-15

0050 11 R/W CHAN_BOND_SEQ_2_USE 0FALSE 0

TRUE 1

0050 9:0 R/W CHAN_BOND_SEQ_2_1 9:0 0-1023 0-1023

0051 15:12 R/W FTS_LANE_DESKEW_CFG 3:0 0-15 0-15

0051 11 R/W FTS_LANE_DESKEW_EN 0FALSE 0

TRUE 1

0051 9:0 R/W CHAN_BOND_SEQ_2_2 9:0 0-1023 0-1023

0052 15:12 R/W FTS_DESKEW_SEQ_ENABLE 3:0 0-15 0-15

0052 11 R/W CBCC_DATA_SOURCE_SEL 0ENCODED 0

DECODED 0

0052 9:0 R/W CHAN_BOND_SEQ_2_3 9:0 0-1023 0-1023

0053 15:12 R/W CHAN_BOND_MAX_SKEW 3:0

1 1

2 2

3 3

4 4

5 5

6 6

表 D-2 : GTPE2_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP

アドレスDRP ビッ ト R/W 属性名 属性ビッ ト

属性の

エンコード

DRP のエンコード

Page 311: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 311UG482 (v1.8) 2016 年 6 月 21 日

0053

(続き)15:12 R/W CHAN_BOND_MAX_SKEW 3:0

7 7

8 8

9 9

10 10

11 11

12 12

13 13

14 14

0053 9:0 R/W CHAN_BOND_SEQ_2_4 9:0 0-1023 0-1023

0054 15:0 R/W RXDLY_TAP_CFG 15:0 0-65535 0-65535

0055 15:0 R/W RXDLY_CFG 15:0 0-65535 0-65535

0057 12:8 R/W RXPH_MONITOR_SEL 4:0 0-31 0-31

0057 5:0 R/W RX_DDI_SEL 5:0 0-63 0-63

0059 7 R/W TX_XCLK_SEL 0TXOUT 0

TXUSR 1

0059 6 R/W RXBUF_EN 0FALSE 0

TRUE 1

005A 9 R/W TXOOB_CFG 0 0-1 0-1

005A 8 R/W LOOPBACK_CFG 0 0-1 0-1

005D 10:8 R/W TXPI_CFG5 2:0 0-7 0-7

005D 7 R/W TXPI_CFG4 0 0-1 0-1

005D 6 R/W TXPI_CFG3 0 0-1 0-1

005D 5:4 R/W TXPI_CFG2 1:0 0-3 0-3

005D 3:2 R/W TXPI_CFG1 1:0 0-3 0-3

005D 1:0 R/W TXPI_CFG0 1:0 0-3 0-3

005E 15:14 R/W SATA_PLL_CFG 1:0

VCO_3000MHZ 0

VCO_1500MHZ 1

VCO_750MHZ 2

0060 15:0 R/W TXPHDLY_CFG 15:0 0-65535 0-65535

0061 7:0 R/W TXPHDLY_CFG 23:16 0-255 0-255

0062 15:0 R/W TXDLY_CFG 15:0 0-65535 0-65535

0063 15:0 R/W TXDLY_TAP_CFG 15:0 0-65535 0-65535

表 D-2 : GTPE2_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP

アドレスDRP ビッ ト R/W 属性名 属性ビッ ト

属性の

エンコード

DRP のエンコード

Page 312: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

312 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

付録 D : GTP ト ランシーバー DRP アドレス マップ

0064 15:0 R/W TXPH_CFG 15:0 0-65535 0-65535

0065 12:8 R/W TXPH_MONITOR_SEL 4:0 0-31 0-31

0066 15:0 R/W RX_BIAS_CFG 15:0 0-65535 0-65535

0068 3 R/W RXOOB_CLK_CFG 0PMA 0

FABRIC 1

0068 1 R/W TX_CLKMUX_EN 0 0-1 0-1

0068 0 R/W RX_CLKMUX_EN 0 0-1 0-1

0069 14:0 R/W TERM_RCAL_CFG 14:0 0-32767 0-32767

006A 15:13 R/W TERM_RCAL_OVRD 2:0 0-7 0-7

006A 4:0 R/W TX_CLK25_DIV 4:0

1 0

2 1

3 2

4 3

5 4

6 5

7 6

8 7

9 8

10 9

11 10

12 11

13 12

14 13

15 14

16 15

17 16

18 17

19 18

20 19

21 20

22 21

23 22

表 D-2 : GTPE2_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP

アドレスDRP ビッ ト R/W 属性名 属性ビッ ト

属性の

エンコード

DRP のエンコード

Page 313: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 313UG482 (v1.8) 2016 年 6 月 21 日

006A

(続き)4:0 R/W TX_CLK25_DIV 4:0

24 23

25 24

26 25

27 26

28 27

29 28

30 29

31 30

32 31

006B 15 R/W PMA_RSV5 0 0-1 0-1

006B 11:8 R/W PMA_RSV4 3:0 0-15 0-15

006B 2:0 R/W TX_DATA_WIDTH 2:0

16 2

20 3

32 4

40 5

006F 15:0 R/W PCS_RSVD_ATTR 15:0 0-65535 0-65535

0070 15:0 R/W PCS_RSVD_ATTR 31:16 0-65535 0-65535

0071 15:0 R/W PCS_RSVD_ATTR 47:32 0-65535 0-65535

0075 14:8 R/W TX_MARGIN_FULL_1 6:0 0-127 0-127

0075 6:0 R/W TX_MARGIN_FULL_0 6:0 0-127 0-127

0076 14:8 R/W TX_MARGIN_FULL_3 6:0 0-127 0-127

0076 6:0 R/W TX_MARGIN_FULL_2 6:0 0-127 0-127

0077 14:8 R/W TX_MARGIN_LOW_0 6:0 0-127 0-127

0077 6:0 R/W TX_MARGIN_FULL_4 6:0 0-127 0-127

0078 14:8 R/W TX_MARGIN_LOW_2 6:0 0-127 0-127

0078 6:0 R/W TX_MARGIN_LOW_1 6:0 0-127 0-127

0079 14:8 R/W TX_MARGIN_LOW_4 6:0 0-127 0-127

0079 6:0 R/W TX_MARGIN_LOW_3 6:0 0-127 0-127

007A 13:8 R/W TX_DEEMPH1 5:0 0-63 0-63

007A 5:0 R/W TX_DEEMPH0 5:0 0-63 0-63

007C 10:8 R/W TX_RXDETECT_REF 2:0 0-7 0-7

007C 3 R/W TX_MAINCURSOR_SEL 0 0-1 0-1

表 D-2 : GTPE2_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP

アドレスDRP ビッ ト R/W 属性名 属性ビッ ト

属性の

エンコード

DRP のエンコード

Page 314: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

314 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

付録 D : GTP ト ランシーバー DRP アドレス マップ

007C 1:0 R/W PMA_RSV3 1:0 0-3 0-3

007D 15 R/W PMA_RSV7 0 0-1 0-1

007D 14 R/W PMA_RSV6 0 0-1 0-1

007D 13:0 R/W TX_RXDETECT_CFG 13:0 0-16383 0-16383

007E 15 R/W CLK_COMMON_SWING 0 0-1 0-1

007E 3:0 R/W RX_CM_TRIM 3:0 0-15 0-15

0081 4 R/W RXLPM_CFG1 0 0-1 0-1

0081 3:0 R/W RXLPM_CFG 3:0 0-15 0-15

0082 15:0 R/W PMA_RSV2 15:0 0-65535 0-65535

0083 15:0 R/W PMA_RSV2 31:16 0-65535 0-65535

0086 15:0 R/W DMONITOR_CFG 15:0 0-65535 0-65535

0087 7:0 R/W DMONITOR_CFG 23:16 0-255 0-255

0088 15 R/W RXLPM_BIAS_STARTUP_DISABLE 0 0-1 0-1

0088 14:11 R/W RXLPM_HF_CFG3 3:0 0-15 0-15

0088 6:4 R/W TXOUT_DIV 2:0

1 0

2 1

4 2

8 3

0088 2:0 R/W RXOUT_DIV 2:0

1 0

2 1

4 2

8 3

0089 15:0 R/W CFOK_CFG 15:0 0-65535 0-65535

008A 15:0 R/W CFOK_CFG 31:16 0-65535 0-65535

008B 10:0 R/W CFOK_CFG 42:32 0-2047 0-2047

008C 6:0 R/W CFOK_CFG3 6:0 0-127 0-127

008D 15:13 R/W RXPI_CFG0 2:0 0-7 0-7

008D 12 R/W RXLPM_CM_CFG 0 0-1 0-1

008D 11:10 R/W CFOK_CFG5 1:0 0-3 0-3

008D 9:5 R/W RXLPM_LF_CFG2 4:0 0-31 0-31

008D 4:0 R/W RXLPM_HF_CFG2 4:0 0-31 0-31

008E 15 R/W RXLPM_IPCM_CFG 0 0-1 0-1

表 D-2 : GTPE2_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP

アドレスDRP ビッ ト R/W 属性名 属性ビッ ト

属性の

エンコード

DRP のエンコード

Page 315: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 315UG482 (v1.8) 2016 年 6 月 21 日

008E 14 R/W RXLPM_INCM_CFG 0 0-1 0-1

008E 13 R/W CFOK_CFG4 0 0-1 0-1

008E 12:9 R/W CFOK_CFG6 3:0 0-15 0-15

008E 8:0 R/W RXLPM_GC_CFG 8:0 0-511 0-511

008F 7:5 R/W RXLPM_GC_CFG2 2:0 0-7 0-7

008F 4 R/W RXPI_CFG1 0 0-1 0-1

008F 3 R/W RXPI_CFG2 0 0-1 0-1

008F 2:0 R/W RXLPM_OSINT_CFG 2:0 0-7 0-7

0091 15 R/W ES_CLK_PHASE_SEL 0 0-1 0-1

0091 14 R/W USE_PCS_CLK_PHASE_SEL 0 0-1 0-1

0091 12:6 R/W CFOK_CFG2 6:0 0-127 0-127

0092 15:0 R/W ADAPT_CFG0 15:0 0-65535 0-65535

0093 3:0 R/W ADAPT_CFG0 19:16 0-15 0-15

0095 7:0 R/W TXPI_PPM_CFG 7:0 0-255 0-255

0096 5 R/W TXPI_GREY_SEL 0 0-1 0-1

0096 4 R/W TXPI_INVSTROBE_SEL 0 0-1 0-1

0096 3 R/W TXPI_PPMCLK_SEL 0TXUSRCLK 0

TXUSRCLK2 1

0096 2:0 R/W TXPI_SYNFREQ_PPM 2:0 0-7 0-7

0097 15:0 R/W TST_RSV 15:0 0-65535 0-65535

0098 15:0 R/W TST_RSV 31:16 0-65535 0-65535

0099 15:0 R/W PMA_RSV 15:0 0-65535 0-65535

009A 15:0 R/W PMA_RSV 31:16 0-65535 0-65535

009B 5:0 R/W RX_BUFFER_CFG 5:0 0-63 0-63

009C 8 R/W RXBUF_THRESH_OVRD 0FALSE 0

TRUE 1

009C 6 R/W RXBUF_RESET_ON_EIDLE 0FALSE 0

TRUE 1

009C 5:0 R/W RXBUF_THRESH_UNDFLW 5:0

0 0

1 1

2 2

3 3

表 D-2 : GTPE2_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP

アドレスDRP ビッ ト R/W 属性名 属性ビッ ト

属性の

エンコード

DRP のエンコード

Page 316: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

316 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

付録 D : GTP ト ランシーバー DRP アドレス マップ

009C

(続き)5:0 R/W RXBUF_THRESH_UNDFLW 5:0

4 4

5 5

6 6

7 7

8 8

9 9

10 10

11 11

12 12

13 13

14 14

15 15

16 16

17 17

18 18

19 19

20 20

21 21

22 22

23 23

24 24

25 25

26 26

27 27

28 28

29 29

30 30

31 31

32 32

33 33

34 34

35 35

表 D-2 : GTPE2_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP

アドレスDRP ビッ ト R/W 属性名 属性ビッ ト

属性の

エンコード

DRP のエンコード

Page 317: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 317UG482 (v1.8) 2016 年 6 月 21 日

009C

(続き)5:0 R/W RXBUF_THRESH_UNDFLW 5:0

36 36

37 37

38 38

39 39

40 40

41 41

42 42

43 43

44 44

45 45

46 46

47 47

48 48

49 49

50 50

51 51

52 52

53 53

54 54

55 55

56 56

57 57

58 58

59 59

60 60

61 61

62 62

63 63

009D 15:12 R/W RXBUF_EIDLE_HI_CNT 3:0 0-15 0-15

009D 11:8 R/W RXBUF_EIDLE_LO_CNT 3:0 0-15 0-15

009D 7 R/W RXBUF_ADDR_MODE 0FULL 0

FAST 1

表 D-2 : GTPE2_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP

アドレスDRP ビッ ト R/W 属性名 属性ビッ ト

属性の

エンコード

DRP のエンコード

Page 318: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

318 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

付録 D : GTP ト ランシーバー DRP アドレス マップ

009D 6:1 R/W RXBUF_THRESH_OVFLW 5:0

0 0

1 1

2 2

3 3

4 4

5 5

6 6

7 7

8 8

9 9

10 10

11 11

12 12

13 13

14 14

15 15

16 16

17 17

18 18

19 19

20 20

21 21

22 22

23 23

24 24

25 25

26 26

27 27

28 28

29 29

30 30

31 31

表 D-2 : GTPE2_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP

アドレスDRP ビッ ト R/W 属性名 属性ビッ ト

属性の

エンコード

DRP のエンコード

Page 319: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド japan.xilinx.com 319UG482 (v1.8) 2016 年 6 月 21 日

009D

(続き)6:1 R/W RXBUF_THRESH_OVFLW 5:0

32 32

33 33

34 34

35 35

36 36

37 37

38 38

39 39

40 40

41 41

42 42

43 43

44 44

45 45

46 46

47 47

48 48

49 49

50 50

51 51

52 52

53 53

54 54

55 55

56 56

57 57

58 58

59 59

60 60

61 61

62 62

63 63

表 D-2 : GTPE2_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP

アドレスDRP ビッ ト R/W 属性名 属性ビッ ト

属性の

エンコード

DRP のエンコード

Page 320: 7 シリーズ FPGA GTP トランシーバー ユーザー ਠガイド … · 7 シリーズ fpga gtp トランシーバー ユーザー ガイド ug482 (v1.8) 2016 年 6 月 21

320 japan.xilinx.com 7 シリーズ FPGA GTP ト ランシーバー ユーザー ガイド

UG482 (v1.8) 2016 年 6 月 21 日

付録 D : GTP ト ランシーバー DRP アドレス マップ

009D 0 R/W RX_DEFER_RESET_BUF_EN 0FALSE 0

TRUE 1

009E 2 R/W RXBUF_RESET_ON_COMMAALIGN 0FALSE 0

TRUE 1

009E 1 R/W RXBUF_RESET_ON_RATE_CHANGE 0FALSE 0

TRUE 1

009E 0 R/W RXBUF_RESET_ON_CB_CHANGE 0FALSE 0

TRUE 1

009F 8:0 R/W TXDLY_LCFG 8:0 0-511 0-511

00A0 8:0 R/W RXDLY_LCFG 8:0 0-511 0-511

00A1 15:0 R/W RXPH_CFG 15:0 0-65535 0-65535

00A2 7:0 R/W RXPH_CFG 23:16 0-255 0-255

00A3 15:0 R/W RXPHDLY_CFG 15:0 0-65535 0-65535

00A4 7:0 R/W RXPHDLY_CFG 23:16 0-255 0-255

00A5 13:0 R/W RX_DEBUG_CFG 13:0 0-16383 0-16383

00A6 9:0 R/W ES_PMA_CFG 9:0 0-1023 0-1023

00A7 13 R/W RXCDR_PH_RESET_ON_EIDLE 0 0-1 0-1

00A7 12 R/W RXCDR_FR_RESET_ON_EIDLE 0 0-1 0-1

00A7 11 R/W RXCDR_HOLD_DURING_EIDLE 0 0-1 0-1

00A7 5:0 R/W RXCDR_LOCK_CFG 5:0 0-63 0-63

00A8 15:0 R/W RXCDR_CFG 15:0 0-65535 0-65535

00A9 15:0 R/W RXCDR_CFG 31:16 0-65535 0-65535

00AA 15:0 R/W RXCDR_CFG 47:32 0-65535 0-65535

00AB 15:0 R/W RXCDR_CFG 63:48 0-65535 0-65535

00AC 15:0 R/W RXCDR_CFG 79:64 0-65535 0-65535

00AD 2:0 R/W RXCDR_CFG 82:80 0-7 0-7

表 D-2 : GTPE2_CHANNEL プリ ミテ ィブの DRP マップ (続き)

DRP

アドレスDRP ビッ ト R/W 属性名 属性ビッ ト

属性の

エンコード

DRP のエンコード