Clase5. Multiplexores-Demultiplexores

Embed Size (px)

Citation preview

  • 8/12/2019 Clase5. Multiplexores-Demultiplexores

    1/39

    MULTIPLEXORES Y DEMULTIPLEXORES

  • 8/12/2019 Clase5. Multiplexores-Demultiplexores

    2/39

    Introduccin

    Los circuitos MSI son los que estn constituidos por un nmero

    depuertas lgicas comprendidos entre 12 y 100. Estos circuitos

    combinacionales se utilizan mucho en electrnica digital y son la

    base para la creacin de diseos ms complejos. Entre ellos

    tenemos los siguientes circuitos:

    Multiplexores y demultiplexores

    Codificadores y decodificadores

    Comparadores

    Lo ms importante es comprender para qu sirven, cmo

    funcionan y que bits de entrada y salida utilizan.

  • 8/12/2019 Clase5. Multiplexores-Demultiplexores

    3/39

    Un Multiplexor o Selector de datos es un circuito lgico que acepta varias

    entradas de datos y permite que slo una de ellas pase a un tiempo a la

    salida. El enrutamiento de la entrada de datos hacia la salida est controlado

    por las entradas de seleccin.

    El multiplexor, tambin conocido como MUX, acta como un conmutador

    multiposicional controlado digitalmente, donde el cdigo digital aplicado a las

    entradas de seleccin controla cules entradas de datos sern conmutadas

    hacia la salida.

    Multiplexores

  • 8/12/2019 Clase5. Multiplexores-Demultiplexores

    4/39

    Los multiplexores son representados en diagramas de bloques como

    trapezoides issceles. A continuacin se muestra el esquemtico de un

    multiplexor de dos entradas y una salida con su respectivo bit de seleccin.

    A continuacin se muestran los smbolos esquemticos de los multiplexores

    de 4 a 1, 8 a 1 y 16 a 1, con sus respectivas lneas de seleccin.

    Multiplexores

  • 8/12/2019 Clase5. Multiplexores-Demultiplexores

    5/39

    El multiplexor ms simple es el que slo tiene una entrada de

    seleccin, S, que permite seleccionar entre dos entradas de datos,

    segn que S=0 S=1.

    La funcin F que describe el comportamiento de un multiplexor con una nica

    entrada de seleccin, se describe mediante la siguiente tabla:

    Multiplexores con una entrada de seleccin

    Smbolo

    S F

    0 I0

    1 I1

  • 8/12/2019 Clase5. Multiplexores-Demultiplexores

    6/39

    Como el multiplexor tiene 2 entradas

    de seleccin, se podr seleccionar

    hasta 4 entradas de datos. El circuito

    es como el siguiente:

    Hay 4 entradas de datosy 2 entradas

    de seleccin, en total 6 entradas.

    Multiplexores con dos entradas de seleccin

    Describiremos al multiplexor

    mediante la siguiente tabla de

    verdad:

    S1 S0 F0 0 I00 1 I11 0 I21 1 I3

  • 8/12/2019 Clase5. Multiplexores-Demultiplexores

    7/39

    A veces pueden verse en forma rectangular asemejando el circuito integrado

    que representa. Por ejemplo:

    Veamos ahora la implementacin de multiplexores a nivel SSI. Para el caso de

    un multiplexor de 2 entradas y una salida.

    Multiplexores

  • 8/12/2019 Clase5. Multiplexores-Demultiplexores

    8/39

    Es un circuito combinacional que posee 2ncanales de entrada, nentradas deseleccin y uno de salida , para hacer posible la seleccin de cualquiera de

    los canales de entrada y que su nivel lgico se presente en el canal de salida.

    En general, en un multiplexor tenemos dos tipos de entradas:

    Entradas de datos

    Entrada de seleccin

    2n

    nE

    Multiplexores

    Multiplexor de 4 canales

    de entrada, de 1 bit

    Multiplexor de 4 canales

    de entrada, de 2 bits

  • 8/12/2019 Clase5. Multiplexores-Demultiplexores

    9/39

    Los multiplexores y en general la mayora

    de circuitos MSI, disponen de una entrada

    de validacin, que funciona como

    interruptor de encendido/apagado. Si la

    entrada de validacin est activada(E=1)

    el circuito funcionar normalmente. Perosi est desactivada(E=0), el circuito

    sacar el valor 0 por todas sus salidas,

    independientemente de lo que llegue por

    sus entradas.

    1. ENTRADA DE VALIDACIN

    ACTIVA A NIVEL ALTOMultiplexor de 4 entradas de datos, 2

    entradas de seleccin y una entrada

    de validacin a nivel alto.

    Multiplexores con entrada de validacin (ENABLE)

    La tabla de verdad es la siguiente:

    E S1 S0 Z

    0 0 0 0

    0 0 1 0

    0 1 0 0

    0 1 1 0

    1 0 0 I0

    1 0 1 I1

    1 1 0 I2

    1 1 1 I3

    E S1 S0 Z

    0 x x 0

    1 0 0 I0

    1 0 1 I1

    1 1 0 I2

    1 1 1 I3

  • 8/12/2019 Clase5. Multiplexores-Demultiplexores

    10/39

    2. ENTRADA DE VALIDACINACTIVA A NIVEL BAJO

    Se suele denotar mediante . Cuandola entrada E est a 0 (E=0)el

    multiplexor funciona normalmente, y

    cuando est a 1 (E=1)est

    desconectado.

    Multiplexores con entrada de validacin (ENABLE)

    La tabla de verdad es la siguiente:

    E S1 S0 Z

    0 0 0 I0

    0 0 1 I10 1 0 I20 1 1 I31 x x 0

  • 8/12/2019 Clase5. Multiplexores-Demultiplexores

    11/39

    Los multiplexores pueden ser

    organizados en cascadapara

    implementar MUX ms grandes, con

    esto lograremos aumentar el nmero

    de entradas.

    Ejemplo:Construir un Multiplexor de 8canales con multiplexores de 2 canales.

    Extensin de multiplexores

    Colocamos en la 1ra. columna 4 MUX de dos

    entradas, para tener en total 8 entradas, todas

    las entradas de seleccin se unen. Luego en la

    2da. columna colocamos 2 MUX de 2 entradas,

    tambin con sus entradas de seleccin unidas.

    Finalmente, colocamos una 3ra. columna con

    un nico multiplexor de 2 entradas.

  • 8/12/2019 Clase5. Multiplexores-Demultiplexores

    12/39

    Comprobaremos si el diseo es correcto,

    por ejemplo que ocurre si seleccionamos

    el canal 6.

    Introducimos en binario el nmero 6 por las

    entradas de seleccin: S2=1, S1=1 y S0=0.

    Por la entrada Sde los multiplexores de la1ra. columna se introduce un 0, por lo que

    estos multiplexores sacan por sus salidas lo

    que hay en sus entradas I0, I2, I4e I6.

    Por la entrada de seleccin de los

    multiplexores de la 2da. columna se

    introduce un 1 por lo que estnseleccionando su canal I1. A la salida de

    estos multiplexores se tendr:I2e I6.

    Finalmente, el multiplexor de la ltima

    columna est seleccionando su entrada I1,

    por lo que la salida final es I6.

    Extensin de multiplexores

  • 8/12/2019 Clase5. Multiplexores-Demultiplexores

    13/39

    Ejemplo:Construir un Multiplexor de16 entradas usando multiplexores de 4.

    Los conectamos en cascada, para lo cual

    en la 1ra. columna se colocan 4

    multiplexores de 4 entradas, con entradas

    S0y S1todos ellos unidos . En la segunda

    fila hay un nico multiplexor de 4

    entradas.

    Extensin de multiplexores

  • 8/12/2019 Clase5. Multiplexores-Demultiplexores

    14/39

    Implementacin de funciones con MUX

    1) Si el nmero de variablesbooleanas es igual que elnmero de lneas de seleccindel multiplexor

    Las variables se

    conectan a las lneas

    de seleccin en el

    mismo orden en

    cuanto al peso.

    En las entradas del

    multiplexor seconectan las

    constantes lgicas

    obtenidas de la tabla

    de verdad

    De esta forma, cada vez que en las

    lneas de seleccin se coloque una

    de las posibles combinaciones

    binarias, el dato que se encuentre en

    la entrada correspondiente aparece

    en la salida.A B C F

    0 0 0 1

    0 0 1 0

    0 1 0 1

    0 1 1 1

    1 0 0 1

    1 0 1 0

    1 1 0 0

    1 1 1 0

    A

    B

    C

    1011

    1000

    MUX

    8x1

    I0I1I2I3

    I4I5I6I7

    F

    S2 S1 S0

  • 8/12/2019 Clase5. Multiplexores-Demultiplexores

    15/39

    Implementacin de funciones con MUX

    2)Si el nmero de variablesbooleanas es mayor que elnmero de lneas deseleccin del multiplexorSe usa un multiplexor con un

    nmero de entradas de seleccinmenor en una unidad al nmero

    de variables. Se deja una variable,

    normalmente la de menor peso,

    (aunque puede ser cualquier otra),

    sin conectar a las entradas de

    seleccin, mientras que lasrestantes variables se conectan a

    las lneas de seleccin, en el mismo

    orden de peso.

    Para generar funcionesde nvariablesse usarn

    multiplexores de n-1

    lneas de seleccin

  • 8/12/2019 Clase5. Multiplexores-Demultiplexores

    16/39

    Implementacin de funciones con MUX

    Ejemplo:Implementar la funcincon un multiplexor dada la siguiente

    tabla de verdad:w1ww0

    w 0

    x y z w f

    0 0 0 0 0

    0 0 0 1 1

    0 0 1 0 1

    0 0 1 1 1

    0 1 0 0 0

    0 1 0 1 1

    0 1 1 0 0

    0 1 1 1 1

    1 0 0 0 0

    1 0 0 1 0

    1 0 1 0 0

    1 0 1 1 1

    1 1 0 0 1

    1 1 0 1 0

    1 1 1 0 01 1 1 1 0

    x y z

    w

    1

    0

    x y z

  • 8/12/2019 Clase5. Multiplexores-Demultiplexores

    17/39

    Ejemplo:Implementar la funcin

    F = yz + x+ utilizando un multiplexor, sin

    entrada de validacin.

    Como se tiene 3 variables, se puedeimplementar utilizando un

    multiplexor de 2 entradas de

    control.

    Implementacin de funciones con MUX

    x y z F

    0 0 0 1

    0 0 1 0

    0 1 0 0

    0 1 1 1

    1 0 0 1

    1 0 1 1

    1 1 0 0

    1 1 1 0

    22 = 4Entradas

    de datos

    I0

    I1

    I2

    I3 xy

    z10

  • 8/12/2019 Clase5. Multiplexores-Demultiplexores

    18/39

    Implementacin de funciones con MUX

    Ejemplo: Implementar la funcin

    F =B +B+ A+Cutilizando un multiplexor, sin entrada de

    validacin.

    Las entradas Ay Blas conectamos

    directamente a S1 y S0, respectivamente.

    A B C F

    0 0 0 0

    0 0 1 1

    0 1 0 1

    0 1 1 0

    1 0 0 1

    1 0 1 0

    1 1 0 1

    1 1 1 1

    I0

    I1

    I2

    I3 AB

    C

    1

  • 8/12/2019 Clase5. Multiplexores-Demultiplexores

    19/39

    Ejemplo: Implementar la funcinutilizando un multiplexor, considerando la

    siguiente tabla de verdad.

    Las entradas A, By Cse

    conectan directamente a

    S2, S1 y S0. Los valores que

    se introducen por lasentradas son:

    I0= I1= I2= I3

    = 1

    I4= I5= 0

    I6= 1

    I7= 1

    A B C D F

    0 0 0 0 1

    0 0 0 1 0

    0 0 1 0 1

    0 0 1 1 0

    0 1 0 0 1

    0 1 0 1 0

    0 1 1 0 1

    0 1 1 1 1

    1 0 0 0 1

    1 0 0 1 0

    1 0 1 0 0

    1 0 1 1 0

    1 1 0 0 1

    1 1 0 1 1

    1 1 1 0 1

    1 1 1 1 1

    Ejemplo: F(A, B, C) = (1,3,5,6)

    Los valores que se

    introducen por las

    entradas son:

    I0

    =

    I1= I2= I3=

    Implementacin de funciones con MUX

    Trminomnimo

    A B C F

    0 0 0 0 0

    1 0 0 1 1

    2 0 1 0 03 0 1 1 1

    4 1 0 0 0

    5 1 0 1 1

    6 1 1 0 1

    7 1 1 1 0

  • 8/12/2019 Clase5. Multiplexores-Demultiplexores

    20/39

    Ejemplo: Implementar la funcinutilizando un multiplexor, considerando

    la siguiente tabla de verdad.

    Las entradas A, B y Cse

    conectan directamente a

    S2, S1 y S0. Los valores que

    se introducen por lasentradas son:

    I0=D

    I1=D

    I2= I3= 0

    I4= 0

    I5=D

    I6= 1

    I7= 1

    A B C D F

    0 0 0 0 0

    0 0 0 1 1

    0 0 1 0 0

    0 0 1 1 1

    0 1 0 0 1

    0 1 0 1 0

    0 1 1 0 0

    0 1 1 1 0

    1 0 0 0 0

    1 0 0 1 0

    1 0 1 0 0

    1 0 1 1 1

    1 1 0 0 1

    1 1 0 1 1

    1 1 1 0 1

    1 1 1 1 1

    El circuito final es:

    Implementacin de funciones con MUX

  • 8/12/2019 Clase5. Multiplexores-Demultiplexores

    21/39

    Implementacin de funciones con MUX

    Ejemplo:

    , , , = (, , , , , , , )Ejemplo: Implementar la funcin utilizandoun multiplexor, considerando la siguientetabla de verdad.

    Las entradas A, B y C seconectan directamente a

    S2, S1 y S0. Los valoresque se introducen por lasentradas son:

    I0= I1= I2= I3= 1

    I4= I5= 0

    I6= 1

    I7= 1

    x y z t f

    0 0 0 0 0

    0 0 0 1 0

    0 0 1 0 0

    0 0 1 1 1

    0 1 0 0 1

    0 1 0 1 1

    0 1 1 0 1

    0 1 1 1 1

    1 0 0 0 0

    1 0 0 1 0

    1 0 1 0 0

    1 0 1 1 0

    1 1 0 0 1

    1 1 0 1 1

    1 1 1 0 1

    1 1 1 1 0

    A B C D F

    0 0 0 0 1

    0 0 0 1 0

    0 0 1 0 1

    0 0 1 1 0

    0 1 0 0 1

    0 1 0 1 0

    0 1 1 0 1

    0 1 1 1 1

    1 0 0 0 1

    1 0 0 1 0

    1 0 1 0 0

    1 0 1 1 0

    1 1 0 0 1

    1 1 0 1 1

    1 1 1 01

    1 1 1 1 1

  • 8/12/2019 Clase5. Multiplexores-Demultiplexores

    22/39

    Implementacin de funciones con MUX con entrada

    de validacin

    Ejemplo: Implementar la funcinF =AC+ABC utilizando unmultiplexor, sin entrada de validacin.

    Las entradas Ay Bse

    conectan directamente

    a S1y S0. Los valoresque se introducen por

    las entradas son:

    I0= 0

    I1= 0

    I2= C

    I3= C

    A B C F

    0 0 0 0

    0 0 1 00 1 0 0

    0 1 1 0

    1 0 0 0

    1 0 1 1

    1 1 0 0

    1 1 1 1

    I0

    I1

    I2

    I3

    Ahora implementaremos la funcin conun multiplexor con entrada de validacin

    Sacamos factor comn: F=A (C+BC)Esta es la ecuacin de un multiplexor de una

    entrada de control y una entrada de

    validacin. Si A=0, entonces F=0, y si A=1, secomporta como un multiplexor.

  • 8/12/2019 Clase5. Multiplexores-Demultiplexores

    23/39

    Implementacin de funciones con MUX

    Ejercicios propuestos.1. Implementar la funcin utilizando un multiplexor.

    F=+C+B+AC2. Implementar la funcin utilizando un multiplexor.

    F(A, B, C, D) = (,,,,,,)3. El multiplexor de la figura implementa una funcin lgica de cuatro variables.

    Deducir los trminos de la funcin, montar el circuito y comprobar el

    funcionamiento.

  • 8/12/2019 Clase5. Multiplexores-Demultiplexores

    24/39

    Implementacin de funciones con MUX

    Ejercicios propuestos.4. Deducir la funcin que realiza el siguiente circuito.

  • 8/12/2019 Clase5. Multiplexores-Demultiplexores

    25/39

    MUX en circuito integrado

    La siguiente es una lista de los MUX de circuito integrado ms populares de la

    familia TTL:

    74157: Cuatro mux de 2 a 1 con seal strobe

    74158: Cuatro mux de 2 a 1 con seal strobe salidas invertidas

    74153: Dos mux de 4 a 1 con strobe74151: Un mux de 8 a 1 (salida invertida y sin invertir), con strobe

    74152: Un mux de 8 a 1 (salida invertida)

    74150: Un mux de 16 a 1 con strobe

  • 8/12/2019 Clase5. Multiplexores-Demultiplexores

    26/39

    Descripcin del MUX 74151

    La entrada strobe (S) es una seal de habilitacin/deshabilitacin delMUX.

    La seal Strobe (S) permite la interconexin de un mux con otros mux paraexpandir su capacidad a un mayor nmero de entradas.

  • 8/12/2019 Clase5. Multiplexores-Demultiplexores

    27/39

    Implementacin de un mux de 16 a 1

    usando circuitos 74151

  • 8/12/2019 Clase5. Multiplexores-Demultiplexores

    28/39

    Aplicacin de la multiplexacin

    Visualizar la multiplexacinEn este ejemplo, el multiplexaje reduce el consumo de potencia de los

    visualizadores y elimina la necesidad de un decodificador extra. El multiplexor

    trabaja a una frecuencia de 100 Hz que activa alternativamente el conteo de

    las unidades o de las decenas.

  • 8/12/2019 Clase5. Multiplexores-Demultiplexores

    29/39

    El demultiplexor (DEMUX) invierte la operacin del multiplexor, el

    DEMUX tiene una sola entrada de datos que en la salida puede ser

    distribuida a cualquiera de las mltiples salidas.

    Demultiplexores

  • 8/12/2019 Clase5. Multiplexores-Demultiplexores

    30/39

    En general en un demultiplexor tendremos:

    Una entrada de datos

    Una entrada de seleccin: que indica a cul de las salidas se

    manda la entrada

    Varios canales de datos de salida. Slo estar activo el que se

    haya seleccionado.

    Demultiplexores

  • 8/12/2019 Clase5. Multiplexores-Demultiplexores

    31/39

    El demultiplexor ms simple es el que tiene una entrada de seleccin,

    una entrada de datos y dos salidas. Segn el valor de la entrada de

    seleccin, la entrada de datos se sacar por la salida O0o por la O1.

    Las funciones para O0y O1, slo depende de la entrada de seleccin

    (S). As podemos describir el multiplexor, mediante la siguiente tabla:

    Demultiplexor de una entrada de seleccin

    S O1 O0

    0 0 I

    1 I 0

  • 8/12/2019 Clase5. Multiplexores-Demultiplexores

    32/39

    Este demultiplexor tiene dos entradas de seleccin y cuatro salidas:

    La entrada I se saca por la salida indicada en las entradas de seleccin.

    La tabla de verdad abreviada la podemos expresar as:

    Demultiplexor de dos entradas de seleccin

    S1 S0 O3 O2 O1 O0

    0 0 0 0 0 I

    0 1 0 0 I 0

    1 0 0 I 0 0

    1 1 I 0 0 0

  • 8/12/2019 Clase5. Multiplexores-Demultiplexores

    33/39

    Los 4 sistemas a, b, cy d, necesitan enviar informacin a otros 4 dispositivos

    A, B, Cy D. La comunicacin es uno a uno, es decir, el sistema aslo enva

    informacin al sistema A, el bal B, el cal Cy el dal D.

    Aplicacin tpica de multiplexores y

    demultiplexores

  • 8/12/2019 Clase5. Multiplexores-Demultiplexores

    34/39

    Demux en circuito integrado

    La siguiente es una lista de los demultiplexores/decodificadores ms

    populares en circuito integrado de la familia TTL:

    74138: Demux/decodificador de 3 a 8

    74139: Demux/decodificador de 2 a 4, doble

    74141: Decodificador/driver BCD - decimal74154: Demux/Decodificador de 4 a 16

    74159: Demux/decodificador de 4 a 16 con salidas de colector abierto

    74155: Demux/decodificador doble de 2 a 4

    74156: igual al 74155, pero con salidas de colector abierto

  • 8/12/2019 Clase5. Multiplexores-Demultiplexores

    35/39

    Descripcin del Demux/decodificador 74138

    Las entradas G2A, G2B y G1 pueden ser usadas como dato de

    entrada del mux de 1 a 8 o bien, como seales tipo strobe para

    habilitar o deshabilitar al decodificador de 3 a 8.

  • 8/12/2019 Clase5. Multiplexores-Demultiplexores

    36/39

    Implementacin de un demux de 1 a 16

    usando circuitos 74138

  • 8/12/2019 Clase5. Multiplexores-Demultiplexores

    37/39

  • 8/12/2019 Clase5. Multiplexores-Demultiplexores

    38/39

    LABORATORIO

    Disear un circuito combinacional que active un display de 7segmentos.

    El circuito admite como entrada las lneas A3, A2, A1 y A0, querepresentan un nmero binario de 4 bits, siendo A3 el bit mssignificativo. Las lneas de salida actan directamente sobre los siete

    segmentos del display. Las salidas y segmentos con la misma letraestn conectados.

    La presentacin en el display debe ser la siguiente:

    a) Si el nmero no es primo y es mayor que 5, debe aparecer el mayorde sus divisores, excluido el propio nmero, es decir, no considerarque un nmero es el mayor divisor de s mismo. Ejemplo: si el

    nmero fuera 36, sus divisores son 2, 3, 4, 6, 9, 12 y 18. El mayorsera 18.

    b) Si el nmero es primo o menor que 4, debe aparecer la letra P.

    c) En el resto del caso debe aparecer la letra E.

  • 8/12/2019 Clase5. Multiplexores-Demultiplexores

    39/39

    1. Encontrar la tabla de verdad queresuelve el circuito.

    2. Implementar las funciones de la

    siguiente forma:

    a) La funcin ausando puerta lgicas.

    b) La funcin busando slo puertasNAND.

    c) La funcin cusando slo puertas NOR

    d) La funcin dusando un MUX 4x1

    tomando A1 y A0 como lneas de

    seleccin S1 y S0, respectivamente.

    e) La funcin econ puertas OR y una

    puerta AND

    f) La funcin fcon puertas AND y una

    puerta OR.

    g) La funcin gcon un Decodificador con

    lid i i l b j

    LABORATORIO