69
Stran 1 1.) Značilnosti številskih sestavov (sistemov) Številski sestav je skup pravil, ki določajo količinska razmerja med števili. Pravila, po katerih so zgrajeni številski sestavi, so lahko dokaj različna, praktično pa prevladujeta le dva principa številskih sestavov, in sicer pozicijski ter nepozicijski številski sestav. Razvoj številskih sestavov je tekel prek nepozicijskih k pozicijskim številskim sestavom. Značilnost nepozicijskih številskih sestavov je v tem, da je vrednost cifre vedno ista ne glede na to, na katerem mestu v številu stoji. Zgled takšnega sestava je številski sestav rimskih števil. Značilnost pozicijskih številskih sestavov je v tem. da je vrednost cifre odvisna od mesta v številskem zapisu. Število N, ki ga zapišemo v pozicijskem številskem sestavu, zapišemo v skladu z naslednjim pravilom: N = C n B n + C n-1 B n-1 +…+ C 0 B 0 + C -1 B -1 + C -m B -m B – osnova številskega sestava C – koeficient 1 B Ci 0 - B i … utež 2.) Pretvori 1A (16) = (8) = (2) 1A (16) = 116 1 +1016 0 = 26 (10) 26 : 2 = 13 + 0 8 26 B N i = 13 : 2 = 6 + 1 N i+ 3 0 6 : 2 = 3 + 0 C i+ 2 3 3 : 2 = 1 + 1 C 0 C 1 1 : 2 = 0 + 1 1A (16) = 32 (8) = 11010 (2) 3.) Pretvori 26 (10) = (2) = (BCD) y x 4 x 3 x 2 x 1 26 : 2 = 13 + 0 0 0 0 0 0 13 : 2 = 6 + 1 1 0 0 0 1 6 : 2 = 3 + 0 2 0 0 1 0 26 (10) =11010 (2) = 3 : 2 = 1 + 1 3 0 0 1 1 =00100110 (BCD) 1 : 2 = 0 + 1 4 0 1 0 0 5 0 1 0 1 6 0 1 1 0

DSK_MRG5 številki sestavi in logična vrata

Embed Size (px)

Citation preview

Page 1: DSK_MRG5  številki sestavi in logična vrata

Stran 1

1.) Značilnosti številskih sestavov (sistemov) Številski sestav je skup pravil, ki določajo količinska razmerja med števili. Pravila, po katerih so zgrajeni številski sestavi, so lahko dokaj različna, praktično pa prevladujeta le dva principa številskih sestavov, in sicer pozicijski ter nepozicijski številski sestav. Razvoj številskih sestavov je tekel prek nepozicijskih k pozicijskim številskim sestavom. Značilnost nepozicijskih številskih sestavov je v tem, da je vrednost cifre vedno ista ne glede na to, na katerem mestu v številu stoji. Zgled takšnega sestava je številski sestav rimskih števil. Značilnost pozicijskih številskih sestavov je v tem. da je vrednost cifre odvisna od mesta v številskem zapisu. Število N, ki ga zapišemo v pozicijskem številskem sestavu, zapišemo v skladu z naslednjim pravilom:

N = Cn ⋅ Bn + Cn-1 ⋅ Bn-1 +…+ C0 ⋅ B0 + C-1 ⋅ B-1 + C-m ⋅ B-m

B – osnova številskega sestava C – koeficient 1BCi0 −≤≤ Bi … utež 2.) Pretvori 1A (16)= (8)= (2) 1A(16) = 1⋅161+10⋅160 = 26(10) 26 : 2 = 13 + 0

826

BNi =

13 : 2 = 6 + 1 Ni+ 3 0 6 : 2 = 3 + 0 Ci+ 2 3 3 : 2 = 1 + 1 C0 C1

1 : 2 = 0 + 1 1A(16) = 32(8) = 11010(2) 3.) Pretvori 26 (10)= (2)= (BCD)

y x4 x3 x2 x1 26 : 2 = 13 + 0 0 0 0 0 0

13 : 2 = 6 + 1 1 0 0 0 1 6 : 2 = 3 + 0 2 0 0 1 0 26(10)=11010(2)= 3 : 2 = 1 + 1 3 0 0 1 1 =00100110(BCD) 1 : 2 = 0 + 1 4 0 1 0 0 5 0 1 0 1

6 0 1 1 0

Page 2: DSK_MRG5  številki sestavi in logična vrata

Stran 2

4.) Seštej dve binarni števili 1001, 101 in ju zmno ži

1 0 0 1 ⇒ 9(10) + 1 0 1 ⇒ 5(10)

1 1 1 0 ⇒ 14(10)

1⋅23 + 1⋅22 + 1⋅21 + 0⋅20 = = 8 + 4 + 2 + 0 = = 14(10)

1 0 0 1 ⋅ 1 0 1 1 0 0 1 0 0 0 0 1 0 0 1 1 0 1 1 0 1

1⋅25 + 0⋅24 + 1⋅23 + 1⋅22 + 0⋅21 + 1⋅20 = = 32 + 0 + 8 + 4 + 0 + 1 = = 45(10)

5.) Pretvori 62 (10)= (BCD)= (excess 3kod)

62(10) = 01100010 (BCD) =

= 10010101 (excess 3kod)

6.) Značilnosti gray kode in invertirane excess 3kode Invertirana excess-3kod Ta kod ima to lastnost, da se v vsaki naslednji višji številki spremeni le eno mesto. Drugi kodi pa imajo zelo različne prehode iz ene 4-bitne kombinacije v drugo.

5314(10) = 1100 0101 0110 0100(inv.excess-3/kod) Gray koda Tudi tu se vsaka številka spremeni le za eno mesto, je pa slabost v tem, ker je vrednost 0 dana s samimi ničlami.

0 - 0000 1 - 0001 2 - 0011 3 - 0010 4 - 0110 5 - 0111 6 - 0101 7 - 0100 8 - 1100 9 - 1101

Page 3: DSK_MRG5  številki sestavi in logična vrata

Stran 3

7.) Uporaba dvojnega komplementa Ta kompliment dobimo, če povečamo enojni kompliment števila za 1, pri čemer enico dodajamo na najnižjem utežnem mestu. Upoštevati moramo, da je (1+1=0) in, da se 1 prenese na naslednje mesto. Lastnost dvojnega komplementa je, da z danim številom tvori vrednost 0. To pomeni, da je dvojni komplement negirana vrednost danega števila. ~dvojiški zapis 1111 1111 0101 0110 … dano število 1010 1001 … enojni komplement + 1 1010 1010 … dvojni komplement

~osmiški zapis 7777 1245 … dano število 6532 … enojni komplement + 1 6533 … dvojni komplement

~desetiški zapis 9999 1989 … dano število 8010 … enojni komplement + 1 8011 … dvojni komplement

~šestnajstiški zapis FFFF B421 … dano število 4BDE … enojni komplement + 1 4BDF … dvojni komplement

8.) Osnovne logi čne funkcije Te osnovne logične funkcije so: ~IN(AND):

Page 4: DSK_MRG5  številki sestavi in logična vrata

Stran 4

~ALI(OR):

~NE(NOT):

9.) Pozitivna in negativna logika Z logičnimi vezji lahko izvedemo logično operacijo, ki je predpisana z logično funkcijo, kot na primer vključitev zaščite in signalizacije pri vnaprej predpisani kombinaciji vhodnih spremenljivk. Da bi logično vezje lahko delovalo po danih zahtevah, moramo določiti, kateri napetostni nivo naj ustreza binarni vrednosti 0 oziroma 1.

Pozitivna logika: Kadar je binarna vrednost 0 določena z nižjim potencialom, binarna vrednost 1 pa z višjim potencialom govorimo o pozitivni logiki.

Page 5: DSK_MRG5  številki sestavi in logična vrata

Stran 5

Negativna logika: V primeru, ko binarno vrednost 0 določa višji potencial, binarno vrednost 1 pa nižji potencial, govorimo o negativni logiki.

10.) Funkcija AND, pravilnostna tabela, logi čna enačba, realizacija, simbol

11.) Funkcija OR, pravilnostna tabela, logi čna enačba, realizacija, simbol

12.) Funkcija NOT, pravilnostna tabela, logi čna enačba,

Page 6: DSK_MRG5  številki sestavi in logična vrata

Stran 6

realizacija, simbol

13.) Logi čna ali kombinacijska vezja Logična ali kombinacijska vezja so sestavljena iz logičnih elementov, izhodno stanje v nekem trenutku pa je odvisno od kombinacije vhodnih spremenljivk. Logično vezje mora opisati z 'm' logičnimi funkcijami od katerih je vsaka odvisna od 'n' vhodnih spremenljivk. Osnovna značilnost logičnih vezij je v tem, da so stanja izhodov odvisna le od trenutne kombinacije stanj vhodnih spremenljivk. V skupino logičnih vezij štejemo: ~aritmetična vezja, ~kodirnike, dekodirnike in prekodirnike, ~multiplekserje in demultiplekserje, ~primerjalnike, ~logična vezja za specifične namene. Projektiranje in sinteza logičnih vezij obsega naslednje korake: ~difiniranje problema, ~določanje števila vhodnih in izhodnih spremenljivk, ~konstrukcijo pravilnostne tabele, ~poenostavljanje preklopnih (logičnih) funkcij, ~konstrukcijo vezja, ~preizkus delovanja vezja.

Page 7: DSK_MRG5  številki sestavi in logična vrata

Stran 7

14.) Funkcija in vezje NAND

15.) Funkcija in vezje NOR

16.) Funkcija in vezje antivalence (XOR)

Page 8: DSK_MRG5  številki sestavi in logična vrata

Stran 8

17.) Funkcija in vezje ekvivalence

18.) Funkcija in vezje invertiranega IN-ALI vezja Inventirano IN-ALI vezje daje na svojem izhodu vrednost 1 skladno z logično enačbo. Za inventirano IN-ALI vezje velja: Pravilnostna tabela Logična enačba x = AB v CD

Funkcijski načrt

D C B A AB CD AB V CD X 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 1 0 0 1 0 0 0 0 1 0 0 1 1 1 0 1 0 0 1 0 0 0 0 0 1 0 1 0 1 0 0 0 1 0 1 1 0 0 0 0 1 0 1 1 1 1 0 1 0 1 0 0 0 0 0 0 1 1 0 0 1 0 0 0 1 1 0 1 0 0 0 0 1 1 0 1 1 1 0 1 0 1 1 0 0 0 1 1 0 1 1 0 1 0 1 1 0 1 1 1 0 0 1 1 0 1 1 1 1 1 1 1 0

V integrirani izvedbi ima oznako 7451 in 7454.

19.) Popolna ali kanoni čna disjuktivna oblika (PDO)

Page 9: DSK_MRG5  številki sestavi in logična vrata

Stran 9

funkcije To je logična vsota produktov funkcijskih vrednosti α1 in mintermov m1, kjer lahko zavzamejo funkcijske vrednosti α1 0 ali 1.

( ) ii

1n2i

0i

1n2i

0iiinx...2x,1x

mVmf ⋅⋅=∑ ⋅=−=

=

−=

=αα

Primer PDO:

20.) Popolna ali kanoni čna konjuktivna oblika (PKO) funkcije

( )ii

1n2i

0i)3x,2x,1x(

1n2i

0iii)3x,2x,1x(

Mf

)M(f

+Λ=

∏ +=

−=

=

−=

=

α

α

Primer PKO:

Page 10: DSK_MRG5  številki sestavi in logična vrata

Stran 10

( )( ) ( ) ( ) ( )

( ) ( ) ( ) ( )( ) ( ) ( ) ( )

( ) ( ) ( ) ( )

( ) ( )( )( )3213213213x,2x,1x

751

751

7654

3210

77665544

33221100

ii

132i

0i

XXXXXXXXXf

MMMf

M1M111M1f

M0M1M0M1

M0M1M0M1f

MMMM

MMMMf

Mf

++++++=

⋅⋅=⋅⋅⋅⋅⋅⋅⋅=

⋅+⋅+⋅+⋅+⋅+⋅+⋅+⋅+=

+⋅+⋅+⋅+⋅+⋅+⋅+⋅+=

+Λ=−=

=

αααααααα

α

21.) Pravila preklopne algebre Osnovne operacije preklopne algebre ali algebne logike so: ~negacija (NOT): f(x) = x

x f(x) 0 1 1 0

~konjunkcija (IN, AND, log. produkt) f(x) = x1 ⋅ x2 ~disjunkcija (ALI, OR, log. vsota) f(x) = x1 + x2

V preklopnih algebrah veljajo naslednje zakonitosti:

x1 x2 x1 ⋅ x2 x1 + x2 0 0 0 0 0 1 0 1 1 0 0 1 1 1 1 1

V preklopnih algebrah veljajo še naslednje zakonitosti:

x ⋅ x = 0 x + x = 1 x ⋅ 1 = x x + 0 = x

x ⋅ 0 = 0 x + 1 = 1 komutativnost: distributivnost: x1 ⋅ x2 = x2 ⋅ x1 x1(x2+x3) = x1 x2 + x1x3 x1 + x2 = x2 + x1 x1+(x2⋅x3) = (x1+ x2)⋅(x1+x3) asociativnost: x1x2x3 = (x1 x2) ⋅ x3= x1 ⋅ (x2 x3) x1+x2+x3 = (x1+x2)+x3= x1 + (x2+x3) 22.) De Morganova pravila

Page 11: DSK_MRG5  številki sestavi in logična vrata

Stran 11

~dvojna negacija spremenljivke:

~dvojna negacija funkcije IN:

~dvojna negacija funkcije ALI:

23.) Dokaži De Morganovo pravilo: dvojna negacija funkcije ALI

24.) Analiza logi čni vezij, primer

Page 12: DSK_MRG5  številki sestavi in logična vrata

Stran 12

Logično vezje z 'n' vhodi opisuje funkcija z 'n' spremenljivkami, s katero lahko sestavimo pravilnostno tabelo z 2n kombinacijami. Tako na primer vezje,ki ima tri vode, opišemo s funkcijo s tremi spremenljivkami A, B in C, s katerimi sestavljamo pravilnostno tabelo z 23=8 kombinacijami.

Z analizo logičnega vezja ugotovimo, pri katerih kombinacijah vhodnih spremenljivk dobimo na izhodu vezja stanje 1. Analizo logičnega vezja bomo izvajali po koraki:

Vezje ↓

logična funkcija ↓

pravilnostna tabela ↓

preizkus vezja

Pri analizi vezja ravnamo tako, da zapisujemo logične funkcije od vhoda proti izhodu vezja. Tako dobimo na izhodu vsakega člena določeno funkcijsko zvezo. Če posamezne funkcije, ki so na vhodih člena ALI, povežemo s funkcijsko zvezo ALI, dobimo na izhodu x logično funkcijo:

_ _ x = ABC v ABC v ABC

_ _ _ 25.) Minimiziraj logi čno funkcijo X=AB+ABCD+ ABC+BCD

_ _ y = AB + CD + ABC

26.) Minimizacija logi čnih funkcij, primer

Page 13: DSK_MRG5  številki sestavi in logična vrata

Stran 13

Za minimizacijo funkcij največkrat uporabimo grafične metode, na primer Veitchov diagram. Poenostavljanje je izvedeno s pomočjo združevanja tistih mintermov, ki imajo skupno lastnost. _ _ _ _ _ _ f (x1 x2 x3) = x1 x2 x3 + x1 x2 x3 + x1 x2 x3 + x1 x2 x3

_ _ fmin = x2 x3 + x2 x3

27.) Sinteza logi čnih funkcij in vezij Sinteza je postopek sestavljanja log funkcije spremenljivk, katere kombinacije nam dajo funkcijsko vrednost 1. Začetni pogoj pri sintezi je tehnični problem, ki ga moramo rešiti z log vezjem.

Predstavitev problema ⇓

Pravilnostna tabela ⇓

Minimizacija ⇓

Logična enačba ⇓

Vezje ⇓

Preizkus vezja Iz zahtev, ki izhajajo iz tehničnega problema, ugotovimo, koliko je vhodnih spremenljivk ter pri katerih kombinacijah teh spremenljivk mora biti izhodno stanje 1. Za vsako kombinacijo vhodnih spremenljivk, ki povzroči izhodno stanje 1, napišemo IN funkcijsko zvezo spremenljivk. Posamezne IN funkcijske zveze spremenljivk nato povežemo z ALI funkcijskimi zvezami v končno obliko logične funkcije. 28.) BCD – decimalni dekodirnik

Page 14: DSK_MRG5  številki sestavi in logična vrata

Stran 14

Upoštevati moramo redudance!

29.) BCD 7-segmentni prekodirnik To je logično vezje, ki pretvarja zapis iz enega koda v drugi kod, pri čemer je lahko število vhodov enako številu izhodov ali pa tudi različno. Primer prekodirnika BCD v 7 segmentni kod:

30.) kodirana in dekodirana vezja

Page 15: DSK_MRG5  številki sestavi in logična vrata

Stran 15

~kodirnik je kombinacijsko vezje, ki sprejme 'm' vhodnih linij – po eno za vsak element informacije ter generira na izhodu binarni kod na 'n' izhodnih linijah.

~dekodirnik je kombinacijsko vezje, ki pretvori binarni kod 'n' spremenljivk v 'm' izhodnih linij – eno za vsak diskretni element informacije. 31.) Vezja za aritmeti čne operacije Aritmetična vezja uporabljamo za izvrševanje preprostih aritmetičnih operacij, kar sta seštevanje in odštevanje. Sem štejemo:

~polovični seštevalnik Ta seštevalnik uporabljamo za tvorjenje vsote dveh enomestnih binarnih števil a0 in b0. 1.sumand a0 0 1 0 1 2.sumand b0 0 0 1 1

vsota S 0 0 1 1 0 prenos C 0 0 0 0 1

~popolni seštevalnik Polovični seštevalnik omogoča le seštevanje dveh bitov na najnižjem utežnem mestu, kjer ni potrebno upoštevati prenosa z nižjega utežnega mesta. ai 0 1 0 1 0 1 0 1 b i 0 0 1 1 0 0 1 1

Ci-1 0 0 0 0 1 1 1 1 Si 0 1 1 0 1 0 0 1 Ci 0 0 0 1 0 1 1 1

000

00)0b,0a(0

0000)0b,0a(0

baC

bas

babas

=

⊕=

+=

( )( ) iiii1ii

ii1ii

babaCC

baCS

+⊕=⊕⊕=

Page 16: DSK_MRG5  številki sestavi in logična vrata

Stran 16

32.) Polovi čni seštevalnik Ta seštevalnik uporabljamo za tvorjenje vsote dveh enostavnih binarnih števil a0 in b0. 1.sumand a0 0 1 0 1 2.sumand b0 0 0 1 1

vsota S 0 0 1 1 0 prenos C 0 0 0 0 1

000

00)0b,0a(0

0000)0b,0a(0

baC

bas

babas

=

⊕=

+=

Page 17: DSK_MRG5  številki sestavi in logična vrata

Stran 17

33.) Popolni seštevalnik Polovični seštevalnik omogoča le seštevanje dveh bitov na najnižjem utežnem mestu, kjer ni potrebno upoštevati prenose z višjega utežnega mesta, (nima vhoda Ci). Popolni seštevalnik nam omogoča tudi prenos z nižjega utežnega mesta, zato je uporaben za seštevanje dveh bitov na katerem koli mestu. a1 0 1 0 1 0 1 0 1 b1 0 0 1 1 0 0 1 1 c i-1 0 0 0 0 1 1 1 1 Si 0 1 1 0 1 0 0 1 Ci 0 0 0 1 0 1 1 1

( )( ) iiii1ii

ii1ii

babaCC

baCS

+⊕=⊕⊕=

Page 18: DSK_MRG5  številki sestavi in logična vrata

Stran 18

34.) Komparatorji dveh enobitnih števil Komparatorji so kombinacijska vezja ki primerjajo dve števili (A in B), tako da določijo njune relativne velikosti. Rezultat primerjave pokažejo trije izhodi:

x : A < B y : A = B z : A > B

V praksi srečamo različne vrste digitalnih podatkov, ki jih želimo primerjati. To so lahko: binarna števila, decimalna števila kodirana v katerikoli binarni kodi, ali pa povsem splošna skupina diskretnih elementov informacije. _ _ 35.) Realizacija funkcij z NAND vrati, primer: X=AB C+ABC Funkcijski načrt

Logična enačba

CABBCAy

CABBCAy

CABBCAy

NAND

NAND

Λ=

+=

+=

_ _ 36.) Realizacija funkcij z NOR elemeti, primer: X=A BC+ABC Funkcijski načrt

Logična enačba

CBACBAy

CBACBAy

CABBCAy

NOR

NOR

NOR

+++++=

+++++=

+=

Page 19: DSK_MRG5  številki sestavi in logična vrata

Stran 19

37.) Nariši vezje s katerim se bodo HEX števila od D0 do D f spreminjala v BCD (v, x, y, z) Na vhodu je 16 signalov, od katerih je vselej le eden enak 1. Ustrezni BCD kod za posamezno heksadecimalno število zapišemo kot: Pravilnostna tabela Di V X Y Z Iz tabele lahko narišemo naslednje vezje:

D0 0 0 0 0 D1 0 0 0 1 D2 0 0 1 0 D3 0 0 1 1 ⋅⋅⋅⋅ ⋅⋅⋅⋅ ⋅⋅⋅⋅ D8 1 0 0 0 D9 1 0 0 1 DA 1 0 1 0 DB 1 0 1 1 DC 1 1 0 0 DD 1 1 0 1 DE 1 1 1 0 DF 1 1 1 1 38.) Multiplekser in demultiplekser ~multiplekser To je logično vezje, ki opravlja pridruževanje večjega števila vhodnih logičnih vrednosti manjšemu številu prenosnih kanalov. Digitalni multiplekser prenaša podatke iz 2n vhodnih linij na eno izhodno linijo. Izbira vhodne linije se izvrši s pomočjo selekcijskih signalov:

Pravilnostna tabela Simbol

Logična enačba

2107210621052104

2103210221012100x210

SSSISSSISSSISSSISSSISSSISSSISSSI)I,S,S,S(Y

++++++++=

Page 20: DSK_MRG5  številki sestavi in logična vrata

Stran 20

Funkcijski načrt

~demultiplekser nam razširja manjše število vhodnih kanalov na večje število izhodnih kanalov. Digitalni demultiplekser ima eno vhodno linijo in večje število izhodnih linij. Pravilnostna tabela Simbol

ISSYISSYISSYISSY

103

102

101

100

====

Funkcijski načrt

39.) Izdelaj simboli čni diagram multiplekserja za 8 –

Page 21: DSK_MRG5  številki sestavi in logična vrata

Stran 21

enobitnih vhodov Pravilnostna tabela Simbol

Logična enačba

2107210621052104

2103210221012100x210

SSSISSSISSSISSSISSSISSSISSSISSSI)I,S,S,S(Y

++++++++=

40.) Izdelaj multiplekser štirih dvobitnih signalov Funkcijski načrt

Pravilnostna tabela

S0 S1 Y0 Y1 0 0 A0 A1

0 1 B0 B1

1 0 C0 C1

1 1 D0 D1

Logični enačbi

101101

1011011

100100

1001000

SSDSSC

SSBSSAY

SSDSSC

SSBSSAY

++

++=

++

++=

41.) Izdelaj demultiplekser, ki ima na vhodu signal e Y0 Y1 in

Page 22: DSK_MRG5  številki sestavi in logična vrata

Stran 22

naj spremeni s pomo čjo zbirnih signalov S 0 S1 na prvotne signale A 0 A1, B0 B1, C0 C1, D0 D1

42.) Pomnilne celice Osnovno pomnilno celico v sekvenčnih vezjih, ki lahko shrani en bit informacije, imenujemo flip-flop ali bistabil. Flip-flop je bistabilni element, ki lahko zavzame dve stabilni stanji, kar ravno ustreza binarnemu zapisu informacije, ki je lahko 1 ali 0. V osnovni izvedbi flip-flop sestavljata dva funkcijska elementa, ki sta lahko ali v izvedbi NAND ali NOR. Poznamo naslednje vrste pomnilnih celic: ~pomnilna celica z NOR elementi, ~pomnilna celica z NAND elementi, ~sinhronizirana RS pomnilna celica, ~D (delay) pomnilna celica, ~JK pomnilna celica, ~JK Master-Slave pomnilna celica, ~T pomnilna celica.

Page 23: DSK_MRG5  številki sestavi in logična vrata

Stran 23

43.) RS flip-flop Če na vhodu RS pomnilne celice dodamo AND oziroma NAND vrata, dobimo sinhronizirano pomnilno celico. Z dodatnim CLOCK vhodom CP (clock pulse) omogočimo spremembo izhodnega stanja pomnilne celice z oziroma na stanje vhodov R in S. Logično stanje, ki ga postavimo na vhoda R in S, ne vpliva na stanje, dokler na taktnem vhodu CP ni navzoč taktni impulz.

Sinhronizirana pomnilna celica RS

Simbol sinhronizirane pomnilne celice RS

Karakteristična tabela pomnilne celice RS 44.) RS flip-flop z NAND elementi Analizo vezja pričnemo na vhodu, ki je v stanju 0,ker dobimo na izhodu elementa NAND zanesljivo stanje 1 ne glede na stanje drugega vhoda elementa. Iz tega sledi, da so aktivna vhodna stanja, ki vplivajo na spremembo izhoda, stanja 0; zato sta tudi oba vhoda prikazana negirano. V primeru, da sta oba vhoda pomnilne celice v stanju 0, je to nedovoljeno stanje, saj se sočasno zahteva postavljene in brisanje izhoda Q. Funkcijski načrt Pravilnostna tabela

Page 24: DSK_MRG5  številki sestavi in logična vrata

Stran 24

45.) RS flip-flop z NOR elementi Z impulzom na S vhodu postavimo izhod Q v stanje 1, ki ostane tudi ko impulz na S vhodu izgine. Vsebino celice brišemo z impulzom na R vhodu. Ob vklopu vezja lahko dosežemo resetiranje s pomočjo diferenciatorja (RC-kombinacije): Funkcijski načrt Pravilnostna tabela

46.) D flip-flop To celico dobimo iz RS celice tako, da povežemo R in S vhoda preko invertorja. Izhod Q sledi stanju na vhodu D z določeno zakasnitvijo, ki ju določa prihod taktnega impulza na CP vhodu. Funkcijski načrt

Simbol

Karakteristična tabela

47.) JK flip-flop Pri tej celici so notranje povezave urejene tako, da nimamo nedovoljenih stanj glede na krmiljenje vhodnih spremenljivk. J vhod predstavlja vhod za vstavljanje podatka, K pa brisalni vhod. Lastnosti tega flip-flopa so podobne RS flip-flopu, le da je tu kombinacija J=K=1 dovoljena in povzroči spremembo stanja izhodov.

Page 25: DSK_MRG5  številki sestavi in logična vrata

Stran 25

Funkcijski načrt Simbol

48.) Master slave JK pomnilna celica JK pomnilna celica nima nobenih nedovoljenih stanj kot RS celica. Slaba stran celice je, da potrebuje kratke clock impulze, ker v nasprotnem primeru ne deluje pravilno. To pomanjkljivost izboljšamo z master - slave pomnilno celico.

49.) Serijsko paralelni pretvornik Takšen pomikalni register ima izpeljane izhode iz posameznih celic in zato omogoča dostop do vsakega bita vpisane informacije ali hkrati do vseh bitov v informacijski besedi. SIPO pretvori serijsko vpisan niz bitov v paralelno besedo dolžine tolikih bitov, kot je število pomikalnih celic.

Page 26: DSK_MRG5  številki sestavi in logična vrata

Stran 26

50.) Obročni pomikalni register Pri pomikanju vsebin v pomikalnem registru se izgubi bit, ki izstopi na izhodu Q4. V nekaterih primerih pa ne želimo izgubiti informacije, ki je bila zapisana v registru. V takem primeru si pomagamo lahko tako, da povežemo izhod Q4 z vhodom J1 in Q4 z vhodom K1. Dobljeno vezje pa imenujemo obročni pomikalni register, kjer bo bit, ki izstopi nad Q4, ponovno vstopil na vhodu J1. Informacija se vrti toliko časa dokler je na vhodu C ne zbrišemo.

51.) Paralelno serijski pretvornik Pomnilne celice, ki imajo asinhrona vhoda, to je vhod za vpis in vhod za brisanje, omogoča gradnjo pomikalnih registrov s paralelnim vhodom in serijskim izhodom. Takšen register omogoča asinhroni vpis informacije in sinhroni pomik vsebine registra.

52.) Kapaciteta pomnilnika

Page 27: DSK_MRG5  številki sestavi in logična vrata

Stran 27

kapaciteto pomnilnika določa število pomnilniških lokacij, do katerih imamo bralni ali pisalni dostop. Te lokacije so lahko enobitne ali večbitne, ponavadi govorimo o enobitnih ali osembitnih lokacijah. V primeru enobitnih pomnilniških lokacij, kar najdemo pri nekaterih izvedbah dinamičnih RAM-pomnilnikov, navajamo kapaciteto v kilobitih ali v megabitih, redkeje pa v gigabitih. Če so posamezne pomnilniške lokacije organizirane v osembitne lokacije, to je po bajtih, pa navajamo kapaciteto pomnilnika v kilobitih, megabitih ali celo v gigabitih.

53.) Pretvorba BCD kode v excess 3 / kodo Vezje je izvedeno s štirimi seštevalniki. Prvi je polovičen vsi ostali pa so polni. Polne seštevalnike potrebujemo zato, ker moramo upoštevati tudi prejšnjo vrednost. Na prve vhode pripeljemo binarno vrednost, ki jo moramo prišteti BCD kodi, da dobimo EXCES-3 kodo. Na druge vhode seštevalnikov pa pripeljemo BCD kodo, ki jo želimo spremeniti v EXCES-3 kodo. EXCES-3 kodo pa dobimo na izhodih seštevalnikov. Če želimo pretvarjati več kot 4-bitne informacije v EXCES-3 kodo, lahko vežemo še poljubno število polnih seštevalnikov. Možnost pretvorbe je lahko tudi na naslednji način.

54.) Utežnostna vezja D/A pretvornikov

Page 28: DSK_MRG5  številki sestavi in logična vrata

Stran 28

Prednost digitalno analognih pretvornikov z utežnostnim vezjem je v preprosti izvedbi, slaba stran pa veliko št.uporov različnih vrednosti. Natančnost analogne spodnje napetosti je odvisna od natančnosti izdelave uporov. D flip-flopi so uporabni kot elektronka stikala. Informacije se iz vhodov flip-flopov prenaša na izhode ob vsakem clock impulzu. Princip delovanja

D/A pretvornik z utežnostnim vezjem

55.) Lestvi čna vezja D/A pretvornikov Pomanjkljivosti D/A pretvornikov z utežnostnim vezjem so v velikIh raznolikosti upornosti, oziroma v visokem razmerju med najvišjo in najnižjo upornostjo. Temu se izognemo z uporabo lestvičastega vezja, ki zahteva le dve vrsti upornosti (R in 2R). Prednost takega vezja je predvsem v tem, da je lažje izdelati precizne upore dveh različnih vrednosti.

Page 29: DSK_MRG5  številki sestavi in logična vrata

Stran 29

Stikala nadomeščajo bistabilnega vezja

56.) D/A pretvornik na osnovi integracije Če v časovnem intervalu 't' na vhod operacijskega ojačevalnika priključimo niz pravokotnih impulzov, dobimo na izhodu integratorja napetost:

tnCR

UU

1

12 ⋅⋅=

kjer je 'n' število impulzov v časovnem intervalu 't'. Če privzamamo, da so napetost, upornost in kapacitivnost konstantne vrednosti, je izhodna napetost odvisna od števila impulzov v časovnem intervalu 't' po naslednjem obrazcu:

tnkU2 ⋅⋅= To lastnost integratorja lahko izkoristimo za digitalno-analogno pretvorbo, če predpostavimo, da je v številu impulzov na časovno enoto zapisana digitalna informacija.

Kot integrator uporabimo operacijski ojačevalnik s kondenzatorjem v povratni zanki. Izhodna napetost integratorja je premosorazmerna s številom impulzov na vhodu integratorja. Shift register poskrbi za konverzijo paralelnega člena.

Page 30: DSK_MRG5  številki sestavi in logična vrata

Stran 30

57.) A/D pretvornik s paralelnimi komparatorji Tak pretvornik mora imeti toliko referenčnih napetosti, kolikor ima izhod predvidenih digitalnih vrednosti. Točneje, potrebna je ena napetost manj, ker za vrednost 0 ne potrebujemo reference. Kolikor napetosti, toliko potrebujemo komparatorjev – primerjalnikov. Za 'n' digitalnih mest moramo imeti na voljo N komparatorjev.

N = 2n – 1

58.) Startno-števni A/D pretvorniki Namesto velikega števila referenčnih napetosti, ki jih uporabljamo v pretvornikih z vzporednimi komparatorji, uporabljamo tu samo eno, ki se skokovito spreminja. Glede na to, ali referenčna napetost bo vsakem ciklu pretvorbe narašča od 0 do analogne napetosti Ua ali pa analogni napetosti sledi, ločimo startne in sledilne pretvornike.

Blokovna shema startnega A/D pretvornika

Časovni potek startnega A/D pretvornika

Page 31: DSK_MRG5  številki sestavi in logična vrata

Stran 31

59.) Sledilno števni pretvornik Tukaj uporabljamo posebej dvosmerni števec s kontrolo štetja naprej in nazaj. Če je UA>U, kjer U pomeni izhod D/A pretvornika šteje števec naprej in dviguje stopničasto napetost. Če pa UA pade in postane manjši od U, prične števec odštevati, kar prikazuje časovni diagram.

Blokovna shema sledilnega A/D pretvornika

Časovni potek sledilnega A/D pretvornika

60.) Pretvornik s časovno kvantizacijo Namesto stopničaste napetosti uporabljamo tu linearno naraščajočo napetost. Čas trajanja od 0 do trenutka, v katerem žagasta napetost doseže analogno napetost, pretvorimo nato v binarni izhod.

Blokovna shema A/D pretvorbe s časovno kvantizacijo

Page 32: DSK_MRG5  številki sestavi in logična vrata

Stran 32

Časovni diagram A/D pretvornika s časovno kvantizacijo

61.) Postopna A/D pretvorba Ta metoda se razmeroma pogosto uporablja posebej odkar so se IC vezja pocenila. Analogni signal se pretvori v digitalnega bit za bitom s tem, da se pretvorba začne na skrajno levem mestu oz. na mestu z največjo utežno vrednostjo. Krmilna logika postavi najprej celoten register na 0, ob naslednjem urinem impulzu pa postavi najpomembnejše mesto na 1.

Blokovna shema postopne A/D pretvorbe

Postopni proces pretvorbe

Page 33: DSK_MRG5  številki sestavi in logična vrata

Stran 33

S startnim impulzom postavimo flip-flop E v stanje 1, ostale flip-flope F, G, H pa v stanje 0. Stanje 1 se prenese v flip-flop D registra, stanje 0 pa v C, B in A. D/A pretvornik daje na svojem izhodu ustrezno analogno napetost U, ki jo primerjamo z UA.

logično vezje pretvornika

Page 34: DSK_MRG5  številki sestavi in logična vrata

Stran 34

62.) Delitev pomnilnikov glede na na čin naslavljanja Po načinu naslavljanja pomnilniških lokacij ločimo pomnilnike na naslovljene in na asociativne. Naslovljivi pomnilniki so organizirani tako, da ima vsaka pomnilniška lokacija svoj enolično določen naslov, pri asociativnih pomnilnikih pa dostop do pomnilniške lokacije ni urejen prek enolično določenega naslova, temveč prek določenega vzorca, ki je sestavni del podatka. Med naslovljive pomnilnike štejemo delovne ali glavne pomnilnike, asociativni pomnilniki pa se lahko pojavljajo kot sestavni del sistema za upravljanje pomnilnika. 63.) Delitev pomnilnikov glede na na čin dostopa Glede na način, kako hitro lahko iz pomnilnika dobimo podatke, oziroma kako hitro jih lahko shranimo v pomnilnik, razlikujemo naslednje načine dostopa: ~naključni dostop – pri tem načinu je čas dostopa do poljubne lokacije neodvisen od naslova pred tem naslovljenih lokacij. ~zaporedni dostop – pri tem načinu je čas za dostop do neke lokacije odvisen od naslova prejšnje lokacije. ~neposredni dostop – s tem imenom označujemo tiste načine, pri katerih je čas za dostop do neke lokacije sicer odvisen od naslova prejšnje, vendar je ta odvisnost bistveno manjša kot pri zaporednem dostopu. 64.) Vrste in lastnosti bralnih pomnilnikov V to skupino sodi vrsta različnih spominskih celic. Te so: ~ROM – vsebina je določena z masko v tovarni. Ker je priprava mask draga, se ROM elementi uporabljajo takrat kadar potrebujemo veliko število elementov z enako vsebino. Zapisane vsebine ni mogoče spreminjati. ~PROM – vsebino določi uporabnik tako, da s programatorjem prežge varovalke v celicah. Tako zapisane vsebine ni mogoče zbrisati.

Page 35: DSK_MRG5  številki sestavi in logična vrata

Stran 35

~EPROM – vsebino določa uporabnik tako, da s programatorjem nabije posebne kondenzatorje, iz katerih je celica sestavljena. Z ultravijolično svetlobo je mogoče vsebino zbrisati in pomnilnik ponovno uporabiti. Za EPROM elemente je značilna steklena ploščica, ki pokriva čip. ~EEROM – vsebino določa uporabnik podobno ko pri EPROM elementih. Vendar za brisanje ne potrebuje ultravijolične svetlobe, temveč lahko to delamo električno. EEROM elementi delujejo kot bralno/pisalni pomnilniki. Na poljuben naslov lahko vedno napišemo poljubno vrednost. Upoštevati pa moramo, da je pisanje pribljižno 20.000 krat počasnejše od branja in da je pri večini proizvajalcev število pisanj omejeno na približno 10.000. 65.) ROM pomnilniki Pomnilnik ROM je samo bralni pomnilnik, v katerega se vsi podatki vpišejo že pri izdelavi. Dekodirnik dekodira naslov tako, da glede na binarno kombinacijo naslova postavi eno izmed besednih linij v stanje 1, medtem ko so preostale v stanju 0. Z besedne linije, ki je trenutno v stanju 1, se logično stanje 1 prenese na tisto bitno linijo, ki je z njo povezana. Ta pomnilnik je poceni, če je izdelan v veliki seriji.

66.) PROM pomnilniki Ta pomnilnik predstavlja programljivi ROM. Programiranju oziroma vstavljanju vsebine nanj je prepuščeno uporabnikom. Programiranje izvedemo tako, da s tokovnim sunkom prežgemo oslabljena mesta oziroma varovalke. Na ta način prekinemo povezave med horizontalnimi in vertikalnimi linijami. Ko je pomnilnik programiran, ga ne moremo več reprogramirati, zato ga uporabljamo za enake namene kot ROM. Zaradi možnosti naknadnega programiranja pa jih lahko izdelamo tudi v manjših serijah in je proizvodnja še vedno ekonomsko upravičljiva.

Page 36: DSK_MRG5  številki sestavi in logična vrata

Stran 36

67.) EPROM pomnilniki Pomnilnik EPROM je dražji kot PROM, ima pa to prednost, da lahko vpisano informacijo izbrišemo in pomnilnik ponovno programiramo.Ta pomnilnik je programiran z napetostnimi impulzi višje amplitude, kot je delovna napetost. Vsebino pomnilnika pa lahko izbrišemo s pomočjo ultravijoličnimi žarki in sicer vso vsebina pomnilnika hkrati. Programiranje in brisanje lahko večkrat ponovimo. Ta lastnost omogoča uporabo teh pomnilnikov na primer v programirljivih krmilnikih, ker v pomnilnik vpišemo program krmilja in ga po potrebi spet spremenimo, če se spremenijo zahteve krmilja. 68.) EAROM pomnilniki Ti elementi so po zgradbi in delovanju zelo podobni EPROM-u. Tudi oni uporabljajo kot pomnilniško celico MOSFET tranzistor s plavajočimi vrati in hranijo informacijo v obliki naboja. Vendar pa za brisanje ne potrebujemo UV svetlobe, brišemo jih lahko električno. Poleg tega pa ni potrebno brisati vse vsebine pomnilnika, ampak samo tiste lokacije, ki jih želimo brisati. 69.) Bralno-pisalni pomnilniki, lastnosti, zgradba Bralno-pisalni pomnilniki se v računalniških sistemih uporabljajo za začasno shranjevanje delov operacijskega sistema, sistemskih podatkov, stalnih programov (rezidenčni programi), programov, ki se trenutno izvajajo in podatkov ter vmesnih rezultatov. Za vse te pomnilnike je značilno, da izgubijo vpisano informacijo, ko jim odvzamemo napajalno napetost. Informacijo lahko v pomnilnik poljubnokrat vpišemo ali jo preberemo, ne da bi pri tem poškodovali strukturo pomnilne celice. Za bralno-pisalne pomnilnike je uveljavljena oznaka RAM, kar bi lahko prevedli kot pomnilnik z naključnim dostopom, kar pa glede dostopa ne velja samo za te pomnilnike, ampak tudi za bralne. Po izvedbi pomnilne celice delimo bralno-pisalne pomnilnike v statične pomnilnike RAM ali SRAM in dinamične pomnilnike RAM ali DRAM.

Page 37: DSK_MRG5  številki sestavi in logična vrata

Stran 37

Lastnosti pomnilne celice SRAM in DRAM lahko primerjamo po določenih značilnih parametrih in pri tem skušamo najti prednosti in slabosti posameznih izvedb. Lastnosti posameznih celic lahko strnemo v naslednje: SRAM pomnilniki ∼∼∼∼ imajo pomnilno celico zgrajeno kot zatič (flip-flop), zato je njihova

kompleksnost večja in s tem manjša kapaciteta na enoto volumna ter višja cena na bit,

∼∼∼∼ zadržijo vpisano informacijo toliko čas dokler so priključeni na napajalno napetost, in zato ni potrebno sprotno osveževanje vsebine,

∼∼∼∼ imajo večjo povprečno energijsko porabo kot dinamični in poleg tega trajno obremenjujejo napajalnik,

∼∼∼∼ imajo zelo kratke dostopne čase, ki so izvedbah MOS od 10 do nekaj 10 nanosekund, pri bipolarnih izvedbah pa celo ene do nekaj nanosekund.

DRAM pomnilniki ∼∼∼∼ imajo pomnilno celico zgrajeno iz enega tranzistorja z dodatno

kapacitivnostjo, kar omogoča večjo koncentracijo funkcij in večjo kapaciteto na enoto volumna, ki je približno 4-krat večja kot pri statičnih pomnilnikih, in s tem nižjo ceno na bit,

∼∼∼∼ shranjujejo vpisano informacijo v obliki električnega naboja kondenzatorja, podobno kot pri EPROM-ih, le, da je dielektrik pri dinamični celici zelo slab in naboj hitro zgineva, kar zahteva sprotno osveževanje in s tem dodatna mikrovezja,

∼∼∼∼ povprečno manj obremenjujejo napajalni vir, zato je njihova energijska poraba manjša kot pri statičnih pomnilnih celicah,

∼∼∼∼ zahtevajo neprimerno daljše dostopne čase, ki so od več deset do sto nanosekund, kar zahteva dodatne rešitve v sistemih, kjer zahtevamo hitre dostope (uvajanje predpomnilnikov, organiziranje pomnilnikov v banke).

70.) Statični RAM (SRAM)

Page 38: DSK_MRG5  številki sestavi in logična vrata

Stran 38

Pri statičnih RAM-ih je pomnilniška celica zgrajena kot flip-flop. Ta je običajno zgrajena iz 6ih MOS tranzistorjev. Bit, ki se zapiše v SRAM celico, ostane nespremenjen, dokler ga ne prerišemo ali izklopimo napetost. Uporaba SRAM čipov v mikroproceorskih sistemih je zelo preprosta in zelo podobna tisti pri bralnih pomnilnikih.

71.) Dinami čni RAM (DRAM) Je pomnilniška celica zgrajena iz enega tranzistorja, informacija pa je shranjena v obliki električnega naboja v parazitni kapacitivnosti pri tranzistorju. Ta kapacitivnost je zelo majhna. Ker je informacija shranjena v obliki naboja, v zelo kratkem času izgine, če ga ne osvežimo.

72.) Mikroprocesorjevo naslovno dekodiranje

Page 39: DSK_MRG5  številki sestavi in logična vrata

Stran 39

3 – state buffer ločuje mikroprocesor in RAM med seboj. Naslovni dekoder glede na zahtevano pomnilniško lokacijo izbere ustrezen signal v povezavi z RAM pomnilnikom. 73.) Razporeditev pomnilnika Z besedo pomnilnik ali spomin označujemo tisti del mikroprocesorskega sistema v katerem so shranjeni ukazi in operandi. Gledano z mikroprocesorja je pomnilnik zelo preprosta naprava. Videti je kot skladišča, iz katerega mikroprocesor jemlje ali v katerega daje podatke. Namesto o jemanju ali dajanju podatkov govorimo običajno o branju ali pisanju v pomnilnik. V vsakem računalniku imamo več enot s funkcijo pomnilnika. Tipično so to naslednje: ~registri v mikroprocesorju ~predpomnilnik ~glavni pomnilnik ~pomožni pomnilnik Razporeditev celotnega možnega pomnilnika mikroprocesorskega sistema imenujemo spominska mapa (memory map). 74.) Paralelni periferni vmesniški adapter PIA V mikroprocesorski družini MOTOROLA 6800 je periferni vmesniški adapter PIA 6820 ali 6821 osnovni element za priključevanje vhodno-izhodnih naprav. Preko tega je mogoče priključevati vsako napravo. Seveda je v mnogih primerih ugodneje vzeti za posamezne naprave specialne elemente, vendar to ne pomeni, da z elementi PIA tega ne bi mogli realizirati. Element PIA se nahaja v ohišju s 40-imi sponkami in potrebuje za napajanje 5V napetosti.

Page 40: DSK_MRG5  številki sestavi in logična vrata

Stran 40

75.) Programabilni periferni vmesnik PPI-8255 Elementu PIA ustreza v mikroprocesorski družini Intel tako imenovani programabilni periferni vmesnik PPI-8255. Ta element omogoča glede na PIA nekatere dodatne načine usklajevalnega delovanja. To pomeni, da ga je v usklajevalnih aplikacijah možno pogosto uporabiti tam, kjer uporabimo PIA, medtem ko je možnost za zamenjavo v obratni smeri manjša. V aplikacijah, ki ne potrebujejo usklajevanja, pa je zaradi individualno nastavljivih vhodno-izhodni nožic element PIA običajno bolj uporaben kot PPI.

Page 41: DSK_MRG5  številki sestavi in logična vrata

Stran 41

76.) Načini delovanja PPI IBF signal se vrne na 0 takoj, ko mikroprocesor prebere vsebino internega registra vrat. Signal INT, ki se pojavi na nožici PC3 oziroma PC0, po končanem prenosu podatkov v interni register vrat, sporoča mikroprocesorju, da ga čakajo podatki. Lahko ga uporabimo kot prekinitveni signal.

Krmilni signali pri vhodnem usklajevalnem delovanju vrat A ali B

Krmilni signali pri izhodnem usklajevalnem delovanju vrat A ali B

Page 42: DSK_MRG5  številki sestavi in logična vrata

Stran 42

77.) Vezja za posebne funkcije Med vezja za posebne funkcije, ki jih mikroprocesorski sistemi najpogosteje vsebujejo, so: ~krmilnik prioritete prekinitev PIC, ~krmilnik direktnega dostopa k pomnilniku DMAC, ~krmilnik disketnega pogona FDC, ~krmilnik kasetnega pogona TCC, ~krmilnik zaslonskega monitorja CRTC, ~programabilni števci TIMER, ~A/D pretvorniki, ~D/A pretvorniki. 78.) Zgradba ukazov za mikroprocesor Manjši mikroprocesorski sistem je zgrajen iz mikroprocesorja, pomnilniških elementov, vmesniških adapterjev in generatorja urinih impulzov. Elementi so med seboj povezani z linijami, po katerih se prenašajo sorodni signali. V osnovi poznamo: ~aritmetične inštrukcije, ~logične inštrukcije, ~inštrukcije za prenos podatkov, ~Bollove inštrukcije, ~skoki, ~ukazi za nabor procesa. 79.) Ukazi za delo s procesorjem M6800 Ukaze za delo z nekim mikroprocesorjem vedno razporedimo v pet tipičnih skupin: ~ukazi za prenos podatkov - v to skupino sodijo ukazi, ki prenašajo podatke z ene lokacije na drugo, ne da bi se pri tem podatek kakorkoli spremenil. Tako lahko prenašamo iz internih registrov mikroprocesorja v pomnilnik in registre vhodno-izhodnih naprav, lahko pa tudi obratno. ~ukazi osnovnih informacijskih operacij – v to skupino sodijo ukazi za inkrementiranje in dekrementiranje (štetje navzgor in navzdol) internih registrov, pomik registrov, itd. 6800 ima v svojem naboru vrsto teh ukazov. ~aritmetično logični ukazi – s temi ukazi se spreminjajo vsebina internih registrov v skladu z logičnimi ali aritmetičnimi operacijami na teh registrih. Ukazi te vrste so na primer negacija, konjunkcija, disjunkcija, seštevanje, odštevanje, itd. 6800 ima od aritmetičnih operacij v svojem naboru samo seštevanje in odštevanje ne pa tudi množenje in deljenje.

Page 43: DSK_MRG5  številki sestavi in logična vrata

Stran 43

~ukazi za spreminjanje vrstnega reda izvajanja – ti ukazi spreminjajo vrstni red izvajanje ukazov tako, da prenesejo izvajanje iz trenutne lokacije v neko drugo lokacijo. Prenos je lahko pogojen s stanjem nekega statusnega bita v CCR registru, lahko pa je tudi brezpogojen. Poleg tega so prenosi povratnega ali ne povratnega tipa. ~ukazi za nadzor procesorja – v to skupino, ki je običajno razmeroma majhna, sodijo ukazi, ki določijo način delovanja procesorja. To so na primer ukazi za zaustavitev (WAI), omogočitev (CLI) ali onemogočitev (SEI), prekinitev in tako imenovani brez-operacijski ukaz (NOP). 80.) TTL kompatibilnost V podatkih za mikroprocesorje in druge elemente je za vsak izhodni signal in za vsak dvosmerni signal navedeno, s kakšnim tokom ga lahko obremenimo v nizkem stanju in s kakšnim tokom v visokem stanju.enako je za vsak vhodni signal in za vsak dvosmerni signal navedeno, s kakšnim tokom obremenjuje izhod v nizkem stanju in s kakšnim v visokem stanju. Vhode in izhode digitalnih vezji pogosto označujemo kot TTL kompatibilne oziroma TTL nekompatibilne. Nek vhod ali izhod je TTL kompatibilen, če sta izpolnjena naslednja dva pogoja: 1) Uporablja TTL napetostne nivoje, 2) Dovoljuje obremenitev z namenjenim standardnim TTL bremenom (za

izhod), oziroma ne obremenjuje izhod bolj kot eno standardno TTL breme za (vhod).

Page 44: DSK_MRG5  številki sestavi in logična vrata

Stran 44

81.) Elektri čna predstavitev signalov mikroprocesorja Ker je mikroračunalnik sestavljen iz elektronskih elementov, je samo po sebi razumljivo, da bodo signali predstavljeni z neko električno veličino in sicer je to električna napetost. Napetost mora predstavljati dve različni signalni vrednosti, logično 0 in logično 1. Pri napajalni napetosti 5V lahko napetost na signalni liniji zavzame katerokoli vrednost od 0 do 5V zato se je potrebno dogovoriti, katere vrednosti predstavljajo logično 0 in katere logično 1. Razumljivo je, da mora obstajati na tem področju nek splošni standard, saj se v nasprotnem primeru posamezni čipi ne bi razumeli med seboj, še posebno, če bi jih uporabljeli od različnih proizvajalcev. Če sistemu nek izhod zavzame stanje logične 0, morajo vsi nanj priključeni vhodi prebrati ravno tako logično 0.

82.) Lastnosti in ozna čevanje signalov mikroprocesorja Za vsak signal lahko rečemo, da ga opisujejo tri lastnosti: pomen, smer, stanje. ~podatkovni in naslovni signali se označujejo brez črte nad njimi takrat, kadar visoko stanje pomeni logično 1, nizko stanje pa logično 0. ~kontrolni signali se označuje nekoliko drugače. Kadar oznaka signala nima črte pomenit to, da je signal aktiven v visokem in neaktiven v nizkem stanju. Črta nad oznako pomeni obratno stanje. 83.) Realizacija 8-bitnega seštevalnika z dvema 4-b itnima N-bitni seštevalni je vezje, ki sešteje n-bitni števili A in B ter da na izhodu n-bitno vsoto S in bit prenosa (carry) C0. Večina seštevalnikov je narejenih tako, da imajo poleg vhodov A in B še vhod za vhodni bit prenosa Ci. To omogoča sestavljanje več n-bitnih seštevalnikov v vezje, ki sešteva poljubno dolga števila.

Page 45: DSK_MRG5  številki sestavi in logična vrata

Stran 45

84.) Osnovne tri skupine signalov mikroprocesorja Signali nekega mikroprocesorja omogočajo stik med mikroprocesorja z zunanjim svetom – preko njih procesor sprejema in oddaja informacijo. Delimo jih v tri skupine: ~naslovni signali – prvi korak pri vseh prenosih je, da gospodar da na naslovne signale naslov, na katerega se odzove samo en suženj. Današnja vodila imajo od 16 do 32 naslovnih signalov. Na vodilih imamo lahko več vrst naslovov tako, da je z dodatnimi kontrolnimi signali določeno, kakšna vrsta naslova je trenutno na signalih. ~podatkovni signali - število podatkovnih signalov, ali kot tudi pravimo, širina podatkovnega vodila, je poleg števila naslovnih signalov najpomembnejši parameter vsakega vodila. Večina današnjih vodi ima za število podatkovnih linij mnogokratnik števila osem, tipično od 8 do 32 linij. ~kontrolni signal – ti signali določajo za kakšno vrsto prenosa gre in vsebujejo informacijo o tem, ali gre za branje, ali za pisanje, kakšna je širina podatka in kateri podatkovni signali so aktivni, kakšna vrsta naslova se uporablja in podobno. Večina vodil uporablja od 2 do 8 kontrolnih signalov.

Page 46: DSK_MRG5  številki sestavi in logična vrata

Stran 46

85.) Zgradba in organizacija Z80 Zgradba tega mikroprocesorja je veliko popolnejša od mikroprocesorja INTEL 8080, hkrati pa vsebuje nabor ukazov mikroprocesorja Z80 vse ukaze 8080 in je popolnoma kompatibilen na programskem nivoju. V primerjavi z 8080 so najpomembnejše naslednje razlike: ~en sam vir napetosti, ~preprostejši in popolnejši kontrolni signali, ~več kot dva krat večje število registrov, ~večje št. dodatnih ukazov, ~dodatne funkcije.

Page 47: DSK_MRG5  številki sestavi in logična vrata

Stran 47

86.) Opis signalov in bralno–pisalni cikel Z80 Mikroprocesor Z80 ima 40 priključnih sponk - signalov, ki jih delimo v tri osnovne skupine: ~Splošni signali, ti so: FI, A0-A15, D0-D7. ~Signali za krmiljenje vodil in za vstavljanje, ti so: _

WAIT, BUSRQ, BUSACK, HALT, IORQ, M1, MREQ, RD, RFSH, WR. _ ~Signali za prekinitve, ti so: RESET, INT, NMI. Bralni in pisalni cikel za mikroprocesor Z80:

87.) Delovanje in nabor ukazov Z80 Z80 zaradi bolj popolnega nabora kontrolnih signalov ne potrebuje vezij za izločevanje informacije s podatkovnega vodila, preprostejše so tudi zahteve glede urinega signala. Za izvajanje signalov potrebuje Z80 1-6 strojnih ciklov od katerih je vsak sestavljen iz 3-6 urinih period. Ima 150 osnovnih ukazov nabor pa se močno poveča. Ločimo naslednje skupine ukazov: ~ukazi za polnenje (LOAD), ~ukazi za zamenjavo (EXCHANGE), prenos bloka (BLOCK TRANSFER) in iskanje (SEARCH), ~8-bitni aritmetični in logični ukazi, ~ukazi za delo s procesorjem, ~16-bitni aritmetični ukazi, ~ukazi za pomik (SHIFT) in rotacijo (ROTATE), ~ukazi za klic (CALL) in povratek (RETURN), ~ukazi za postavljanje, brisanje in testiranje bitov, ~ukazi za skoke (JUMP), ~vhodno / izhodni ukazi.

Page 48: DSK_MRG5  številki sestavi in logična vrata

Stran 48

88.) Ukazi za transfer Namenjeni so za premik enobitnih ali osembitnih operandov med rezultančnim registrom in vhodnim ali izhodnim vmesnikom. Ti ukazi so: ~ L(Load) – naloži vrednost parametra ali konstanto v rezultančni register, ki je glede na dolžino operanda lahko enobitni ali osembitni register LI 1.2 ~ LN(Load Not) – naloži negirano vrednost operanda v rezultančni register. Operand je lahko le enobitni. LN 1.3 ~ = - prenese enobitni operand iz rezultančnega registra v izhodni vmesnik ali pomnilniško lokacijo – marker, osembitne operande pa prenese v vhodne ali izhodne lokacije. = Q 1.1 = M 2.2 89.) Ukazi za logi čne operacije Namenjeni so za izvajanje logičnih operacij IN ,ALI in NE nad enobitnimi operandi. Rezultat operacije je v rezultančnem registru. ~A(And) - izvede logično operacijo IN med enobitno vsebino rezultančnega registra in enobitnim operandom, ki je naveden instrukciji. A I 1.1 ~AN(And Not) – izvede logično operacijo IN med enobitn obesedo rezultančnega registra in negirano vrednostjo enobitnega operanda, ki je naveden v instrukciji. AN I 1.2 ~O(Or) - izvede logično operacijo ALI med enobitno besedo rezultančnega registra in enobitnim operandom O I 1.4 ~ON(Or Not) - izvede logično operacijo ALI nad enobitno vsebino rezultančnega registra in negirano vrednostjo enobitnega operanda. ON I 1.5

Page 49: DSK_MRG5  številki sestavi in logična vrata

Stran 49

~A( - izvede logično operacijo IN nad enobitno vsebino rezultančnega registra in rezulatom operacije, ki navedena v oklepaju. A( L I 1.1 O I 2.1 ) ~O( - izvede logično operacijo ALI nad enobitno vrednostjo rezultančnega registra in rezultatom operacije, ki je navedena v oklepaju. O( L I 1.1 A I 1.2 ) ~ ) - predstavlja zaključek operacije v oklepaju. Število zaklepajev mora biti enako številu oklepajev. 90.) Pogojni ukazi Namenjeni o za postavljanje izhodov in markerjev, startanje časovnikov in spreminjane vrednosti števcev, če je izpolnjen določen pogoj. Pogoj je lahko ali stanje v rezultančnem registru ali sprememba vsebine rezultančnega registra. Ti ukazi so naslednji: ~S(Set) – postavi enobitne izhode in markerje v stanje 1, če je vrednost v rezultančnem registru enaka 1, ~SP(Set Pulse) – pri prehodu vsebine v rezultančnem registru iz 0 v 1 povzroči v časovniku nastanek impulza programirane dolžine, ~SR(Set Risse) – pri prehodu vsebine v rezultančnem registru iz 0 v 1 starta časovnik kot vklopno zakasnitev, ~SF(Set Fall) – ko preide vsebina v rezultančnem registru iz 0 v 1, starta časovnik kot izklopno zakasnitev, ~R(Reset) – če je vrednost v rezultančnem registru enaka 1, briše izhode, markerje, časovnike in števec, ki so bili postavljeni z inštrukcijo SET; v primeru da je rezultančni register RR=0, ta inštrukcija ne opravi ničesar, ~CD(Count Down) – dekrementira števec za 1 pri spremembi rezultančnega registra iz 0 v 1, ~CU(Count Up) – inkrementira števec za 1 pri spremembi vsebine rezultančnega registra iz 0 v 1.

Page 50: DSK_MRG5  številki sestavi in logična vrata

Stran 50

91.) Krmiljenje v odprti zanki Krmiljenje v odprti zanki je značilnost logičnih krmilij, pri katerih kombinacija vhodnih spremenljivk (različna tipkala za ročno posredovanje) prek krmilnega programa aktivira krmilne izhodne signale.

92.) Krmiljenje v zaprti zanki Krmiljenje v zaprti zanki zaznava prek senzorjev stanja v procesu. Ta stanja se uporabijo v krmilju kot dodatni vhodni pogoji za izvedbo naslednjega krmilnega programa ali za ponovitev določene krmilne sekvence. Tak sistem krmiljenja poznamo pri koračnih krmiljih.

93.) Krmiljenje v zaprti zanki in povratna zveza v krmilju Povratna zveza v krmilju omogoča nadzor nad izhodnimi signali iz krmilja in njihovo testiranje na mejne dopustne vrednosti. Take možnosti izrabljamo pri računalniško podprtih krmiljih.

Page 51: DSK_MRG5  številki sestavi in logična vrata

Stran 51

94.) Vrste operacij nad enobitnimi in ve čbitnimi operandi V tabelah je podan pregled posameznih ukazov po skupinah glede na operacijo, ki jo ukaz povzroči in nad katerimi vrstami operandov se izvrši ukaz.

V tabeli imajo posamezne oznake naslednji pomen: OP – operand OP – negirana vrednost operanda RR – rezultančni register X – operacija deluje na označeni tip operanda

Oznaki » .« in »+« pomenita operaciji logični IN in logični ALI.

Oznaka »↑« pomeni, da se operacija izvede, ko se vsebina RR spremeni iz 0 v 1; črka C pa označuje vsebino števca.

Page 52: DSK_MRG5  številki sestavi in logična vrata

Stran 52

95.) Operacija negacije in konjunkcije Operacija negacije:

d) programski modul LN I 1.0 = Q 1.0 EP Operacija konjunkcije

d) programski modul L I 1.0 AN I 1.1 A I 1.2 = Q 1.0 EP

Page 53: DSK_MRG5  številki sestavi in logična vrata

Stran 53

96.) Operacija disjunkcije, operacija kombinacij IN in ALI Operacija disjunkcije

d) programski modul L I 1.0 ON I 1.1 O I 1.2 = Q1.2 EP Kombinacija operacij IN in ALI

d) programski modul LN I 1.1 A( L I 1.2 O I 1.3 ) = Q1.1 EP

Page 54: DSK_MRG5  številki sestavi in logična vrata

Stran 54

97.) Razmnoževanje izhodov Uporabljamo jih v primeru, ko moramo določeni rezultat logične operacije prirediti več izhodom. Za zgled vzemimo primer, ko s sočasnim posredovanjem na dveh stikalih aktiviramo tri različne tokokroge.

Različnima načinoma prikaza v funkcijskem načrtu lahko priredimo tudi ustrezna programska modula: L

A = L = L = L = EP

I I M M Q M Q M Q

1.1 1.2 0.1 0.1 1.0 0.1 1.1 0.1 1.2

L A = L = L = EP

I I Q Q Q Q Q

1.1 1.2 1.0 1.0 1.1 1.0 1.2

98.) Razstavljanje funkcij z uporabo markerjev V nekaterih primerih je potrebno določeno logično funkcijo programsko rešiti po delih in delne rezultate vpisati v markerje. Do takšnih primerov pridemo takrat, ko nam ali programski jezik ne omogoča direktnega reševanja ali ko je treba zaradi večje preglednosti pri testeranju program razstaviti na manjše programske module.

Page 55: DSK_MRG5  številki sestavi in logična vrata

Stran 55

L A = L ON O = L AN A = EP

I I M M I I M M I I Q

1.0 1.1 1.0 1.0 1.2 1.3 1.1 1.1 1.4 1.5 2.1

1. podmodul (PM1) _ _ _ _ _ _ _ _ _ _ 2. podmodul (PM2) _ _ _ _ _ _ _ _ _ _ 3. podmodul (PM3) _ _ _ _ _ _ _ _ _ _

a)Krmilni načrt b)Programski modul 99.) Števci Za štetje izdelkov nekaterih dogodkov v procesnem okolju uporabljamo števce. Pri uporabi teh števcev naletimo na zahtevo za štetje od 0 navzgor in obratno od določene vnaprej dane vrednosti do 0. Števci, ki to omogočajo, se imenujejo reverzibilni števci. V trajno ožičenih sistemih so števci urejen niz pomnilniških enot, kot so flip-plopi, ki so med seboj povezani po določeni logični funkciji. Ta funkcija določa doseg in smer štetja. V krmiljih s prostim programiranjem so števci funkcijski moduli, ki jim lahko programsko določamo doseg in smer štetja.

a)Funkcijski načrt

b)Odštevalni način

c)Prištevalnmi način

Odst Prst

Page 56: DSK_MRG5  številki sestavi in logična vrata

Stran 56

L S L L CD L = L = EM

I C K I C C Q CW DW

1.3 10 600 1.2 10 10 10.2 10 1

L S L CU L R L = L = EM

I C I C I C C Q CW DW

1.3 10 1.1 10 1.4 10 10 10.2 10 1

a)Programiranje odštevalnega b)Programiranje števca v števca prištevalnem načinu 100.) Primerjalniki Primerjalniki so namenjeni za primerjanje dveh večbitnih ali besednih podatkov glede na enakost – večje ali manjše. V trajno ožičenih sistemih so primerjalniki izdelani kot binarni komparatorji. V sistemih s prostim programiranjem pa je primerjava dveh vrednosti zasnovana na odštevanju vsebin dveh registrov. Funkcijska načrta primerjalnika na enakost in primerjalnika na manjše prikazuje slika:

Programsko lahko rešimo primerjavo enakosti z naslednjim programskim modulom: ENAKO L L EQ = EM

CW K Q

10 6 1.5

Primerjavo trenutne vsebine števca, to je števila preštetih impulzov z določeno vrednostjo, opravi naslednja programska sekvenca. Dokler je vsebina števca manjša od konstantne vrednosti, je na izhodu Q stanje 1. MANJ

Page 57: DSK_MRG5  številki sestavi in logična vrata

Stran 57

L L LT = EM

CW K Q

10 6 1.6

VEČJE L L LT = EM

K CW Q

6 10 1.6

Če želimo imeti izhodno stanje 1 takrat, ko je vsebina števca večja od konstantne vrednosti – to pomeni, da izvajamo primerjavo na večje, moramo samo zamenjati medsebojna operanda pred inštrukcijo. 101.) Vhodni moduli Vhodni moduli spreminjajo signale iz procesa v interne bitne signale. Te posredujejo različni dajalniki in senzorji, kot so na primer tipkala, stikala, kontakti releja ali indukcijski senzorji. Ti signali posredujejo signale v obliki napetosti, ki so lahko enosmerne in izmenične in predstavljajo informacijo o krmilnem procesu. Napetosti, ki se lahko pojavljajo v procesnem okolju, so: ~enosmerna napetost 25V ~enosmerna napetost 110V ~izmenična napetost 115V ~izmenična napetost 230V Glede na te napetosti so definirani tudi nizki (low) in visoki (high) logični nivoji:

low 0 do 5V

0 do 35V 0 do 40V 0 do 70V

high 11 do 32V

88 do 127V 85 do 135V

176 do 230V Modul za vhodno enosmerno napetost 24V:

Page 58: DSK_MRG5  številki sestavi in logična vrata

Stran 58

Vhodni modul za enosmerne in izmenične vhodne napetosti:

102.) Izhodni moduli Izhodni moduli so namenjeni za prenos in preoblikovanje signala, ki ga posreduje centralna enota krmilja. Signali, ki jih posreduje centralna enota, so običajno TTL nivoja, to pomeni, da je logično stanje 0 predstavljeno z napetostnim nivojem 0V, logično stanje 1 pa z napetostjo 5V. Za aktiviranje izvršilnih členov krmilja so potrebni napetostno in energijsko večji nivoji signalov, kar dosežemo: ~s tranzistorskimi ojačevalniki, ~z vezji s triaci, ~s pomožnimi releji.

Vezje izhodnega modula v izvedbi s tranzistorskim ojačevalnikom:

Vezje izhodnega modula s triacom:

Page 59: DSK_MRG5  številki sestavi in logična vrata

Stran 59

Vezje z relejskim izhodom:

Priključitev različnih bremen na izhodne module:

Page 60: DSK_MRG5  številki sestavi in logična vrata

Stran 60

103.) Programski modul z dvema oklepajema in z dvem a markerjema

L I O( L I A I ) =M LM OM =Q EP

0.0 0.1 0.2 0.0 0.0 0.1 0.0

L I O( L I AN I ) =M

0.3 0.4 0.5 0.1

104.) Programski modul z dvema oklepajema in enim markerjem

L I O( L I A I ) =M0.0 LM0.0 O( L I AN I AN I ) = Q EP

0.1 0.2 0.3 0.4 0.5 0.6 0.0

Page 61: DSK_MRG5  številki sestavi in logična vrata

Stran 61

105.) Programski modul z dvema markerjma

L I O I =M L I ONI =M

0.1 0.2 0.0 0.3 0.4 0.1

L M O M =Q EP

0.0 0.1 0.0

106.) Programski modul z uporabo oklepajev, markerj ev in primerjalnika

L I A I O( LCW LK EQ ) =M LM OM =Q EP

0.1 0.2 10 6 0.0 0.0 0.1 0.0

L I A I O( L I ) =M

0.3 0.4 0.5 0.1

Page 62: DSK_MRG5  številki sestavi in logična vrata

Stran 62

107.) Programski modul z uporabo oklepajev, markerj ev in števca

L I O( L I A I ) =M LM OQ =Q EP

0.1 0.2 0.3 0.0 0.0 0.0 0.1

L I S C L K L I CDC LC =Q LCW =DW

1.2 10 600 1.3 10 10 0.0 10 1

108.) Programski modul z uporabo števca, markerjev, primerjalnika

LCW L K E Q =M LM OQ =Q EP

10 6 0.0 0.0 0.0 0.1

L I S C L K L I CDC L C =Q LCW =DW

1.2 10 600 1.3 10 10 0.0 10 1

109.) Koraki pri izdelavi krmilja za krmiljenje in nadzor procesa

Page 63: DSK_MRG5  številki sestavi in logična vrata

Stran 63

Koraki pri izdelavi krmilja za krmiljenje in nadzor procesa so: ~algoritem krmilja, ~pravilnostna tabela, ~minimizacija logičnih funkcij, ~logične funkcije, ~tabela vhodov, ~tabela izhodov, ~krmilni načrt, ~funkcijski načrt, ~kontaktni načrt, ~programski modul. 110.) Enokora čna koda Pri ciklični kodi je sprememba iz ene pozicije v drugo pozicijo vedno storjena le na enem mestu in zato takšen kod imenujemo enokoračna koda.

111.) Enojni komplement Ta je definiran kot dopolnitev dane cifre ali znaka, do cifre najvišje vrednosti določenega številskega sestava. Te cifre so v: 2, 8, 10, 16 – iškem sestavu 1, 7, 9 in F. ~N[2] = 01110100 1111 1111 - 0111 0100 … dano število 1000 1011 … enojni komplement ~N[8] = 1245 7777

Page 64: DSK_MRG5  številki sestavi in logična vrata

Stran 64

1245 … dano število 6532 … enojni komplement ~N[10] = 1989 9999

1989 … dano število 8010 … enojni komplement ~N[16] = 3E54 FFFF

3E54 … dano število C1AB … enojni komplement

Naj enostavneje dobimo enojni kompliment v dvojiškem sestavu in sicer tako, da pretvorimo 1 v 0 in 0 v 1. 112.) Pakirana decimalna števila To so zapisi števil, pri katerih je vsaka desetiška cifra kodirana s štirimi biti v 8421 BCD kodi. Ta zapis omogoča, da z enim bytom ali 8 biti zapišemo dvomestno število. Za predznak uporabimo nižje 4 bite v zadnjem bytu:

'' + '' ≡ 1100 (C) '' – '' ≡ 1101 (D)

Primer: - 2305 [10] = 02 30 5D[10] = 0000 0010 00110000 01011101[BCD] 1.byte 3.byte 2.byte

113.) Realizacija polovi čnega števila z NAND elementi

Page 65: DSK_MRG5  številki sestavi in logična vrata

Stran 65

a0 b0 S0 C0 a0

0 0 0 0 b0 1

0 1 1 0 1

1 0 1 0

1 1 0 1 S0=a0b0+a0b0=a0⊕b0 a0

b0 1

C0=a0b0

114.) Zapis s plavajo čo vejico in normalizirana oblika mantise Osnovna oblika zapisa s plavajočo vejico:

N = ± m ⋅ B ±e N … število m … mantisa B … osnova številskega sestava e … eksponent Za dogovorjeno ali normalizirano obliko mantise velja pogoj:

01 BmB ≤≤−

1m5,02B ≤≤⇒= Predznak eksponenta 80 – bitni zapis Struktura zapisa s plavajočo vejico S eksponent S mantisa 79 63 0 predznak mantise 115.) CMOS vezja (uporaba, nivoji)

Page 66: DSK_MRG5  številki sestavi in logična vrata

Stran 66

CMOS tehnika ali komplementarni MOS. CMOS tehnika ima veliko dobrih lastnosti: ~majhna uporaba, ~nizka cena, ~neobčutljivost na šum, ~hitrost delovanja, ~toplotna obremenitev. Med slabe lastnosti štejemo predvsem elektrostatično občutljivost. od 0% do 30% Ucc …… log ''0'' od 30% do 70% Ucc …… nedefinirano od 70% do 100% Ucc …… log ''1'' 116.) Za antivalenco 3 sprem. Izdelaj programski mo dul, funfcijski, kontaktni in krmilni na črt, (minimizacija)

pravilnostna tabela Programski modul x1 x2 x3 y Veitchev diagram L I 0.1 L M 0.0 0 0 0 0 x1 AN I 0.3 OM 0.1 0 0 1 1 x2 1 1 1 = M 0.0 OM 0.2 0 1 0 1 1 1 1 = Q 0.0 0 1 1 1 x3 LN I 0.2 EP 1 0 0 1 A I 0.3 1 0 1 1 = M 0.1 1 1

1 1

0 1

1 0

Logična enačba ymin=x1x3+x2x3+x1x2

LN I 0.1

A I 0.2 = M 0.2

Krmilni načrt Funkcijski načrt

Page 67: DSK_MRG5  številki sestavi in logična vrata

Stran 67

Kontaktni načrt

117.) Navodila za uporabo TTL vezij Navodila za uporabo TTL vezij so: ~napajanje +5V z ustrezno toleranco, ~upoštevanje nedefiniranih nivojev, ~trajanje enega impulza mora biti daljše od 30ns, ~neuporabljene vhode AND in NAND vezij vežemo na +Ucc, ~neuporabljene vhode OR in NOR vezij pa vežemo na GND, ~obvezna uporaba blokovnih kondenzatorjev, ~obremenitev izhoda max. 10vrat, oziroma glej navodila proizvajalcev. 118.) Karakteristi čni podatki IC vezij Karakteristični podatki IC vezij so: ~napajalna napetost, ~temperaturno območje, ~fan OUT, ~fan IN, ~logični nivoji, ~tolerance.

Page 68: DSK_MRG5  številki sestavi in logična vrata

Stran 68

119.) Zgradba mikrokontrolerja MC6803 in opis eleme ntov MC6803 je integrirano vezje s 40 nožicami. Vezje je narejeno v NMOS tehnologiji. Deluje lahko na dva načina. Oba načina sta razširjena zato moramo mikrokontrolerju MC6803 vedno dodati zunanji pomnilnik, ki hrani program, po katerem deluje.

MC6803 vsebuje naslednje enote: ~CPE – ,ki je izboljšana verzija mikroprocesorja 6800. Dodani so mu tudi nekateri novi ukazi. ~urin generator, ~128 zlogov RAM-pomnilnika – to je bralno - pisalni pomnilnik, ki ohranja vsebino le, dokler je priključen na napajalno napetost. ~večnamenski trimer – uporabljamo ga za generiranje raznih pravokotnih izhodnih signalov, merjenje časa oziroma frekvence, proženje periodičnih prekinitev. ~serijski asinhronski komunikacijski adapter – omogoča serijsko komunikacijo z zunanjimi napravami. Serijski adapter lahko hkrati sprejema in oddaja znake, prenos poteka časovno zaporedno, za komunikacijo pa so potrebne tri linije. ~paralelni vmesnik-port 1 – to je 8 - bitni vmesnik, ki mu programsko določimo za vsako linijo, ali je izhodna ali vhodna.

Page 69: DSK_MRG5  številki sestavi in logična vrata

Stran 69

120.) Primer priklju čitve pomnilnika na mikrokontroler MC6803