114
Elettronica per le telecomunicazioni Anno Accademico 2009/2010 Fiandrino Claudio 7 maggio 2010

Elettronica per le telecomunicazioni

Embed Size (px)

DESCRIPTION

Appunti del corso di Elettronica per le telecomunicazioni

Citation preview

Page 1: Elettronica per le telecomunicazioni

Elettronica per le telecomunicazioni

Anno Accademico 2009/2010

Fiandrino Claudio

7 maggio 2010

Page 2: Elettronica per le telecomunicazioni

II

Page 3: Elettronica per le telecomunicazioni

Indice

I Teoria 3

1 Filtri 5

1.1 Nozioni base . . . . . . . . . . . . . . . . . . . . . . . . . . . 5

1.1.1 Definizioni . . . . . . . . . . . . . . . . . . . . . . . . . 5

1.1.2 Esempi di filtri ideali . . . . . . . . . . . . . . . . . . . 6

1.1.3 Poli e zeri della funzione di trasferimento . . . . . . . 8

1.2 Progetto di filtri del 1 ordine . . . . . . . . . . . . . . . . . . 12

1.2.1 Filtro passa basso . . . . . . . . . . . . . . . . . . . . 12

1.2.2 Filtro passa alto . . . . . . . . . . . . . . . . . . . . . 13

1.2.3 Filtro passa banda . . . . . . . . . . . . . . . . . . . . 14

1.3 Progetto di filtri del 2 ordine . . . . . . . . . . . . . . . . . . 15

1.3.1 Analisi teorica . . . . . . . . . . . . . . . . . . . . . . 15

1.3.2 Sensibilita . . . . . . . . . . . . . . . . . . . . . . . . . 19

1.3.3 Realizzazioni circuitali . . . . . . . . . . . . . . . . . . 19

1.4 Tecnica di sintesi RLC . . . . . . . . . . . . . . . . . . . . . . 27

1.5 Filtri con piu amplificatori operazionali . . . . . . . . . . . . 28

1.5.1 Filtri a variabili di stato . . . . . . . . . . . . . . . . . 28

1.5.2 Celle biquadratiche . . . . . . . . . . . . . . . . . . . . 30

1.6 Filtri a capacita commutate . . . . . . . . . . . . . . . . . . . 32

1.6.1 Analisi per comportamento ideale . . . . . . . . . . . 32

1.6.2 Comportamenti con capacita parassite . . . . . . . . . 34

1.6.3 Realizzazione di resistori . . . . . . . . . . . . . . . . . 36

1.6.4 Integratore a capacita commutate . . . . . . . . . . . 38

2 Applicazioni di transistori bipolari 43

2.1 Amplificatori accordabili a banda stretta . . . . . . . . . . . . 44

2.1.1 Richiami sul modello di Ebers-Moll . . . . . . . . . . . 44

2.1.2 Modelli di piccolo segnale . . . . . . . . . . . . . . . . 45

2.1.3 Polarizzazione . . . . . . . . . . . . . . . . . . . . . . . 45

2.1.4 Amplificatore ad emettitore comune . . . . . . . . . . 50

2.2 Oscillatori . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58

2.2.1 Teoria degli oscillatori . . . . . . . . . . . . . . . . . . 58

2.2.2 Oscillatore di Colpitts . . . . . . . . . . . . . . . . . . 60

III

Page 4: Elettronica per le telecomunicazioni

IV INDICE

2.3 Mixer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 612.3.1 Mixer a Transconduttanza . . . . . . . . . . . . . . . . 622.3.2 Mixer a Stadio differenziale . . . . . . . . . . . . . . . 63

3 PLL 693.1 Introduzione . . . . . . . . . . . . . . . . . . . . . . . . . . . . 693.2 Analisi . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70

3.2.1 Schema a blocchi e analisi teorica . . . . . . . . . . . . 703.2.2 Funzione di trasferimento . . . . . . . . . . . . . . . . 713.2.3 Analisi sul tipo di HLP (s) . . . . . . . . . . . . . . . . 733.2.4 Condizioni di aggancio del PLL . . . . . . . . . . . . . 74

3.3 Realizzazioni circuitali dei componenti . . . . . . . . . . . . . 773.3.1 Demodulatori di fase . . . . . . . . . . . . . . . . . . . 773.3.2 VCO . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85

3.4 Applicazioni dei PLL . . . . . . . . . . . . . . . . . . . . . . . 903.4.1 Sintetizzatori di frequenza . . . . . . . . . . . . . . . . 90

4 Convertitori 934.1 Introduzione . . . . . . . . . . . . . . . . . . . . . . . . . . . . 934.2 Campionamento . . . . . . . . . . . . . . . . . . . . . . . . . 954.3 Quantizzazione . . . . . . . . . . . . . . . . . . . . . . . . . . 974.4 Realizzazioni circuitali . . . . . . . . . . . . . . . . . . . . . . 104

4.4.1 Errori . . . . . . . . . . . . . . . . . . . . . . . . . . . 1044.4.2 Convertitori D/A . . . . . . . . . . . . . . . . . . . . . 1044.4.3 Convertitori A/D . . . . . . . . . . . . . . . . . . . . . 1094.4.4 Sample & Hold . . . . . . . . . . . . . . . . . . . . . . 112

Page 5: Elettronica per le telecomunicazioni

Prefazione

La dispensa contiene solo e soltanto gli appunti presi a lezione.

I grafici sono stati realizzati con i codici tikz e devono essere intesi comeapprossimativi e qualitativi.Ringrazio Massimo Redaelli, autore del pacchetto LATEX circuitikz, senza ilquale non avrei potuto realizzare i circuiti presenti.

Per la segnalazione di errori potete contattarmi all’indirizzo e-mail:claudio [email protected].

Questa dispensa e reperibile sul sito: http://claudiofiandrino.altervista.org.

CF

1

Page 6: Elettronica per le telecomunicazioni

2 INDICE

Page 7: Elettronica per le telecomunicazioni

Capitolo 1

Filtri

Per realizzare dei filtri i componenti induttivi a frequenze basse, vicino allacontinua, non sono molto adatti.E’ possibile utilizzare gli amplificatori e, i filtri realizzati con amplificatori,prendono il nome di filtri attivi.

Questa definizione deriva dal fatto che servono sia a filtrare il segnale sia adamplificarlo in banda passante.

1.1 Nozioni base

1.1.1 Definizioni

Funzione di trasferimento

La funzione di trasferimento di un sistema viene definita, nel dominio diLaplace, come:

H(s) =N(s)

D(s)

dove:

. il numeratore e un poliniomio di ordine m;

. il denominatore e un poliniomio di ordine n.

La condizione vincolante e:

m ≤ n

Trasmissivita

La trasmissivita e una particolare funzione di trasferimento che lega le ten-sioni di ingresso e di uscita di un sistema; viene definita, sempre nel dominio

3

Page 8: Elettronica per le telecomunicazioni

4 CAPITOLO 1. Filtri

di Laplace, come:

T (s) =Vout(s)

Vin(s)

In generale e un numero complesso che si puo esprimere in termini di moduloe fase:

T (jω) = |T (jω)| e jφω

con s = jω.

Guadagno

Il guadagno di un filtro si definisce:

G(ω) = |T (jω)||dB = 20 log10 (|T (jω)|)

Se:

. e positivo si parla di guadagno;

. e negativo si parla di attenuazione.

1.1.2 Esempi di filtri ideali

Gli esempi di filtri ideali sono:

. filtro passa basso;

. filtro passa alto;

. filtro passa banda.

Filtro passa basso

Grafico:

ω

|T |

ωp

La parte colorata rappresenta la banda passante; al di fuori il segnaleviene attenuato e si parla di banda attenuata.

Page 9: Elettronica per le telecomunicazioni

1.1. Nozioni base 5

Filtro passa alto

Grafico:

ω

|T |

ωp

Come nel caso precedente la parte colorata indica la banda passante.

Filtro passa banda

Grafico:

ω

|T |

ωp1 ωp2

Anche in questo caso la banda passante e colorata.

I fronti di salita e di discesa sono verticali quindi non possono essere im-plementati fisicamente nella realta. Di un filtro reale, nelle specifiche, siconosce la maschera.Ad esempio, per il filtro passa basso, la maschera e:

ω

|T |

ωp ωs

La zona bianca e quella zona in cui il segnale e trasmesso; all’interno sidistinguono ancora tre sezioni, a seconda della modalita con cui il segnalepuo passare:

Page 10: Elettronica per le telecomunicazioni

6 CAPITOLO 1. Filtri

ω

|T |

ωp ωs

La parte tratteggiata in azzurro prende il nome di banda passante: in questazona il segnale non subisce attenuazione.Nella parte tratteggiata in arancione, invece, il segnale e molto attenuato;per questo motivo prende il nome di banda attenuata.La zona di passaggio fra banda passante e banda attenuata prende il nomedi selettivita; nel grafico e la parte evidenziata in verde.La selettivita e un’indice di quanto e ripido un filtro: piu ωp e ωs sono vicinepiu il filtro sara ripido e quindi selettivo.L’escursione verticale, invece, e il parametro che indica l’attenuazione totaleintrodotta dal filtro.

1.1.3 Poli e zeri della funzione di trasferimento

Il numero di poli della funzione di trasferimento definisce l’ordine del filtro;denotando con:

. pi i poli del denominatore;

. zi gli zeri del numeratore;

si puo esprimere la trasmissivita come:

T (s) = k ·∏

i (s − zi)∏

i (s − pi)

Sul piano complesso i poli devono essere presenti sulla parte colorata:

σ

Inoltre possono essere solo:

Page 11: Elettronica per le telecomunicazioni

1.1. Nozioni base 7

. reali;

. a coppie complesse coniugate.

Graficamente:

σ

α

−α

dove:

. in giallo sono colorati i poli reali;

. in arancione sono colorate le coppie di poli complessi coniugati.

Il denominatore della funzione di trasferimento si puo esprimere:

. D(s) = (s − σi) nel caso di poli reali;

. D(s) = s2 + 2ξω0 + ω20 nel caso di poli a coppie complesse coniugate.

I coefficienti:

. ω0 rappresenta la pulsazione di risonanza;

. ξ rappresenta il coefficiente di smorzamento.

Si definisce Q, fattore di qualita, l’espressione:

Q =1

Le radici del polinomio s2 + 2ξω0 + ω20 sono:

φ1,2 = −2ω0

Q± jω0 ·

1 − 1

4Q2

oppure in funzione del coefficiente di smorzamento:

φ1,2 = −ξω0 ± jω0 ·√

1 − ξ2

Per ottenere poli a coppie complesse coniugate e necessario che il termine

± jω0 ·√

1 − ξ2

Page 12: Elettronica per le telecomunicazioni

8 CAPITOLO 1. Filtri

sia immaginario e cio accade se la radice e reale:√

1 − ξ2 ∈ R =⇒ 1 − ξ2 > 0 =⇒ |ξ| < 1

In termini di Q, invece:Q > 0.5

Nel caso in cui:√

1 − ξ2 ∈ I

allora il termine:± jω0 ·

1 − ξ2

e puramente reale e i poli sono reali.

Riassumendo, dato un polinomio di secondo grado a denominatore dellafunzione di trasferimento, si hanno:

Poli Condizioni su ξ Condizioni su Q

a coppie complesse coniugate |ξ| < 1 Q > 0.5

a coppie reali |ξ| > 1 Q < 0.5

Esempio

Considerando il sistema:

x(t) y(t)h(t)

e ipotizzando di realizzare una funzione di trasferimento di tipo passa bassocome si esprime l’uscita y(t) se in ingresso viene posto:

x(t) = Ai · δ (t)

Nel dominio di Laplace:

Y (s) = X(s) · H(s)

Per realizzare un filtro passa basso e necessario che ci sia un solo polo enessun zero nella H(s):

H(s) =

i(αi)∏

i(s − pi)

con αi ∈ R.

Quindi:

Y (s) = Ai ·∏

i(αi)∏

i(s − pi)

Page 13: Elettronica per le telecomunicazioni

1.1. Nozioni base 9

Antitrasformando si ottiene:

y(t) ∝∑

i

αi · e pi t

L’uscita e la somma di tanti termini esponenziali dove:

pi = −σi + jωi

Graficamente:

σ

jωi

−σi

Se pi e reale allora:y(t) = e−σi t

che rappresenta la risposta di un sistema del primo ordine stabile.

Visualizziamo graficamente ingresso e uscita per questo tipo di sistemi:

t

x(t)

t

y(t)

Se pi e complesso allora i poli sono due:

p1,2 = −σi ± jωi

La risposta del sistema in questo caso cambia:

y(t) ∝ e−σi t ·[

e− jωi t + e+ jωi t]

∝ e−σi t · cos(ωit)

Graficamente:

t

x(t)

t

y(t)

Page 14: Elettronica per le telecomunicazioni

10 CAPITOLO 1. Filtri

L’uscita presenta oscillazioni di pulsazione ωi 6= ω0 che si attenuano di σi.Il termine σi si esprime:

σi = ξω0 =1

2Qω0

Il fattore di qualita Q, quindi, rappresenta fisicamente la rapidita con cui leoscillazioni si smorazano nel tempo.Piu e elevato il fattore di qualita piu le oscillazioni si smorzano lentamente;al limite, per Q −→ ∞, i poli si trovano sull’asse immaginario e le oscillazioninon si smorzano: si realizza un oscillatore.Al contrario, per Q bassi, le oscillazioni si smorzano molto velocemente.

1.2 Progetto di filtri del 1 ordine

1.2.1 Filtro passa basso

Il circuito e:

R1

+

R2

C2

VoutVin

I parametri da considerare sono:

. trasmissivita;

. amplificazione in banda;

. frequenza del polo.

La trasmissivita si esprime con:

T (s) =Vout

Vin= −Z2

Z1= −

1sC2

//R2

R1= −R2

R1· 1

1 + sR2C2

L’amplificazione in banda (in continua per il filtro passa basso) e data da:

T (0) = −R2

R1

Page 15: Elettronica per le telecomunicazioni

1.2. Progetto di filtri del 1 ordine 11

La frequenza del polo:

fp =1

2πR2C2

mentre la pulsazione del polo:

ωp =1

R2C2

in quanto ω = 2πf .

I diagrammi di Bode, modulo e fase, sono:

dB

f

−50

−40

−30

−20

−10

0

fp

f0

−45

−90

fp

Le specifiche di progetto sono:

. amplificazione in banda controllata attraverso R1 e R2;

. frequenza del polo controllata attraverso C2.

1.2.2 Filtro passa alto

Lo schema circuitale e il seguente:

R1C1

+

R2

VoutVin

I parametri da considerare sono, come nel caso precedente:

. trasmissivita;

. amplificazione in banda;

Page 16: Elettronica per le telecomunicazioni

12 CAPITOLO 1. Filtri

. frequenza del polo.

La trasmissivita si esprime con:

T (s) =Vout

Vin= −Z2

Z1= − sR2C1

1 + sR1C1

L’amplificazione in banda (f −→ ∞ per il filtro passa alto) e data da:

T (∞) = −R2

R1

La frequenza del polo:

fp =1

2πR1C1

e la pulsazione del polo:

ωp =1

R1C1

I diagrammi di Bode, modulo e fase, sono:

dB

f

−50

−40

−30

−20

−10

0

fp

f90

45

0

fp

1.2.3 Filtro passa banda

Il circuito e:

R1C1

+

R2

C2

VoutVin

Page 17: Elettronica per le telecomunicazioni

1.3. Progetto di filtri del 2 ordine 13

La trasmissivita e:

T (s) =Vout

Vin= −Z2

Z1= − sR2C1

(1 + sR1C1) · (1 + sR2C2)

L’amplificazione in banda passante e data da:

−R2

R1

In questo caso i poli sono due:

fp1 =1

2πR1C1fp2 =

1

2πR2C2

quindi anche le pulsazioni sono due:

ωp1 =1

R1C1ωp2 =

1

R2C2

I diagrammi di Bode, modulo e fase, sono:

dB

f

−50

−40

−30

−20

−10

0

fp1 fp2

f90

0

−90

fp1 fp2

1.3 Progetto di filtri del 2 ordine

1.3.1 Analisi teorica

Per un filtro del secondo ordine la funzione di trasferimento sara del tipo:

H(s) =N(s)

s2 + 2ξω0 s + ω20

dove, a denominatore, le radici saranno complesse coniugate (Q > 0.5).

Analizziamo per i vari tipi di filtro la forma del numeratore N(s) e qualeinfluenza ha sui diagrammi di Bode.

Page 18: Elettronica per le telecomunicazioni

14 CAPITOLO 1. Filtri

Filtro passa basso

Il filtro passa basso ha la proprieta di avere:

N(s) = costante

Si esprime dunque la funzione di trasferimento come:

H(s) =κω2

0

s2 + 2ξω0 s + ω20

Studiamo il comportamento sull’asse delle frequenze, ponendo s = j2πf :

f −→ 0 =⇒ amplificazione in banda = κ

f −→ ∞ =⇒ banda attenuata ∼ 1

f2

Il diagramma di Bode del modulo di H(s):

dB

f

−50

−40

−30

−20

−10

0

40 dB/dec

Le risposte reali possono essere di due tipi, a seconda del valore di Q:

dB

f

−50

−40

−30

−20

−10

0

0.5 < Q < 0.707

dB

f

−50

−40

−30

−20

−10

0

Q > 0.707

Il secondo grafico evidenzia il picco di risonanza o sovraelongazione: piucresce Q piu cresce il picco; nel dominio delle frequenze, inoltre, l’altezza delpicco e proprio data dal valore di Q.

In dettaglio:|H(s)||dB = κ|dB + Q|dB

Page 19: Elettronica per le telecomunicazioni

1.3. Progetto di filtri del 2 ordine 15

Graficamente:

ωmax

κ|dB

Q|dB

Diagramma di Bode della fase:

ω0

−90

−180

ω0

Il cambiamento di fase e tanto piu veloce tanto piu il Q e elevato; lapulsazione ω0 e quella per cui il segnale di ingresso risulta sfasato di 90.

Filtro passa alto

La funzione di trasferimento per un filtro passa alto deve avere due zerinell’origine, quindi:

N(s) = s2

Si esprime H(s) come:

H(s) =κs2

s2 + 2ξω0 s + ω20

Il diagramma di Bode del modulo di H(s):

dB

f

−50

−40

−30

−20

−10

0

Page 20: Elettronica per le telecomunicazioni

16 CAPITOLO 1. Filtri

Come per il filtro passa basso, le risposte reali possono essere di due tipi:

dB

f

−50

−40

−30

−20

−10

0

0.5 < Q < 0.707

dB

f

−50

−40

−30

−20

−10

0

Q > 0.707

Filtro passa banda

Per il filtro passa banda la funzione di trasferimento risulta essere:

H(s) =κsω0

s2 + 2ξω0 s + ω20

Il diagramma di Bode del modulo:

dB

f

−50

−40

−30

−20

−10

0

fL fH

La risposta reale e:

dB

f

−50

−40

−30

−20

−10

0

fL fH

-3 dB

I punti a −3 dB dal picco individuano le frequenze:

fL = f0 ·[√

1 +1

4Q2− 1

2Q

]

fH = f0 ·[√

1 +1

4Q2+

1

2Q

]

Page 21: Elettronica per le telecomunicazioni

1.3. Progetto di filtri del 2 ordine 17

Esiste una formula alternativa per il calcolo del fattore di qualita:

Q =f0

BW=

f0

fH − fL

Piu e elevato il Q piu il filtro sara selettivo il frequenza e il picco sara piustretto; cio comporta anche un’aumento dell’amplificazione che puo essereuno svantaggio in quanto le frequenze amplificate sono molto vicine allafrequenza f0.Graficamente:

dB

f

−50

−40

−30

−20

−10

0

1.3.2 Sensibilita

La sensibilita e un coefficiente che quantifica le variazioni di parametri realirispetto a quelli di progetto.

La condizione ideale sarebbe avere una sensibilita nulla corrispondente alfatto di misurare gli stessi parametri di progetto, ma e pressoche impossi-bile.

Si definisce sensibilita:

Syx =

∂y

y· 1

∂xx

1.3.3 Realizzazioni circuitali

Per realizzare un filtro del secondo ordine e possibile utilizzare due tipi dicelle:

. celle a guadagno finito;

. celle a guadagno infinito (celle a reazioni multiple).

Considerando il primo tipo si procede ad analizzare la cella Sallen-Key.

Page 22: Elettronica per le telecomunicazioni

18 CAPITOLO 1. Filtri

Cella Sallen-Key

La realizzazione circuitale prevede inizialmente di utilizzare ammettenzegeneriche Yi che verranno sostituite con condensatori o resistenze a sec-onda del tipo di filtro che si vuole realizzare (passa basso, passa alto, passabanda).Circuito generico:

Y1

i1

Y2

i2

Y3i3

Vx Y4Vout

+

VoutVin

Per determinare la trasmissivita occorre scrivere le equazioni della correntesul nodo colorato in rosso e della tensione Vout:

T (s) =Vout

Vin

(Vin − Vx) · Y1 = (Vx − Vout) · Y3 + (Vx − Vout) · Y2

Vout =Y3

Y3 + Y4· Vx

dove:

. (Vin − Vx) · Y1 = i1;

. (Vx − Vout) · Y2 = i2;

. (Vx − Vout) · Y3 = i3.

Risolvendo si ottiene:

T (s) =Vout

Vin=

Y1 · Y3

Y4 · (Y1 + Y2 + Y3) + (Y1 · Y3)

Per realizzare un filtro passa basso e necessario che il numeratore non pre-senti zeri, quindi occorre scegliere:

Y1 =1

R1Y3 =

1

R3

Page 23: Elettronica per le telecomunicazioni

1.3. Progetto di filtri del 2 ordine 19

Poiche il denominatore deve essere un polinomio di secondo grado in s glialtri due componenti saranno:

Y4 = sC4 Y2 = sC2

Ricapitolando, per il filtro passa basso Sallen-Key:

Ammettenza Componente usato

Y11

R1

Y2 sC2

Y31

R3

Y4 sC4

Sostituendo i componenti specifici nel circuito generico si ha:

R1

i1

C2

i2

R3i3

Vx C4Vout

+

VoutVin

Dati (m,n) ∈ N si preferisce utilizzare per i componenti le seguenti espres-sioni:

Componente Espressione

R3 R

R1 mR

C4 C

C2 nC

Le espressioni della pulsazione di risonanza, frequenza del polo e fattore diqualita sono riportate in tabella sia con la dicitura per componente, sia conle espressioni introdotte in precedenza:

Page 24: Elettronica per le telecomunicazioni

20 CAPITOLO 1. Filtri

Parametro Espressione etichette Espressione m,n

ω01√

R1 · R3 · C2 · C4

1√mnRC

f01

2π ·√

R1 · R3 · C2 · C4

1

2π√

mnRC

Q

√R1 · R3 · C2 · C4

(R1 + R3)C1

√mn

m + 1

Si osservi che, nelle espressioni con m,n, il fattore di qualita non dipendedai componenti scelti, ma solo dal loro rapporto; invece, la f0, dipende siadal rapporto fra i componenti sia dalla costante di tempo (τ = RC).

Se Q =1√2

si ha (m = 1, n = 2) e un caso particolare (Butterworth).

Come si puo notare dal circuito l’amplificazione in banda di questa cellae unitaria ed e un limite.Per sopperire a questa mancanza si introducono le celle KRC, celle di tipoSallen-Key con amplificazione in banda pari a κ.

Celle KRC

Le celle KRC, circuitalmente, si realizzano introducendo una rete di reazionesul morsetto invertente dell’amplificatore:

R1

i1

C2

i2

R3i3

Vx C4Vout

+

RA

RB

VoutVin

La trasmissivita cambia:

T (s) =Vout

Vin=

κ · Y1 · Y3

Y4 · (Y1 + Y2 + Y3) + (1 − κ) · (Y2 · Y3) + (Y1 · Y3)

Page 25: Elettronica per le telecomunicazioni

1.3. Progetto di filtri del 2 ordine 21

Per questo circuito l’amplificazione in banda risulta essere:

κ = 1 +RB

RA

La pulsazione di risonanza e la f0 non cambiano espressione, mentre il fattoredi qualita diventa:

Q =

√mn

m + 1 + (1 − κ) · (mn)

Ora Q oltre a dipendere dal rapporto fra i componenti usati dipende anchedall’amplificazione in banda che si vuole ottenere.

Per m = 1, n = 1, ponendo quindi uguali i valori delle due resistenze euguali i valori dei due condensatori, si ha:

f0 =1

2πRCQ =

1

3 − κ

Esempio

Si vuole progettare una cella KRC con Q = 10.

Determiniamo il valore di κ:

κ = 3 − 1

Q= 3 − 1

10= 2.9

Come e noto κ rappresenta l’amplificazione in banda, quindi:

κ = 1 +RB

RA=⇒ RB

RA= 1.9

Se a causa delle tolleranze sui componenti il rapporto RB

RAvaria di ±1%:

κ = 2.9 ± % =⇒ κ = [2.88 ÷ 2.92]

Sostituendo nell’espressione di Q si nota che:

8.3 < Q < 12.5

In conclusione: piccole variazioni su κ generano grandi variazioni su Q; ilfattore di qualita delle celle KRC e molto sensibile al parametro dell’amplifi-cazione di banda. Il progetto risulta critico perche occorre usare componentimolto precisi pur di ottenere Q abbastanza vicini al valore teorico desiderato.

Page 26: Elettronica per le telecomunicazioni

22 CAPITOLO 1. Filtri

Considerazioni sulla sensibilita

In questa sezione si analizza come le tolleranze sui componenti influiscanosui parametri f0 e Q per le celle Sallen-Key e KRC.

Ricapitolando:

Parametro Sallen-Key KRC

f01

2π ·√

R1 · R3 · C2 · C4

1

2π ·√

R1 · R3 · C2 · C4

Q

√R1 · R3 · C2 · C4

(R1 + R3)C1

√R1 · R3 · C2 · C4

(R1 + R3) · C4 + (1 − κ) · (R1 · C2)

⋄ Per la cella Sallen-Key:

SQC2

=∂Q

∂C2· C2

Q=

1

2SQ

C4=

∂Q

∂C4· C4

Q= −1

2

Sf0

R1,R3,C2,C4=

1

2

Questo tipo di cella ha quindi sensibilita molto basse: con tolleranzesui componenti del 20% i parametri Q e f0 variando della meta (10%).Questo e indubbiamente un vantaggio, ma Q e f0 dipendono dai valoridi tutti i componenti quindi modificando il valore di uno automatica-mente varia anche l’altro. Sarebbe meglio poter agire indipendente-mente su uno, ad esempio Q, senza variare f0.Inoltre, per Q elevati, i valori dei componenti utilizzati devono nec-essariamente essere molto diversi fra loro: se R1 = R3 = R alloraC2C4

= 4Q2 quindi C2 e C4 avranno capacita di ordini di grandezzadiversi.

⋄ Per la cella KRC:

SQR3

=1

2− Q · R3 · C4√

R1 · R3 · C2 · C4

la sensibilita su Q cresce al crescere di Q; per valori alti del fattore diqualita il filtro progettato sara poco preciso.

Cella a reazioni multiple

La cella a reazioni multiple, come descritto nella sezione 1.3.3 a pagina 19,presentano guadagno infinito.

Page 27: Elettronica per le telecomunicazioni

1.3. Progetto di filtri del 2 ordine 23

La loro realizzazione circuitale generale e la seguente:

Y1

i1

Y2

i2

Y3

i3

Vx Y4

i4

+

Y5

i3

VoutVin

Per determinare la trasmissivita occorre scrivere le equazioni della correntesul nodo colorato in rosso e della tensione Vout:

T (s) =Vout

Vin

(Vin − Vx) · Y1 = (Vx − Vout) · Y2 + Vx · (Y3 + Y4)

Vout = −Y3

Y5· Vx

dove:

. (Vin − Vx) · Y1 = i1;

. (Vx − Vout) · Y2 = i2;

. Vx · Y3 = Vout · Y5 = i3.

Risolvendo si ottiene:

T (s) =Vout

Vin= − Y1 · Y3

Y5 · (Y1 + Y2 + Y3 + Y4) + (Y2 · Y3)

Per realizzare un filtro passa banda e necessario che il numeratore abbia unozero, quindi occorre scegliere:

Y1 =1

R1Y3 = sC3

Poiche il denominatore deve essere un polinomio di secondo grado in s glialtri componenti saranno:

Y5 =1

R5Y4 =

1

R4Y2 = sC2

Page 28: Elettronica per le telecomunicazioni

24 CAPITOLO 1. Filtri

La scelta non e univoca tuttavia e indispensabile che non sia presente unanello aperto sull’amplificatore operazionale.

Ricapitolando, per il filtro passa banda con cella a reazioni multiple:

Ammettenza Componente usato

Y11

R1

Y2 sC2

Y3 sC3

Y41

R4

Y51

R5

Sostituendo i componenti nel circuito generico si ottiene:

R1

i1

C2

i2

C3

i3

Vx R4

i4

+

R5

i3

VoutVin

Per questo circuito:

T (s) =sC3R4R5

s2C2C3R1R4R5 + sR1R4 · (C2 + C3) + (R1 + R4)

f0 =1

2π√

C2C3R5 · (R1//R4)Q =

√C2C3R5

(C2 + C3)√

R1//R4

Rispetto alla cella Sallen-Key e presente, in piu, l’ammettenza Y4. Se nonfosse presente non si potrebbe fare un progetto con un fattore di qualita altoaltrimenti l’amplificatore operazionale saturerebbe.

Page 29: Elettronica per le telecomunicazioni

1.4. Tecnica di sintesi RLC 25

1.4 Tecnica di sintesi RLC

Un filtro passivo del secondo ordine e rappresentato circuitalmente da:

+

Vin

L

R C

Con:

ω0 =1√LC

f0 =1

2π√

LCQ =

R

ω0L= Rω0C

La realizzazione di filtri di ordini superiori al secondo avviene collegando incascata tante celle di questo tipo.Tuttavia, per bande di frequenza basse e noto che l’induttore non si puoutilizzare. Occorre trovare un blocco sostitutivo che abbia lo stesso compor-tamento di un induttore, ma sia realizzato con resistenze, condensatori edamplificatori operazionali.Questo tipo di circuiti prendono il nome di GIC, o convertitori di impeden-za. La loro realizzazione circuitale e la seguente:

Z5

Z4

Z3

Z2

Z1

A

+

+

Page 30: Elettronica per le telecomunicazioni

26 CAPITOLO 1. Filtri

Il circuito equivalente e:

Z

A

Con:

Z =Z1Z3Z5

Z1Z4

Per essere un induttore:Z = sL

Quindi:

Z2 =1

sL2oppure Z4 =

1

sL4

Scegliendo Z2 =1

sL2gli altri componenti devono essere:

Z1 = R1, Z3 = R3, Z4 = R4, Z5 = R5

1.5 Filtri con piu amplificatori operazionali

Per le celle viste fin qui, con un solo amplificatore operazionale, si sonoriscontrati problemi di taratura indipendente per f0 e Q e sensibilita dipen-denti da Q.Al fine di migliorare la precisione occorre introdurre nuove celle con piu diun amplificatore operazionale.

1.5.1 Filtri a variabili di stato

Analisi teorica

La funzione di trasferimento di un filtro passa alto e:

HHP (s) =κs2

s2 + 2ξω0 s + ω20

Dividendo per s si ottiene una funzione di trasferimento tipica del filtropassa banda:

HBP (s) =κs

s2 + 2ξω0 s + ω20

Se si divide ulteriormente per s si nota che il risultato e la funzione ditrasferimento del filtro passa basso:

HLP (s) =κ

s2 + 2ξω0 s + ω20

Page 31: Elettronica per le telecomunicazioni

1.5. Filtri con piu amplificatori operazionali 27

La divisione per s nel dominio di Laplace corrisponde ad un integrazione neldominio temporale:

Vin VHP VBP VLP

HHP

∫ ∫

Analiticamente:

VHP = Vin − A1 · VBP − A2 · VLP

con A1 e A2 costanti.

Poiche:

. VBP =VHP

s;

. VLP =VHP

s2;

Si ha:

VHP = Vin −(

A1 ·VHP

s

)

−(

A2 ·VHP

s2

)

Con qualche passaggio algebrico:

VHP

[

1 +A1

s+

A2

s2

]

= Vin =⇒ VHP

Vin=

s2

s2 + A1s + A2

Realizzazione circuitale

R3

+

R−

+

R−

+

CC

R3

R1R2

R3

Vin

VHP

VBP

VLP

Page 32: Elettronica per le telecomunicazioni

28 CAPITOLO 1. Filtri

Si determina con la sovrapposizione degli effetti la tensione VHP :

VHP |Vin= −Vin

VHP |VLP= −VLP

VHP |VBP= VBP ·

(

R1

R1 + R2

)

·(

1 +R3

R3//R3

)

dove:

(

1 +R3

R3//R3

)

= 3

Mettendo a sistema le equazioni:

VHP = −Vin − VLP + VBP

(

R1

R1 + R2

)

· 3

VBP = − 1

sRC· VHP

VLP = − 1

sRC· VBP

Si ottiene:

VHP

Vin= − s2R2C2

s2R2C2 + s

[

3RC · R1

R1 + R2

]

+ 1

I parametri f0 e Q valgono:

f0 =1

2πRCQ =

1

3·(

1 +R2

R1

)

Per questa cella l’amplificazione in banda e controllata agendo sui blocchiintegratori ed e indipendente dal fattore di qualita.

1.5.2 Celle biquadratiche

Si sostituisce al blocco sommatore un blocco integratore-sommatore:

Page 33: Elettronica per le telecomunicazioni

1.5. Filtri con piu amplificatori operazionali 29

R1

+

R1

+

R4

+

R4

C2R3

C2

R5

Vin VBP −VLP VLP

Come nel caso precedente si procede con la sovrapposizione degli effetti:

VBP |Vin= −

1sC1

//R2

R1· Vin

VBP |VLP=

1sC1

//R2

R5· VLP

Mettendo a sistema le equazioni:

VBP = −1

sC1//R2

R1· Vin +

1sC1

//R2

R5· VLP

VLP = − VBP

sR4C2

Si ottiene:

VBP

Vin= −R2

R1· sR4R5C2/R2

s2R4R5C1C2 + sR4R5C2/R2 + 1

I parametri f0 e Q valgono:

f0 =1

2π√

R4R5C1C2Q = R2 ·

C1

R4R5C2

Il fattore di qualita dipende da un parametro, R2, che non influenza f0.

A differenza delle variabili di stato per le celle biquadratiche le uscite possi-bili sono solo due anziche tre; il motivo e dovuto alla sostituzione del bloccosommatore.

Page 34: Elettronica per le telecomunicazioni

30 CAPITOLO 1. Filtri

1.6 Filtri a capacita commutate

1.6.1 Analisi per comportamento ideale

Per realizzare in forma integrata un interruttore e necessario utilizzare untransistore MOS:

=⇒

Elenco dei simboli usati:

Parametro Descrizione

VTH tensione di soglia

VGS tensione gate-source

VDS tensione drain-source

IDS corrente drain-source

Si ricorda che in zona lineare:

IDS = µnCoxωn

Ln(VGS − VTH)VDS

e si puo approssimare:

∼ Ron

di valore pari a:

Ron =1

µnCoxωn

Ln(VGS − VTH)

Supponendo di pilotare con una tensione Vφ il tasto dell’interruttore:

. se φ = 0 =⇒ =⇒ Vφ ∼ 0 (stato basso);

. se φ = 1 =⇒ =⇒ Vφ ∼ VAL (statoalto).

Il circuito che illustra questo comportamento e il seguente:

Vin Vout

Page 35: Elettronica per le telecomunicazioni

1.6. Filtri a capacita commutate 31

Pass Transistor

CVin Vout

La condizione iniziale prevede il condensatore scarico; impostando Vφ allostato alto anche VGS andra allo stato alto quindi il canale permette il pas-saggio di cariche dall’ingresso sul condensatore. Quando viene raggiunta lacondizione per cui Vout = VC = Vin il condensatore e completamente carico.Durante la fase di passaggio la tensione VGS scende progressivamente comeVDS che si annulla quando Vout = Vin.

Il funzionamento descritto non e valido per ogni tensione di ingresso, masolo per quelle che garantiscono:

VGS > VTH

Trasmission Gate

CVin Vout

Inserire un pmos e molto utile perche se entrambi conducono il comporta-mento non e piu assimilabile ad una sola resistenza Ron, ma al parallelo didue resistenze di valore Ron.

Con i grafici si intuisce bene il vantaggio; con un solo transistore la con-duzione non puo avvenire a tutte le tensioni, ma:

Page 36: Elettronica per le telecomunicazioni

32 CAPITOLO 1. Filtri

Vin

Ron

VDD − VTH

Con due transistori, uno n (in rosso) e uno p (in blu):

Vin

Ron

VTnVTp

si ha conduzione per tutte le tensioni in quanto se un transistore non conducesi e nella zona in cui conduce l’altro.

1.6.2 Comportamenti con capacita parassite

Introducendo capacita parassite che descrivono il comportamento reale deicircuiti elencati in precedenza si osservano due tipi di errori:

. errore di piedistallo;

. errore di feedtrought.

Si procede ad un’analisi separata dei due errori.

Page 37: Elettronica per le telecomunicazioni

1.6. Filtri a capacita commutate 33

Errore di piedistallo

CDB

CGo

CLVin Vout

La tensione su CL, quando il condensatore e completamente carico, non sarapiu come prima Vin: lo scostamento e l’errore di piedistallo.

Per tensioni di ingresso allo stato alto (t < 0) ad interruttore chiuso:

QTOT = (CL + CDB)Vout + CGo · (Vout − VDD)

Per tensioni di ingresso allo stato basso (t > 0) ad interruttore aperto:

QTOT = (CL + CDB + CGo)V′

out

La quantita di carica nei due casi si deve conservare quindi:

V′

out =CL + CDB − CGo

CL + CDB + CGo· Vout −

CGo

CL + CDB + CGo· VDD

La quantita di variazione dell’uscita rispetto al caso ideale e:

− CGo

CL + CDB + CGo· VDD

Per il trasmission gate l’errore di piedistallo e:

∆Vout =VDD · Cn

G0

CnG0 + Cp

G0 + CL− VDD · Cp

G0

CnG0 + Cp

G0 + CL

Errore di feedtrought

CDB

CGo

CLVin Vout

CDS

Page 38: Elettronica per le telecomunicazioni

34 CAPITOLO 1. Filtri

Data una differenza di potenziale in ingresso Vin si avra:

∆Vout =CDS

CDS + CL∆Vin

L’interruttore non si comporta come un resistore ma come un condensatoredi capacita CDS.

1.6.3 Realizzazione di resistori

In forma integrata le fonti di imprecisione dei circuiti sono le resistenze men-tre amplificatori e condensatori no (per i condensatori le capacita devonoessere inferiori a 100 pF ).Utilizzando dei condensatori e degli interruttori e possibile simulare il com-portamento delle resistenze e poiche gli interruttori sono transistori non sonofonte di imprecisione.

+

V1

+

V2C

1 2

Chiudendo il tasto sulla posizione 1 il condensatore verra caricato alla ten-sione V1 e avra una carica pari a:

Q1 = C · V1

Commutando il tasto sulla posizione 2 il condensatore si carichera allatensione V2 con una carica:

Q2 = C · V2

La differenza:

∆Q = Q2 − Q1 (1.1)

rappresenta la quantita di carica trasferita da 1 a 2.Il passaggio avviene ogni volta che si commuta l’interruttore; definendo unperiodo di clock tck e una frequenza di clock fck in modo tale per cui:

fck =1

tck

Page 39: Elettronica per le telecomunicazioni

1.6. Filtri a capacita commutate 35

si puo considerare la quantita di carica trasferita in un solo passaggio nor-malizzando l’espressione (1.1) con tck:

∆Q

tck=

Q2 − Q1

tck= ieq

Infatti dimensionalmente:[

F

s= A

]

Si puo esprimere la differenza di potenziale:

(V2 − V1) = ieq ·1

C · fck

quindi il termine:1

C · fck= Req

ha le dimensioni di una resistenza.

Il circuito si comporta quindi come:

+

V1

+

V2

Req

Dove la resistenza Req e una resistenza regolabile con la frequenza del seg-nale che pilota la commutazione degli interruttori.

Sostituendo all’interruttore il circuito equivalente con il pass transistor siha:

+

V1

+

V2C

Page 40: Elettronica per le telecomunicazioni

36 CAPITOLO 1. Filtri

Esiste una precisa configurazione di apertura e chiusura per gli interruttori:se il primo interruttore e aperto il secondo deve essere chiuso e quando ilprimo e chiuso il secondo e aperto.

La frequenza di clock non puo essere troppo grande o troppo piccola, madeve poter garantire al condensatore il tempo necessario per caricarsi.

Se la tensione del generatore e variabile e necessario che fra una commu-tazione e l’altra dell’interruttore cambi molto lentamente in modo tale daessere approssimata a costante; se cio non accade non e possibile esplicitarela differenza di potenziale espressa in precedenza.Questa condizione richiede:

fgen ≪ fck

1.6.4 Integratore a capacita commutate

Analisi teorica

R−

+

C

VoutVin

Sostituendo alla resistenza R il circuito pass transistor:

C1

+

C

VoutVin

La resistenza R diventa quindi:

R =1

C1 · fck

Page 41: Elettronica per le telecomunicazioni

1.6. Filtri a capacita commutate 37

La funzione di trasferimento e:

H(s) =Vout

Vin= − 1

sCR= −1

sfck ·

C1

C= −ω0

s

dove:

ω0 = fck ·C1

CIl termine ω0 rappresenta la costante di integrazione e:

. dipende da un rapporto di capacita e non dai singoli valori dei con-densatori, ma un rapporto si puo realizzare in modo molto precisocontrollando le armature dei condensatori;

. puo essere programmata con la frequenza di clock fck.

I valori di capacita dei componenti possono avere una deriva nel corso deglianni, ma considerando il rapporto si riduce questo problema.

Esempio numerico

Realizzare un integratore a capacita commutate con:

f0 =ω0

2π= 1kHz

Se venisse progettato scegliendo come resistenza:

R = 100 kΩ

occorrerebbe avere una capacita di 1.59 nF, infatti:

f0 =1

2πRC= 1kHz =⇒ C =

1

2π(100 · 103) · (1 · 103)= 1.59 nF

Questo valore di capacita e di gran lunga superiore alla soglia indicatain precedenza a pagina 36 (100 pF), quindi questo progetto non puoessere realizzato per un circuito integrato.

Utilizzando la tecnica delle capacita commutate:

. ipotizzando di avere la frequenza interna del generatore di 10 kHz,poiche:

fck ≫ fgen =⇒ fck = 10 · fgen =⇒ fck = 100 kHz

. dalla teoria si conosce che:

f0 =1

2π· fck ·

C1

C

quindi si puo ricavare il rapporto delle due capacita:

C1

C=

f0

fck· 2π =

1kHz

100 kHz· 2π = 6.28 · 10−2 = 0.0628

Page 42: Elettronica per le telecomunicazioni

38 CAPITOLO 1. Filtri

. scegliendo come capacita C = 10pF si ha C1 = 0.628 pF perrispettare il vincolo.

Considerazioni:

. i valori di capacita scelti sono inferiori alla soglia quindi accetta-bili;

. la frequenza di clock massima: fckmax≫ fck ; se si considera come

≫ un rapporto di 10 allora:

fckmax≫ fck =⇒ fckmax

≫ 100 kHz =⇒ fckmax= 1MHz

la frequenza massima deve tenere conto del tempo in cui l’ampli-ficatore riesce ad assestare la tensione di integrazione;

. la frequenza di clock minima deve considerare la possibilita chei condensatori vengano scaricati dalle correnti di perdita e dipolarizzazione quindi deve essere di almeno 100Hz.

Effetto delle capacita parassite

Introducendo le capacita parassite (colorate in rosso nel circuito) si vuolestudiare il comportamento del circuito:

+

C

VoutVin

Cp1 Cp2 C1 Cp3 Cp4

Cp5 Cp6

. la capacita Cp1 e in parallelo al generatore di ingresso quindi noninterviene;

. le capacita Cp2, C1 e Cp3 sono in parallelo;

. la capacita Cp4 e a massa virtuale come la capacita Cp5;

. la capacita Cp6 non influenza la tensione di uscita Vout.

In questo caso si ha:

f0 =1

2π· Cp2 + C1 + Cp3

C· fck

Page 43: Elettronica per le telecomunicazioni

1.6. Filtri a capacita commutate 39

L’errore rispetto al circuito privo di capacita parassite e dato proprio daicontributi Cp2 e Cp3.

Se tale errore non puo essere accettato e necessario cambiare configurazionescegliendo quella che minimizza il numero di capacita parassite inserite.Questa configurazione e:

C1

+

C

VoutVin

1 1

2 2

In questo caso gli interruttori vanno pilotati contemporaneamente sullo sta-to alto oppure sullo stato basso.

Sostituendo agli interruttori, evidenziati in azzurro nel circuito seguente:

C1

+

C

VoutVin

1 1

2 2

con i transistori, evidenziati in arancione, si ottiene:

Page 44: Elettronica per le telecomunicazioni

40 CAPITOLO 1. Filtri

C1

+

C

VoutVin

Per questa tipologia di circuito le capacita parassite, di cui non si mostra ilcircuito, non intervengono.La tensione di uscita all’istante temporale n e data da:

V0(n tck) = V0[(n + 1) tck] +∆Q

C= V0[(n + 1) tck] +

C1

C· Vi[(n + 1) tck]

dove:

. V0[(n + 1) tck] rappresenta la tensione misurata all’istante temporaleprecedente;

. ∆QC rappresenta la quantita di carica trasferita da C1 a C;

. C1C rappresenta la costante di integrazione;

. Vi[(n + 1) tck] rappresenta la tensione di ingresso al passo precedente.

Nel dominio delle trasformate z:

V0 =V0

z+

C1

C· Vi

z

quindi la funzione di trasferimento puo essere espressa come:

H(z) =C1

C· z−1

1 − z−1

con z = e j2πf/fck :

H(f) =1

jf/f0·

πf/fck

sin(

πffck

) · e−j2πf/fck

dove:

. 1jf/f0

e la funzione dell’integratore normale;

. il termine fra parentesi quadre rappresenta il termine di correzione etende a 1 per f ≪ fck.

Quindi il circuito realizzato ha un comportamento simile all’integratore nor-male solo per f ≪ fck; la rotazione introdotta e lineare anziche −90.

Page 45: Elettronica per le telecomunicazioni

Capitolo 2

Applicazioni di transistoribipolari

Lo schema di un rivitore FM prevede:

RF AMP

∼ f0

IF + FBP

Il segnale ricevuto dall’antenna viene filtrato da un amplificatore a radiofre-quenza (frequenze di (88÷108MHz) e larghezza di banda 225 kHz per segnaliFM); la particolarita di questo filtro e che deve essere accordato sulla fre-quenza del segnale ricevuto.

Il segnale filtrato viene inviato ad un moltiplicatore (mixer) perche ne facciail battimento con un segnale a frequenza f0 generata dall’oscillatore locale(vco, voltage controlled oscillator); il risultato di questa operazione deve es-sere un segnale con una frequenza intermedia fissa (intermediary frequency)pari a 10.7MHz piu bassa rispetto alle frequenze di ingresso.L’oscillatore locale deve quindi modificare la sua frequenza in base a quelladel segnale di ingresso per garantire la IF fissa; successivamente il segnaleviene filtrato con un filtro passa banda.

I componenti dello schema a blocchi visto sono:

41

Page 46: Elettronica per le telecomunicazioni

42 CAPITOLO 2. Applicazioni di transistori bipolari

. amplificatore a radiofrequenza accordabile;

. moltiplicatore o mixer;

. oscillatore locale.

La realizzazione circuitale avviene utilizzando transistori bipolari. Nellesezioni seguenti verranno analizzati gli schemi circuitali e le proprieta perognuno.

2.1 Amplificatori accordabili a banda stretta

2.1.1 Richiami sul modello di Ebers-Moll

IE

αRIR

IF IB

αF IF

IR

IC

IF = IE0 ·(

eVBEVT − 1

)

IR = IC0 ·(

eVBCVT − 1

)

IC = αF IF − IR IE = αRIR − IF

In regione attiva diretta:

VBC < 0 VT = 26mV VBE = 0.6V

IC = αF · IE0 ·(

eVBEVT

)

IE = −IE0 ·(

eVBEVT

)

Poiche αF ∼ 1 =⇒ IE ≈ IC .

Page 47: Elettronica per le telecomunicazioni

2.1. Amplificatori accordabili a banda stretta 43

2.1.2 Modelli di piccolo segnale

Modello ibrido π

B

gm VBE r0

C

E

rπ =β0 · VT

ICrµ ≈ 0 gm =

IC

VTr0 =

VA

IC

Modello a parametri h

B

hie hfe IB hoe

C

E

hie =VT

IBhfe = β0 r0 =

IC

VA

Il termine β0 rappresenta il guadagno di corrente.

2.1.3 Polarizzazione

Inizialmente si studia la polarizzazione; lo schema circuitale da consideraree il seguente:

Page 48: Elettronica per le telecomunicazioni

44 CAPITOLO 2. Applicazioni di transistori bipolari

VAL

R1

I1

R2

I2 Ib

RE

IE

RC

IC

VBE

VCE

Le specifiche di progetto sono:

VAL = +15V VCEq‡ = +5V ICq

‡ = 750µA 100 < β < 200

Le prime equazioni che si possono scrivere riguardano le correnti:

Ib = IE/β

IC = VAL/RC

La maglia di ingresso puo essere rappresentata con il modello equivalente diThevenin:

+

VBB

RB

dove:

. VBB =R1

R1 + R2· VAL

. RB = R1//R2

Sostituendo nel circuito seguente la parte tratteggiata in verde con il mod-ello di Thevenin visto sopra:

‡ tensione VCE nel punto di equilibrio ‡ corrente IC nel punto di equilibrio

Page 49: Elettronica per le telecomunicazioni

2.1. Amplificatori accordabili a banda stretta 45

VAL

R1

I1

R2

I2 Ib

RE

IE

RC

IC

VBE

VCE

Si ottiene la configurazione:

+

VBB

RB

Ib

VAL

RE

IE

RC

IC

VBE

VCE

Da questa configurazione si puo osservare che:

IE =VBB − RB · Ib − VBE

RE

Poiche IE = Icq = 750µA costante il punto di lavoro deve essere stabile,anche se il fattore β nelle specifiche e molto impreciso e la tensione VBE emolto sensibile alle variazioni di temperatura. L’unico parametro stabile eVBB perche dipende dalla tensione di alimentazione e dai valori di resistenza,quindi per ottenere un punto di lavoro stabile e necessario che:

IE ≈ VBB

RB

Page 50: Elettronica per le telecomunicazioni

46 CAPITOLO 2. Applicazioni di transistori bipolari

ossia occorre rendere trascurabili le tensioni RB · Ib e VBE rispetto a VBB :

VBB ≫ VBE =⇒ VBB ≫ 0.6V =⇒ VBB ≥ 6V

Ib piccola =⇒ Ib = I2 − I1 =⇒ I2 ≫ Ib

Poiche:

Ib = IE/β

sostituendo i valori massimi e minimi di β:

750µA

100< Ib <

750µA

200

quindi:

Ibmax= 7.5µA

La condizione necessaria e:

I2 ≫ Ib =⇒ I2 = 10 · Ibmax=⇒ I2 = 75µA

La corrente I1 invece vale:

I1 = 9Ib =⇒ I1 = 67.5µA

L’espressione per I2 e:

I2 =VAL − R1 · I1

R2

Sostituendo i valori numerici si ottiene la prima equazione per poter dimen-sionare le resistenze R1 ed R2:

75µA =15V − R1 · 67.5µA

R2

Elaborando l’equazione:

VBB =R1

R1 + R2· VAL

si ottiene la seconda equazione da mettere a sistema con la precedente:

6V =R1

R1 + R2· 15 =⇒ R1

R1 + R2=

2

5=⇒ R2

R1=

3

2

Il sistema e quindi formato da:

75µA =15V − R1 · 67.5µA

R2R2

R1=

3

2

Page 51: Elettronica per le telecomunicazioni

2.1. Amplificatori accordabili a banda stretta 47

Ottenendo:

R1 = 83.3 kΩ

R2 = 124.9 kΩ

A questo punto e necessario verificare se i risultati sono corretti:

RB · Ib = R1//R2 · Ib = 50kΩ · 7.5µA = 0.37V

VBE e impostata a 0.6V

I due contributi sommati sono circa 1V percio sono accettabili.

E importante precisare che la corrente Ib non deve essere troppo bassa al-trimenti il transistore non viene polarizzato.

Ora e possibile dimensionare RE ed RC ; poiche:

IE =VBB − RB · Ib − VBE

RE= 750µA

si ha:

RE =VBB − RB · Ib − VBE

IE=

6 − 0.37V − 0.6V

750µA= 6.7 kΩ

Per determinare il valore di RC si scrive l’equazione alla maglia evidenziatain viola nel circuito seguente:

VAL

R1

I1

R2

I2 Ib

RE

IE

RC

IC

VBE

VCE

VCE = VAL − RC · IC − RE · IE

dove IC = IE. Percio:

RC =−VCE − RE · IE + VAL

IC=

−5V − 6.7 kΩ · 750µA + 15V

750µA= 6.63 kΩ

Page 52: Elettronica per le telecomunicazioni

48 CAPITOLO 2. Applicazioni di transistori bipolari

2.1.4 Amplificatore ad emettitore comune

In questa sezione si studia l’applicazione del circuito precedente per re-alizzare un amplificatore ad emettitore comune; lo schema circuitale daanalizzare e il seguente:

+

Vin

CB

VAL

R1

I1

R2

I2 Ib

RE

IE

RC

IC

VBE

VCE

CL

RL Vout

CE

Il condensatore CB posto in ingresso del sistema permette la polarizzazionedel circuito indipendentemente dal segnale di ingresso; il condensatore CE ,invece, forza la corrente IE ad essere costante, perche, applicando il segnale,il valore di capacita e dimensionato in modo tale che ZE, il parallelo fraRE e CE , abbia un valore molto piccolo, approssimabile ad un cortocircuitoverso massa.

In questo modo, applicando la sovrapposizione degli effetti:

. il contributo dato dalla polarizzazione a VE e VEq;

. il contributo dato applicando un segnale di ingresso e nullo.

Quindi, in ogni istante di tempo, sulla resistenza RE e applicata una tensionecostante pari a VEq.

Comportamento in zona lineare

Applicando tensioni basse al segnale di ingresso si puo operare approssiman-do il comportamento del transistore con il modello di Ebers-Moll perche silavora in zona lineare (evidenziato in arancione nel circuito):

Page 53: Elettronica per le telecomunicazioni

2.1. Amplificatori accordabili a banda stretta 49

+

Vin

CB

VAL

R1

I1

R2

I2 Ib

hie

RE

IE

RC

IC

hfeIb

CL

RL Vout

CE

Il circuito equivalente, inserendo un’impedenza generica ZE come parallelodi CE e RE :

+

Vin

RS

RbVin

Ib

hie

ZE

IE

hfeIbRL Vout

Che si dimostra essere equivalente a:

+

Vin

RS

RbVin

Ib

hie

ZE ZE

hfeIbRL Vout

Se il valore della resistenza interna del generatore RS e trascurabile, tutta latensione applicata in ingresso cade su RB ; percio si puo esprimere la corrente

Page 54: Elettronica per le telecomunicazioni

50 CAPITOLO 2. Applicazioni di transistori bipolari

Ib come:

Ib =Vin

hie + ZE(1 + hfe)

La tensione di uscita sara:

Vout = −RL · hfeIb

E sostituendo a Ib l’espressione precedente:

Vout =−RL · hfeVin

hie + ZE(1 + hfe)

Il guadagno e quindi:

AV =−RL · hfe

hie + ZE(1 + hfe)

In continua ZE = RE serve per la polarizzazione, ma appena si applica ilsegnale il valore di ZE deve essere basso per non limitare l’amplificazione (iltermine e infatti a denominatore).

Trascurando ZE:

AV =−RL · hfe

hie

si puo concludere che l’amplificazione e poco precisa in quanto:

. hfe dipende dal transistore scelto;

. hie =VT

IBqdove VT e la tensione termica pari a 26mV a temperatura

ambiente, quindi il guadagno dipende dalla temperatura.

Gli amplificatori realizzati con gli operazionali invece non hanno dipen-denza da questi fattori: il guadagno dipende esclusivamente dalla rete diretroazione introdotta.

Analisi per segnali di ingresso con ampiezze diverse

In questa sezione si prenderanno in considerazione due ipotesi:

. cosa succede all’amplificatore ad emettitore comune quando in ingressonon e presente alcun segnale;

. cosa accade, invece, se in ingresso e presente un segnale sinusoidale adampiezza variabile.

Page 55: Elettronica per le telecomunicazioni

2.1. Amplificatori accordabili a banda stretta 51

Nel primo caso:

IE = IS e

VEVT

VBE = VT logIE

IS

VEDC= VBEDC

= VT logIE

IS

Nel secondo caso invece non si puo piu approssimare il comportamento deltransistore con il modello di Ebers-Moll:

IE(t) = IS e

VEVT

= IC VBE = Vin + VE

dove:

. Vin dipende dal tempo;

. VE no, in quanto si inserita ZE opportunamente per forzare una cor-rente costante su RE .

Quindi:VBE(t) = Vin(t) + VE

Sostituendo, la corrente sul collettore risulta essere:

IC(t) = IS e

VEVT

· e“

Vin(t)

VT

Se in ingresso e presente un segnale:

Vin(t) = Vinp · cos(ωit)

con Vinp ampiezza di picco qualsiasi, si introduce il parametro:

x =Vinp

VT

che misura quanto l’ampiezza del segnale di ingresso e grande o piccolarispetto alla tensione termica. Il termine:

Vin(t)

VT=

Vinp

VT· cos(ωit) = x · cos(ωit)

Percio:

IC(t) = IS e

VEVT

· ex·cos(ωit)

Lo sviluppo in serie di Fourier di ex·cos(ωit):

ex·cos(ωit) = I0(x) + 2

+∞∑

n=1

In(x) cos(n ωit)

Page 56: Elettronica per le telecomunicazioni

52 CAPITOLO 2. Applicazioni di transistori bipolari

dove In(x) sono le funzioni di Bessel modificate di prima specie e ordine n.Sostituendo lo sviluppo:

IC(t) = IS e

VEVT

·

I0(x) ·[

1 + 2

+∞∑

n=1

In(x)

I0(x)cos(n ωit)

]

La tensione di uscita e:

Vout = VAL − RC · IC(t)

quindi:

Vout = VAL − RC · IS e

VEVT

·

I0(x) ·[

1 + 2

+∞∑

n=1

In(x)

I0(x)cos(n ωit)

]

dove:

. IS e

VEVT

· I0(x) e il termine fissato dal generatore costante, la parte incontinua;

. IS e

VEVT

·

I0(x) · 2∑+∞n=1

In(x)

I0(x)cos(n ωit)

e il termine che esprime

la distrosione data dalle armoniche di ordine superiore.

La componente in continua della corrente di collettore e, come scritto inprecedenza:

ICDC(t) = IS e

VEVT

· I0(x)

quindi si puo ricavare:

VBEDC= VT log

IE

IS− VT log I0(x)

Il primo dei due contributi e esattamente identico a quello ricavato quandoin ingresso non e presente alcun segnale mentre il secondo rappresenta untermine correttivo.

Funzioni di Bessel

Le funzioni di Bessel, al variare del parametro x, seguono il comportamentodescritto in figura (2.1).

Considerando i contributi normalizzati rispetto a I0(x) il comportamento equello mostrato in figura (2.2).

Ad esempio, la funzione di Bessel di ordine 1 indica di quanto viene ampli-ficata la componente della prima armonica del segnale di uscita.

Page 57: Elettronica per le telecomunicazioni

2.1. Amplificatori accordabili a banda stretta 53

Figura 2.1: grafico funzioni di Bessel modificate di prima specie

Se il rapporto Vinp/VT e piccolo allora le funzioni di Bessel tendono a zero:significa che l’uscita non e distorta dalle armoniche di ordine superiore.Quando, invece, il rapporto Vinp/VT diventa significativo tali contributiinfluenzano in modo notevole l’uscita.

Voutp

n=1

Vinp=

RC · IC

Vinp· 2 · I1(x)

I0(x)

dove:

. I1(x) rappresenta l’ampiezza della prima armonica superiore;

. I0(x) e l’ampiezza del segnale di ingresso.

Poiche:

x =Vinp

VT

Rielaborando si ottiene:

Voutp

n=1

Vinp=

RC · IC

x · VT· 2 · I1(x)

I0(x)=

RC · IC

VT· 2 · I1(x)

x · I0(x)

Il rapporto:

IC

VT= gm transcoduttanza di piccolo segnale.

Page 58: Elettronica per le telecomunicazioni

54 CAPITOLO 2. Applicazioni di transistori bipolari

Figura 2.2: grafico funzioni di Bessel modificate di prima specie normalizzate

Quindi:

Voutp

n=1

Vinp= (RC · gm) · 2 · I1(x)

x · I0(x)

Il primo termine, (RC · gm), caratterizza il contributo di piccolo segnale,mentre il secondo e un termine correttivo perche le ipotesi di piccolo segnalenon sono verificate.L’espressione:

gm · 2 · I1(x)

x · I0(x)= Gm(x)

prende il nome di transconduttanza di ampio segnale.

Modello Guadagno

Piccolo segnale RC · gm

Ampio segnale RC · Gm(x)

Si osservi che:

x −→ 0 =⇒ Gm(x) −→ 1

quindi si ritorna alle condizioni di piccolo segnale.

Graficamente:

Page 59: Elettronica per le telecomunicazioni

2.1. Amplificatori accordabili a banda stretta 55

x

Gm(x)

gm

1

0.1

10

Si osservi su un grafico come vengono distribuite le ampiezze delle compo-nenti:

ωn

V

n=1 n=2 n=3

Per selezionarle singolarmente e necessario filtrarle con un filtro passa bandae si realizza inserendo nel circuito solito la parte in azzurro:

+

Vin

CB

VAL

R1

I1

R2

I2 Ib

RE

IE

RC

IC

LC CC

VBE

VCE CL

RL Vout

CE

Page 60: Elettronica per le telecomunicazioni

56 CAPITOLO 2. Applicazioni di transistori bipolari

In questo modo se la capacita e variabile e possibile spostare la banda delfiltro e selezionare l’armonica desiderata.

Indicando con ZRLC il parallelo di CC , RC e LC :

ZRLC =1

C· s

s2 + s · 1RC + 1

LC

con:

ω0 =1√LC

Q = R ·√

C

L

Si indica:|ZRLC(ω0)||ZRLC(n ω0)|

= Q ·∣

n − 1

n

un parametro che, a seconda dell’armonica scelta, mostra quanto e larga labanda del filtro e come si attenua.

Ipotizzando di selezionare la seconda armonica (n = 2):

|ZRLC(ω0)||ZRLC(2ω0)|

= Q ·∣

1

2

Graficamente:

ωn

Vout

n=1 n=2 n=3

2.2 Oscillatori

2.2.1 Teoria degli oscillatori

Lo schema a blocchi per un oscillatore e:

⊕Vin

A(s) Vout

B(s)

Page 61: Elettronica per le telecomunicazioni

2.2. Oscillatori 57

La funzione di trasferimento e:

Av(s) =A(s)

1 − A(s)B(s)

e il guadagno ad anello e:

T (s) = A(s)B(s)

La pulsazione ωk per cui:

T (jωk) = 1

e la pulsazione a cui il circuito oscilla perche ogni disturbo viene amplificato.

Le condizioni di Barkhausen per identificare un oscillatore sono:

|T (jωk)| = 1

∠T (jωk) = 0

L’ampiezza non puo crescere a dismisura, ma deve essere limitata quindi enecessario che:

A(s) sia non lineare

Questa condizione e verificata utilizzando un transistore bipolare come am-plificatore:

i

C R l

CB(s)

Page 62: Elettronica per le telecomunicazioni

58 CAPITOLO 2. Applicazioni di transistori bipolari

2.2.2 Oscillatore di Colpitts

Nell’oscillatore di Colpitts si sostituisce il blocco B(s) con:

C2

C1

Verifica delle condizioni di Barkhausen

Inserendo un generatore di test:

i

C R l

Vout

C1

C2

Vf

Si osserva che:

Vφ =C1

C1 + C2· Vf

e:Vφ = −VBE

la tensione fra base e collettore del bjt.

Indicando con ZRLC il parallelo fra condensatore, resistenza ed induttore, siha:

Vout = −gm · ZRLC · VBE = gm · ZRLC · C1

C1 + C2· Vf

Page 63: Elettronica per le telecomunicazioni

2.3. Mixer 59

Il guadagno per piccolo segnale risulta essere:

Tps =Vout

Vf= gm · ZRLC · C1

C1 + C2

e il guadagno di ampio segnale e lo stesso, a patto di sostituire la transcon-duttanza gm con Gm(ω):

Tas =Vout

Vf= Gm(x) · ZRLC(jω) · C1

C1 + C2

Applicando le condizioni di Barkhausen a Tas:

Gm(x) · ZRLC(jω) · C1

C1 + C2

= 1

∠Gm(x) · ZRLC(jω) · C1

C1 + C2= 0

. La fase e pari a 0 se:

ZRLC(jω) =⇒ jω = 0 =⇒ ω0 =1√LC

in quanto gli altri due fattori sono numeri reali;

. per quanto riguarda il modulo, affinche sia pari a 1, e necessariodeterminare per quale valore del parametro x l’ampiezza diventa 1.

L’oscillatore e stabile se la fase non varia al variare del modulo; questacondizione si realizza per Q elevati.

2.3 Mixer

Per realizzare un prodotto fra due segnali sinusodali e necessario usare unmixer o moltiplicatore:

⊗Vx(t)

Vy(t)

Vout(t)

Esprimendo gli ingressi come:

. Vx(t) = Vxp · cos(ω1t);

. Vy(t) = Vyp · cos(ω2t);

Si ha:Vout(t) = κm · Vxp · Vyp · cos(ω1t) · cos(ω2t)

dove κm rappresenta una costante moltiplicativa del mixer.Graficamente sull’asse ω si avranno due componenti:

Page 64: Elettronica per le telecomunicazioni

60 CAPITOLO 2. Applicazioni di transistori bipolari

V

ω(ω1 − ω2) (ω1 + ω2)

A causa delle non linearita possono essere presenti anche altre componentinon desiderate; la prestazione di un moltiplicatore si definisce con la banda a

(−3 dB) per segnale debole: l’ampiezza del segnale di ingresso, infatti, deveessere piccola (a volte e confrontabile con la tensione termica pari a 26mV)altrimenti le componenti spurie causerebbero troppi fastidi.

A seconda di quali tensioni di ingresso accettano si definiscono:

. mixer a 1 quadrante, se sia Vx(t) che Vy(t) sono positive;

. mixer a 2 quadrante, se una tra Vx(t) e Vy(t) e negativa e l’altrapositiva;

. mixer a 4 quadrante, se sia Vx(t) che Vy(t) possono essere positive onegative.

2.3.1 Mixer a Transconduttanza

RE

IE

RC

VCC

Vout

Vy

Vx

Page 65: Elettronica per le telecomunicazioni

2.3. Mixer 61

Il contributo della tensione Vx all’uscita e:

Vout|Vx= VCC − RC · gm · Vx

dove gm =icqVT

. Poiche icq e fissata dalla corrente IE :

gm =IE

VT

L’espressione della corrente IE e:

IE =Vy − VBE

RE

Quindi:

gm =Vy − VBE

RE· 1

VT

La tensione di uscita percio e:

Vout = VCC − RC

RE· Vx

VT· (Vy − VBE)

La costante κm vale:

κm =RC

RE· 1

VT

Nota La tensione di uscita e proporzionale alle due tensioni di ingresso,ma e presente un errore dato dal prodotto di (VBE · Vx).

Questo tipo di mixer lavora bene in zona lineare, ossia:

Vx , Vy < VT

La dinamica delle tensioni di uscita e molto piccola; questo mixer e un mixerad 1 quadrante.

2.3.2 Mixer a Stadio differenziale

Per ovviare al problema fondamentale dei mixer a transconduttanza si intro-ducono i mixer a stadio differenziale; a differenza della tipologia introdottain precedenza, questo tipo di moltiplicatori e a 2 quadranti.

Lo schema circuitale e il seguente:

‡ VT e la tensione termica

Page 66: Elettronica per le telecomunicazioni

62 CAPITOLO 2. Applicazioni di transistori bipolari

IE

IE1 IE2

Vout

RCRC

VCC

T1 T2

Vx

Mediante le seguenti equazioni e possibile analizzare il circuito:

IE1 = IS · eVBE1

VT

IE2 = IS · eVBE2

VT

VBE2 − VBE1 = Vx

IE = IE1 + IE2

Il rapporto fra le correnti IE1 e IE2 :

IE1

IE2

=IS · e

VBE1VT

IS · eVBE2

VT

= eVBE1

−VBE2VT = e

VxVT

Il segnale di ingresso, quindi, determina lo sfasamento: se Vx = 0 il circuitoe perfettamente simmetrico e la corrente si divide in modo eguale nei duerami; in caso contrario, a seconda del segno di Vx, un ramo e privilegiatorispetto all’altro.Si puo scrivere un’equazione per ricavare la corrente IE1 in funzione di IE2 :

IE1 = IE2 · eVxVT

Sostituendo il termine trovato nell’equazione che lega le due correnti con IE

si ha:

IE = IE2 · eVxVT + IE2 =⇒ IE2 =

IE

1 + eVxVT

Page 67: Elettronica per le telecomunicazioni

2.3. Mixer 63

Mentre:

IE1 =IE · e

VxVT

1 + eVxVT

Graficamente si ottiene:

IE

Vx

IE/2

IE1IE2

Nota Si osservi che per Vx = 0 si ha IE/2, il comportamento descritto inprecedenza.

Il moltiplicatore puo lavorare solo in zona lineare; sul grafico:

IE

Vx

IE/2

IE1IE2

−4VT 4VT

In zona lineare si puo sviluppare con Taylor (sviluppo al primo ordine) lacorrente IE1 :

IE1 =IE

2+

∂IE2

∂Vx

Vx=0

· Vx =IE

2+

IE

4VT· Vx

Poiche:

gm =IE

VT

Si ha:

IE1 =IE

2+

gm

4· Vx

Page 68: Elettronica per le telecomunicazioni

64 CAPITOLO 2. Applicazioni di transistori bipolari

Mentre per la corrente IE2 :

IE2 =IE

2− gm

4· Vx

Si e realizzato un mixer a 2 quadranti perche, e evidente sul grafico, latensione di ingresso Vx puo assumere sia valori positivi che negativi. Ilmoltiplicatore a 4 quadranti, di cui non si fa alcun tipo di analisi, prende ilnome di cella di Gilbert.

L’espressione della tensione di uscita e:

Vout = (RC · IE2) − (RC · IE1

) = RC ·[(

IE

2− gm

4· Vx

)

−(

IE

2+

gm

4· Vx

)]

= RC · gm

2· Vx

Sostituendo al blocco:

IE

IE1 IE2

Vout

RCRC

VCC

T1 T2

Vx

Con il blocco:

Page 69: Elettronica per le telecomunicazioni

2.3. Mixer 65

RE

Vy

Si ottiene la configurazione:

RE

IE

Vy

IE1 IE2

Vout

RCRC

VCC

T1 T2

Vx

In questo caso la corrente IE vale:

IE =Vy − VBE

RE

Percio il termine:

gm =Vy − VBE

VT · RE

Quindi:

Vout = − RC

2RE· (Vy − VBE)

VT· Vx

Il termine dovuto all’errore e dato dal prodotto (VBE · Vx).

Page 70: Elettronica per le telecomunicazioni

66 CAPITOLO 2. Applicazioni di transistori bipolari

Page 71: Elettronica per le telecomunicazioni

Capitolo 3

PLL

3.1 Introduzione

Si consideri un sistema di trasmissione modulato AM; al lato trasmettitorelo schema a blocchi e:

f0

Vm(t) Vx(t)

Con:Vx(t) = VoTX

cos(ωoTX) · Vm(t)

A lato ricevitore:

DEMOD

La demodulazione puo avvenire in due modi:

. demodulazione non coerente, realizzata con rilevatori di picco (si vedanogli appunti di misure elettroniche scaricabili dal mio sito internet allavoce works, il riferimento al sito e nella prefazione);

. demodulazione coerente o syncronous detection.

67

Page 72: Elettronica per le telecomunicazioni

68 CAPITOLO 3. PLL

La demodulazione coerente avviene secondo il seguente schema:

VRX(t)

κa

π/2

∼ ωoRX

Va(t)

LP

L’espressione della tensione in uscita dal mixer e:

Va(t) = κa · VRX(t) · VoRX· sin(ωoRX

+ θE)

Poiche il segnale trasmesso deve essere uguale a quello ricevuto:

VRX(t) = Vx(t)

Si ha:

Va(t) = κa · [VoTXcos(ωoTX

) · Vm(t)] VoRX· sin(ωoRX

+ θE)

= κa · VoTX· VoRX

· cos [(ωoTX− ωoRX

) + θE] · Vm(t)

dove:

. ωoTXe la pulsazione dell’oscillatore locale del trasmettitore;

. ωoRXe la pulsazione dell’oscillatore locale del ricevitore.

Le due pulsazioni devono essere uguali per poter riportare il segnale in bandabase; infatti se:

ωoTX= ωoRX

il segnale Va(t) e proporzionale al segnale modulante Vm(t).

Per ottenere le due pulsazioni perfettamente uguali vengono usati dei PLL(Phaze lock loop), anelli ad aggancio di fase: il loro comportamento e similead un filtro passa banda molto stretto e accordabile.

3.2 Analisi

3.2.1 Schema a blocchi e analisi teorica

Il funzionamento del PLL si riconduce al seguente schema a blocchi:

Page 73: Elettronica per le telecomunicazioni

3.2. Analisi 69

Vin

D.F.Vd(t)

HLP (s)

Vc(t)

V COVout

La tensione Vd(t) e generata dal demodulatore di fase in modo tale che lasua parte continua sia proporzionale alla differenza di fase dei due segnalidi ingresso:

VdDC= κd · (θi − θo)

La tensione Vc(t) e la tensione pilota del V CO: Vout(t) oscilla ad una pul-sazione che dipende proprio da Vc(t); se non e presente l’oscillatore localeoscilla a ωoRX

di riposo.Quando Vc(t) 6= 0 allora la pulsazione cambia, diventando:

ω = ωoRX+ ∆ω = κo · Vc

Il circuito e stabile se Vc(t) e una costante (VcDC) perche in questo caso

Vout e Vin hanno la stessa pulsazione: come conseguenza il demodulatoredi fase generera solo una tensione Vd(t) continua VdDC

quindi lo sfasamento(θi−θo) non sara piu una funzione del tempo, ma un numero costante. Soloper queste condizioni:

ωo = ωi

3.2.2 Funzione di trasferimento

In ingresso si definisce:

Vin(t) = Vinp · cos [ωi(t) + θi(t)]

dove:

. Vinp rappresenta l’ampiezza;

. ωi(t) e la pulsazione, in generale dipende dal tempo;

. θi(t) e la fase, come la pulsazione, dipende dal tempo.

Page 74: Elettronica per le telecomunicazioni

70 CAPITOLO 3. PLL

La pulsazione istantanea si caratterizza con:

∆ω∗i =

dθi

dt

Si definisce la tensione di uscita come:

Vout(t) = Voutp · cos [ωo(t) + θo(t)]

dove:

. Voutp rappresenta l’ampiezza;

. ωo(t) e la pulsazione dipendente dal tempo;

. θo(t) e la fase dipendente dal tempo.

La pulsazione istantanea e caratterizza, come per l’ingresso, con:

∆ω∗o =

dθo

dt

La funzione di trasferimento e:

H(s) =θo(s)

θi(s)

Si introduce un errore di fase dato da:

θe(s) = θi(s) − θo(s)

La funzione di trasferimento per l’errore di fase:

HθE(s) =

θe(s)

θi(s)=

θi(s) − θo(s)

θi(s)

Da cui si evince che:HθE

(s) = 1 − H(s)

Calcolo della funzione di trasferimento

∆ωo(s) = s · θo(s)

Poiche:∆ωo(s) = κo · Vc(s)

La tensione Vc(s) si esprime come:

Vc(s) = Vd(s) · HLP (s)

Mentre:Vd(s) = κd · [θi(s) − θo(s)]

Page 75: Elettronica per le telecomunicazioni

3.2. Analisi 71

Pertanto:Vc(s)

HLP (s)= κd · [θi(s) − θo(s)]

Sostituendo a Vc(s) con la sua espressione equivalente:

∆ωo(s)

κo · HLP (s)= κd · [θi(s) − θo(s)]

Quindi:s · θo(s)

κo · HLP (s)= κd · [θi(s) − θo(s)]

Da cui si ottiene:

θo(s) =κd · κo · HLP (s)

s· [θi(s) − θo(s)] =⇒ θo(s)

θi(s)=

κd · κo · HLP (s)

s + κd · κo · HLP (s)

La funzione di trasferimento dell’errore di fase:

HθE(s) =

s

s + κd · κo · HLP (s)

3.2.3 Analisi sul tipo di HLP (s)

> Se HLP (s) = 1 e presente un cortocircuito: il PLL e del primo ordine.

H(s) =κd · κo

s + κd · κo

Il diagramma di Bode e quello tipico per un filtro del primo ordine,dove:

ω0 = κo · κd

> Se HLP (s) e un filtro del primo ordine il PLL sara del secondo ordine:

H(s) =κd · κo

s + κd · κo· 1

sRC + 1=⇒ H(s) =

κd · κo

s2RC + s + (κd · κo)

Il diagramma di Bode e identico a quello per un filtro del secondoordine, con:

ω0 =

κo · κd

RC

> Se HLP (s) e un filtro del secondo ordine il PLL sara del terzo ordine.

> Si possono inserire dei filtri attivi, come un filtro integratore o un filtropassa basso attivo.

Page 76: Elettronica per le telecomunicazioni

72 CAPITOLO 3. PLL

3.2.4 Condizioni di aggancio del PLL

Per capire quando il PLL riesce ad agganciarsi e necessario osservare larisposta a transitorio esaurito:

limt−→+∞

θE(t) =

costante =⇒ il PLL e agganciato

funzione del tempo =⇒ il PLL non e agganciato

Con il teorema del valore finale calcolare il limite precedente e equivalenteal:

lims−→0

s · θE(s) (3.1)

Pertanto:lim

s−→0s · s

s + κd · κo · HLP (s)· θi(s)

Questo limite dipende:

. dal segnale di ingresso;

. dalla risposta in banda del filtro HLP (s):

⊲ H(jω = 0) = 1 per il filtro passa basso;

⊲ H(jω = 0) > 1 per il filtro passa basso attivo;

⊲ H(jω = 0) −→ +∞ per il filtro integratore.

Modulazione PSK

Se il segnale di ingresso e modulato di tipo PSK il cambiamento di fasetemporale viene rappresentato dalla funzione gradino:

t

θ

∆θi

La trasformata di Fourier di un gradino e:

θi(s) =∆θi

s

Valutando la condizione 3.1 in questo caso:

lims−→0

s · θE(s) = lims−→0

s · s

s + κd · κo · HLP (s)·∆θi

s= 0

Pertanto il PLL riesce sempre ad agganciarsi se il segnale di ingresso emodulato PSK.

Page 77: Elettronica per le telecomunicazioni

3.2. Analisi 73

Modulazione FSK

Se il segnale di ingresso e modulato di tipo FSK il cambiamento di frequenzae modellato dalla funzione gradino:

t

ω

∆ωi

Trasformando con Fourier si ottiene:

ωi(s) =∆ωi

s

La funzione θi e rappresentata graficamente da una rampa:

t

θ

Poiche:

ωi(t) =dθi

dt

La trasformazione con Fourier risulta essere:

ωi(s) = s · θi(s)

Quindi:

θi(s) =ω(s)

s=

∆ωi

s· 1

s=

∆ωi

s2

Valutando la condizione 3.1 in questo caso:

lims−→0

s · θE(s) = lims−→0

s · s

s + κd · κo · HLP (s)·∆ωi

s2=

∆ωi

κo · κd · HLP (0)

Se:

. HLP (0) = 1 allora θE =∆ωi

κo · κd;

. HLP (0) −→ +∞ allora θE −→ 0.

Page 78: Elettronica per le telecomunicazioni

74 CAPITOLO 3. PLL

Segnale in presenza di effetto Doppler

In queste particolari condizioni la frequenza varia linearmente:

t

ωi(t)

ωi(t) = ωio + ∆ωi(t)

La trasformata di Fourier e:

θi(s) =∆θi

s2

La funzione θi e rappresentata graficamente da una parabola:

t

θ

Siccome:

ωi(t) =dθi

dt

La trasformazione con Fourier, allo stesso modo dei casi precedenti, e:

ωi(s) = s · θi(s)

Pertanto:

θi(s) =ω(s)

s=

∆ωi

s2· 1

s=

∆ωi

s3

Valutando anche per questo caso la condizione 3.1:

lims−→0

s · θE(s) = lims−→0

s ·

s

s + κd · κo · HLP (s)·

∆ωi

s3=

∆ωi

s · [s + κd · κo · HLP (0)]

Se:

. HLP (0) = costante allora θE −→ +∞;

. HLP (0) −→ +∞ allora θE = costante.

Il PLL si aggancia solo se viene usato come filtro un integratore che garan-tisce HLP (0) −→ +∞.

Page 79: Elettronica per le telecomunicazioni

3.3. Realizzazioni circuitali dei componenti 75

3.3 Realizzazioni circuitali dei componenti

3.3.1 Demodulatori di fase

Analogici

Il demodulatore di fase analogico e un moltiplicatore a 4 quadranti (cella diGilbert)

⊗κmVin(t)

Vout(t)

Vd(t)

Esprimendo gli ingressi come:

. Vin(t) = Vinp · sin(ωit + θi);

. Vout(t) = Voutp · cos(ωot + θo);

La tensione in uscita dal demodulatore di fase che e anche l’ingresso delfiltro passa basso, risulta avere la seguente espressione nel caso generale incui il PLL e sganciato:

Vd(t) = κm · Vin(t) · Vout(t) =

=κm · Vinp · Voutp

2· sin[(ωi − ωo)(t) + (θi − θo) +

+ sin[(ωi + ωo)(t) + (θi + θo)]La componente:

sin[(ωi + ωo)(t) + (θi + θo)

viene eliminata dal filtro passa basso.

Nel caso in cui il PLL sia agganciato:

Vd(t) =κm · Vinp · Voutp

2· sin(θi − θo) =

κm · Vinp · Voutp

2· sin(θe)

dove Vd(t) dipende solo dalla differenza di fase dei due segnali.

In zona lineare si puo approssimare:

sin(θe) ∼ θe

pertanto:

Vd(t) =κm · Vinp · Voutp

2· θe

La costante κd esprime il coefficiente di proporzionalita fra VdDCe θe, quindi

risulta essere:

κd =κm · Vinp · Voutp

2

Page 80: Elettronica per le telecomunicazioni

76 CAPITOLO 3. PLL

Caratteristica a farfalla

Ad anello aperto il PLL:

Vin

D.F.Vd(t)

HLP (s)

Vc(t)

V COVout

In condizioni iniziali il PLL e sganciato quindi il VCO pulsa alla pulsazionedi riposo; in ingresso del demodulatore di fase sono presenti:

. Vin(t) = Vinp · sin(ωit + θi);

. Vout(t) = Voutp · cos(ωoRXt + θo);

L’uscita del demodulatore e quella gia vista in condizioni di PLL unlocked:

Vd(t) = κd · sin[(ωi − ωoRX)(t) + (θe)]

Questo segnale viene filtrato dal filtro passa basso; quindi:

Vc(t) = κd · |HLP (jωi − jωoRX)| · sin[(ωi − ωoRX

)(t) + (θe)]

dove |HLP (jωi − jωoRX)| rappresenta l’ampiezza data dal filtro.

Graficamente la risposta segue l’inviluppo:

Vc(t)

ωωoRX

Questo grafico prende il nome di caratteristica a farfalla ad anello aperto.

Page 81: Elettronica per le telecomunicazioni

3.3. Realizzazioni circuitali dei componenti 77

Ad anello chiuso:

Vin

D.F.Vd(t)

HLP (s)

Vc(t)

V COVout

Nell’istante dopo in cui l’anello si chiude il V CO pulsa ancora a ωoRX; se

ωi ≪ ωoRXl’uscita dal demodulatore di fase viene tagliata dal filtro quindi

Vc(t) = 0.Con il passare del tempo ωi cresce e il filtro attenua sempre meno il segnaleche riceve in ingresso percio la tensione Vc(t) cambia facendo sı che anchela pulsazione ωoRX

si modifichi. La ripetizione di queste operazioni generaun fenomeno per cui la pulsazione del V CO e variabile sinuoidalmente: laconseguenza e che il valor medio di Vc(t) non e nullo come nelle condizioniinziali, ma diminuisce.

Sul grafico si evidenziano i primi due passi delle operazioni descritte:

Vc(t)

ωωoRX

caratt. anello aperto

valor medio primo step

Il valor medio deve diminuire finche per una certa ωi di ingresso l’uscita delV CO e uguale a quella di ingresso:

Page 82: Elettronica per le telecomunicazioni

78 CAPITOLO 3. PLL

Vc(t)

ωωoRX

ωi

Vcm

La pulsazione ωi e tale per cui:

ωi = ωoRX+ (κo · Vcm)

Dall’istante in cui il PLL si aggancia la retroazione permette di mantenerecostante la tensione che controlla il V CO:

Vcm =ωoRX

− ωi

κo

Continuando ad aumentare la pulsazione di ingresso si verifica un istante percui, con ωi ≫ ωoRX

il PLL si sgancera perche il valor medio sara aumentatotroppo:

Vc(t)

ωωoRX

ωi

Vcm

La caratteristica complessiva e:

Vc(t)

ω

campo di cattura

campo di mantenimento

Page 83: Elettronica per le telecomunicazioni

3.3. Realizzazioni circuitali dei componenti 79

Nel campo di cattura il PLL e sicuramente agganciato: l’ampiezza del cam-po dipende sia dalla caratteristica del filtro che dalla caratteristica del V CO.

Nel campo di mantenimento il PLL e agganciato se prima lo era; la suaampiezza dipende solo dai parametri in continua.

Digitali

Porta XOR

Per segnali digitali la fase si puo demodulare mediante la porta:

Si riporta per completezza la tabella di verita della porta XOR:

A B XOR(A,B)

0 0 0

0 1 1

1 0 1

1 1 0

Ipotizzando di avere sia per il segnale di ingresso che per il segnale di uscitaun duty cycle del 50 %, il risultato dell’operazione or esclusivo e:

t

Vin

T

t

Vout

τ

t

XOR

Page 84: Elettronica per le telecomunicazioni

80 CAPITOLO 3. PLL

Lo sfasamento di τ permette di determinare θe:

θe =τ

T· 2π (3.2)

Se T = 8 e τ = 1, come nel grafico precedente:

θe =π

4

Definendo come:

. VOH lo stato alto della tensione;

. VOL lo stato basso della tensione.

Si puo determinare il valore di VdDC:

VdDC= 2 · (VOH − VOL)

T· τ

Sostituendo l’espressione di τ ottenuta invertendo l’equazione 3.2 si ha:

VdDC= 2 · (VOH − VOL)

T· θe · T

2π=

(VOH − VOL) · θe

π

Poiche κd e il coefficiente che esprime la relazione fra VdDCe θe per questo

caso vale:

κd =(VOH − VOL)

π

Graficamente:

θe

Vd

−π 0 π 2π 3π

Questa caratteristica e valida solo se il duty cycle dei due segnali e uguale.In caso contrario il grafico della caratteristica e il seguente:

θe

Vd

−π 0 π 2π 3π

Page 85: Elettronica per le telecomunicazioni

3.3. Realizzazioni circuitali dei componenti 81

Flip flop SR

Con un flip flop SR:

Vin

Vout

S

R

Q Vd(t)

e in ingresso segnali di tipo impulsivo:

θe

Vin

θe

Vout

τ

θe

Vd(t)

In questo caso:

VdDC=

(VOH − VOL) · τT

=(VOH − VOL) · θe

Quindi il coefficiente κd vale:

κd =(VOH − VOL)

Con un flip flop non si ha la limitazione nella dinamica dovuta a duty cycledifferenti perche i flip flop, a differenza della porta xor, sentono i fronti disalita o discesa.

Demodulatore PFD

Il demodulatore PFD, phaze frequency detection, e un demodulatore sensi-bile sia alle differenze di frequenza che di fase.

Circuitalmente viene realizzato nel seguente modo:

Page 86: Elettronica per le telecomunicazioni

82 CAPITOLO 3. PLL

Vin

VDD

D Q A

R

Vin

VDD

D Q B

R

Dati come segnali:

θe

Vin

θe

Vout

τ

θe

A

θe

B

Il segnale A e proporzionale allo sfasamento mentre B e proporzionale allafrequenza ed eun impulso che dura solo l’istante necessario affinche la portaand progaghi il comando di reset.I segnali A e B pilotano:

Page 87: Elettronica per le telecomunicazioni

3.3. Realizzazioni circuitali dei componenti 83

VAL

A

B

C Vc

Quando A e allo stato alto lo switch e chiuso quindi il condensatore si carica;quando su A e presente lo stato basso la tensione caricata sul condensatoreviene mantenuta costante:

t

Vc

A stato alto A stato basso

L’unica condizione possibile che renda stabile il circuito e:

θe = 0

In questo modo tutti i segnali hanno la stessa frequenza e sfasamento, diconseguenza le dimensioni del campo di cattura sono identiche a quelle delcampo di mantenimento.

3.3.2 VCO

Nell’analisi dei circuiti che implementano un V CO si considerano solo i casiper cui l’uscita del V CO e un’onda quadra.

Le tecnologie possibili prese in esame sono:

. utilizzo di transistori bipolari;

. tipologica CMOS.

Page 88: Elettronica per le telecomunicazioni

84 CAPITOLO 3. PLL

VCO con transistori bipolari

La sintesi di un’onda quadra avviene controllando le fasi di carica e scaricadi un condensatore con corrente costante.La realizzazione circuitale e:

T4

RE

IE

VAL

Vc

Vb

C1 Vc1

VoutR

T2 T3

T1

La fase di carica e scarica del condensatore e governata secondo:

t

Vc1

Vcmax

carica scarica carica scarica

Attraverso un comparatore di soglia con isteresi e possibile cambiare lacaratteristica vista nel grafico precedente:

Page 89: Elettronica per le telecomunicazioni

3.3. Realizzazioni circuitali dei componenti 85

t

Vc1

Vs2

Vs1

tVs2tVs1

Il comparatore di soglia con isteresi ha infatti la seguente caratteristica:

Vs2Vs1

Analisi Supponendo che in condizioni iniziali il condensatore sia scaricosi ha:

Vc1 = 0

L’uscita del comparatore di fase sara quindi un livello logico basso: attraver-so R non scorrera corrente pertanto il transistore T1 sara interdetto.Se T1 e interdetto non scorre corrente su T2 e T3; il condensatore puo esserecaricato solo dalla corrente che scorre in T4. Questo transistore (di tipo pnpa differenza degli altri) e sempre in conduzione perche la resistenza RE vienedimensianata in modo opportuno; la corrente IE vale:

IE =VAL − Vc − Vb

RE(3.3)

Tale corrente polarizza il diodo e permette la carica del condensatore finoalla tensione di soglia Vs2. A questo punto l’uscita Vout passa allo stato altoe il transistore T1 conduce; R e dimensionata in modo che T1 lavori in zonadi saturazione. Con questa condizione:

I2

T2 T3

0.7 V

I3

0.2V

I1

T1

Page 90: Elettronica per le telecomunicazioni

86 CAPITOLO 3. PLL

Il circuito viene chiamato specchio di corrente perche:

I2 = I3

Poiche su T1 e presente una tensione di 0.2V e su T2 di 0.7V risulta che:

Vb = 0.2 + 0.7 = 0.9V

Con Vb = 0.9V il diodo viene interdetto e la corrente che scorre in T4 non puocaricare il condensatore, ma diventa I2; poiche I3 deve avere lo stesso valoredi I2 l’unico elemento che puo fornire corrente e il condensatore: questa ela fase di scarica. Infatti, non appena Vout commuta nello stato logico bassoviene ripristinata la condizione per cui T1 e interdetto e il condensatore sicarica nuovamente.Graficamente:

t

Vout

tVs2tVs1

tVs2tVs1

Si osservi sul grafico seguente il periodo di carica-scarica e la pendenza dellaretta:

t

Vc1

Vs2

Vs1

IE/C

tVs2tVs1

tVs2tVs1

T

Poiche in un semiperiodo la tensione passa da Vs1 a Vs2:

T

2· IE

C= Vs2 − Vs1

Si puo determinare:

T =2 · (Vs2 − Vs1) · C

IE

Sostituendo l’espressione di IE data dall’equazione 3.3 si ha:

T =2 · (Vs2 − Vs1) · C · RE

VAL − Vc − VBE

Page 91: Elettronica per le telecomunicazioni

3.3. Realizzazioni circuitali dei componenti 87

La frequenza con cui viene generata l’onda quadra in uscita e quindi:

f =1

T=

VAL − Vc − VBE

2 · (Vs2 − Vs1)· 1

C · RE

Il coefficiente κo che esprime quanto la frequenza di uscita varia rispettoall’ingresso e funzione di RE e C, i parametri di progetto che caratterizzanola pendenza della retta nell’onda triangolare.

VCO con tecnologia CMOS

In questa sezione si riporta solo lo schema circuitale:

R1

I1

Vc

R2

I2

I

VAL

I

C

FLIP FLOP

Il principio di carica e scarica del condensatore e mantenuto anche in questocaso: sono gli interruttori, asincroni, che a seconda di quale e chiuso perme-ttono la carica/scarica.

Si evidenzia che lo specchio di corrente in tecnologia mos e:

I

VAL

I

I parametri di progetto sono R1, R2 e C.

Page 92: Elettronica per le telecomunicazioni

88 CAPITOLO 3. PLL

3.4 Applicazioni dei PLL

Le applicazioni piu comuni in cui i PLL trovano utilizzo sono:

. demodulatore AM coerente a singolo ramo (ampiezza dipendente dallosfasamento θe);

. demodulatore AM coerente a due rami (ampiezza sempre dipendentedallo sfasamento θe);

. decodificatore di tono;

. demodulatore di frequenza FM:data in ingresso fi(t) = fio+fm(t) la tensione Vc(t) e tale da mantenerel’aggancio, quindi e proporzionale alla frequenza di ingresso. La suaespressione e:

Vc(t) =2π

κo· (fio − for)

‡ +2π

κo· fm(t)‡

. modulazione FSK/PSK;

. sintetizzatori di frequenza.

3.4.1 Sintetizzatori di frequenza

I sintetizzatori di frequenza permettono di generare, partendo da una fre-quenza di ingresso, un certo range di frequenze. Lo schema a blocchie:

Mfin

D.F.Vd(t)

HLP (s)

Vc(t)

V CO

N

fout

‡ E una parte costante. ‡ E la parte che varia in base alla frequenza modulante

Page 93: Elettronica per le telecomunicazioni

3.4. Applicazioni dei PLL 89

I blocchi M ed N sono dei divisori che permettono di ottenere, a PLLagganciato, la seguente condizione:

fin

M=

fout

N

Da qui si determina l’equazione che caratterizza la sintesi di frequenza:

fout =N

M· fin

Progetto

Si vuole progettare un sintetizzatore che generi:

fout = 1MHz : 1 kHz : 2MHz

con fin = 1kHz.

Rispetto alla frequenza piu bassa da generare 1MHz, la frequenza di in-gresso ha un rapporto di 1000. Il passo 1 kHz determina quante frequenzepossono essere generate fra 1 ÷ 2MHz: sono ancora 1000.

Pertanto:fout = 1000 · fin + κ · fin

con κ = 0, 1, 2...1000.fout = fin · (1000 + κ)

Si desume quindi che:

. M = 1;

. N = (1000 + κ).

Lo schema a blocchi e:

fin

D.F.Vd(t)

HLP (s)

Vc(t)

V CO

N

fout

Page 94: Elettronica per le telecomunicazioni

90 CAPITOLO 3. PLL

Page 95: Elettronica per le telecomunicazioni

Capitolo 4

Convertitori

4.1 Introduzione

Lo schema a blocchi di un ricevitore ad eterodina digitale puo essere rapp-resentato mediante:

RF AM A/D ⊗⊗

sin cos

Dig Loc Osc

DLP DSP D/A AU AM SP

Elenco in ordine da sinistra verso destra:

. antenna;

. amplificatore a radiofrequenza;

. convertitore analogico/digitale;

. blocco per determinare parte in fase e parte in quadratura, le sinusoidivengono generate con un oscillatore locale digitale;

. filtro passa basso digitale;

. blocco di digital signal processing;

. convertitore digitale/analogico;

. amplificatore audio;

. speaker.

Si puo evidenziare, sullo schema a blocchi, una parte esclusivamente formatada componenti che operano in digitale:

91

Page 96: Elettronica per le telecomunicazioni

92 CAPITOLO 4. Convertitori

RF AM A/D ⊗⊗

sin cos

Dig Loc Osc

DLP DSP D/A A AM SP

In questo capitolo si prendera in esame esclusivamente la conversione delsegnale da analogico in digitale e viceversa.

Per convertire un segnale analogico in digitale:

A(t) seq. digitaleA/D Conv

sono necessari due passi fondamentali:

. campionamento: ad istanti temporali prefissati si valuta l’ampiezzadel segnale analogico;

. quantizzazione: i campioni ottenuti possono avere qualisiasi valore diampiezza, mentre occorre che abbiano ampiezze discrete.

Sostanzialmente un segnale analogico presenta:

. ampiezza continua;

. durata temporale continua.

Un segnale digitale invece:

. ampiezza discreta;

. durata temporale discreta.

Graficamente:

t

A(t)

Page 97: Elettronica per le telecomunicazioni

4.2. Campionamento 93

4.2 Campionamento

Generare una sequenza di campioni As(t) partendo da un segnale analogicoA(t) si realizza mediante:

As(t) = A(t) ·+∞∑

n=−∞

δ (t − nTs)

dove Ts e il periodo di campionamento.

Nel dominio spettrale:

As(ω) = FA(t) ∗ F

+∞∑

n=−∞

δ (t − nTs)

= A(ω) ·+∞∑

n=−∞

δ

(

ω − 2π n

Ts

)

Graficamente:

ω

As(ω)

−B B−2π

Ts

Ts

Si e ipotizzato A(ω) a banda limitata compresa fra [−B,B].

Data questa sequenza digitale per ricostruire A(t) e necessario filtrare lasequenza con il filtro ricostruttore:

ω

As(ω)

−B B−2π

Ts

Ts

Per evitare aliasing:

B <2π

Ts· 1

2

Poiche:

Ts =1

fc

Page 98: Elettronica per le telecomunicazioni

94 CAPITOLO 4. Convertitori

dove fc e la frequenza di campionamento, si determina:

fc >2B

Questa e la minima frequenza di campionamento nel caso ideale; in con-dizioni reali, invece, lo spettro di A(ω) non puo avere banda limitata:

ω

A(ω)

E il filtro non e ideale:

ω

A(ω)

Se la frequenza di campionamento scelta e alta allora le repliche fornite daltreno di delta sono molto distanziate: le condizioni per realizzare il filtrosono molto elastiche.Al contrario, quando la frequenza di campionamento non e alta le replichesono vicine percio il filtro deve essere molto selettivo per non selezionareanche parte delle repliche che non sono in banda base; la selettivita del filtroimplica un’elevata complessita in quanto dovra avere molti poli.

Il campionamento visto in precedenza in cui gli istanti temporali erano delledelta di Dirac non e possibile da realizzare in quanto ogni campione nonpuo essere convertito in cifra istantaneamente. Tale operazione prevede dimanterere il campione alla stessa ampiezza per un certo tempo:

t

A(t)

Page 99: Elettronica per le telecomunicazioni

4.3. Quantizzazione 95

L’elemento che realizza tutto cio prende il nome di sample & hold.

Lo schema a blocchi per descrivere i passi enunciati e:

A(t)

P+∞

n=−∞δ

ω −

2π n

Ts

«

h1(t) = pTs

t −T

2

«

As(t)

Nel dominio spettrale:

As(ω) =

[

A(ω) ∗+∞∑

n=−∞

δ

(

ω − 2π n

Ts

)

]

· H1(ω)

In questo caso il filtro ricostruttore deve anche elminare il contributo datoda H1(ω) che contribuisce a sporcare la sequenza As(t).

4.3 Quantizzazione

Dopo il processo di campionamento i valori ottenuti sono discreti dal puntodi vista temporale, ma non in ampiezza:

S

0

=⇒

D

0

L’insieme D e composto dall’insieme delle cifre N codificate nel codice B,solitamente e il codice usato e il codice binario.Con questa ipotesi si partiziona D in 2N soglie; se N = 2:

S

0

=⇒

00

01

10

11

La dimensione dell’intervallo piu piccolo e:

Ad =S

2N

Page 100: Elettronica per le telecomunicazioni

96 CAPITOLO 4. Convertitori

La regola di conversione specifica come avviene la trasformazione da inter-vallo continuo in cifra. Dopo questa operazione l’informazione sull’ampiezzaeffettiva del campione viene persa: si commette quindi un errore.

Ad

Am

As As e il valore campionato

Am e il valore medio dell’intervallo

L’errore commesso non e altro che:

εq = As − Am

Inoltre:

−Ad

2< εq <

Ad

2Se la probabilita di campionamento di un simbolo e uniformemente distribui-ta su Ad, la distribuzione dell’errore di quantizzazione e:

Ad

ρ(εq)

1

Ad

−Ad

2

Ad

2

L’errore di quantizzazione ha le stesse proprieta statistiche del rumore biancoquindi e possibile associare all’errore una potenza e valutare il rapportosegnale-rumore:

SNRq =Ps

Pεq

Poiche di εq si conoscono solo le proprieta statistiche:

Pεq = σ2εq

=

=

∫ Ad/2

−Ad/2ε2q · ρ(εq) dεq =

∫ Ad/2

−Ad/2ε2q ·

1

Addεq =

A2d

12

Piu si riduce la dinamica piu la potenza diventa piccola e quindi la stima eprecisa; poiche:

Ad =S

2N

Si ha:

Pεq =S2

12 · 22N

Percio piu bit vengono usati piu l’errore di quantizzazione si riduce perchela potenza associata all’errore sara bassa.

Page 101: Elettronica per le telecomunicazioni

4.3. Quantizzazione 97

Esempi

Onda triangolare

t

A(t)

S/2

−S/2

Poiche tutti i punti hanno la stessa probabilita di essere campionati:

As

ρ(As)

1

S

−S

2

S

2

La potenza del segnale risulta essere:

Ps = σ2As

=

=

∫ S/2

S/2A2

s · ρ(As) dεq =

∫ S/2

S/2A2

s ·1

Sdεq =

S2

12

Il rapporto segnale-rumore:

SNRq =Ps

Pεq

=S2

12S2

12·22N

= 22N

Esprimendo in decibel tale risultato si ottiene:

SNRq|dB = log10

(

22N)

= 6N dB

Page 102: Elettronica per le telecomunicazioni

98 CAPITOLO 4. Convertitori

Onda sinusoidale

t

A(t)

S/2

−S/2

In questo caso non tutti i punti hanno la stessa probabilita di essere campi-onati in quanto la sinusoide e quasi piatta nei cambi di fronte quindi quelleampiezze hanno piu probabilita di essere campionate:

As

ρ(As)

−S

2

S

2

La potenza del segnale risulta essere:

Ps = σ2As

=S2

8

Il rapporto segnale-rumore:

SNRq =Ps

Pεq

=S2

8S2

12·22N

=3

2· 22N

Esprimendo in decibel tale risultato si ottiene:

SNRq|dB = log10

(

3

2· 22N

)

= 6N ‡ + 1.76‡ dB

‡ Termine dato dal contributo di 22N ‡ Termine dato dal contributo 32

espresso in decibel

Page 103: Elettronica per le telecomunicazioni

4.3. Quantizzazione 99

Onda quadra

t

A(t)

S/2

−S/2

In questo caso invece i soli punti ad avere probabilita di essere campionatisono i punti agli estremi dell’onda quadra:

As

ρ(As)

−S

2

S

2

La potenza del segnale risulta essere:

Ps = σ2As

=S2

4

Il rapporto segnale-rumore:

SNRq =Ps

Pεq

=S2

4S2

12·22N

= 3 · 22N

Esprimendo in decibel tale risultato si ottiene:

SNRq|dB = log10

(

3 · 22N)

= 6N ‡ + 4.77‡ dB

Segnale vocale

Per il segnale vocale la distribuzione di probabilita e di tipo gaussiano:

As

ρ(As)

−S

2

S

2

‡ Termine dato dal contributo di 22N ‡ Termine dato dal contributo 3 espresso in decibel

Page 104: Elettronica per le telecomunicazioni

100 CAPITOLO 4. Convertitori

La potenza del segnale risulta essere:

Ps = σ2As

=S2

36

Il rapporto segnale-rumore:

SNRq =Ps

Pεq

=S2

36S2

12·22N

=1

3· 22N

Esprimendo in decibel tale risultato si ottiene:

SNRq|dB = log10

(

1

3· 22N

)

= 6N ‡ − 4.77‡ dB

Questo rapporto e basso perche la quantizzazione ad intervalli costanti di unsegnale che ha una distribuzione non uniforme e errata: sarebbe necessariodare a campioni piu probabili intervalli di ampiezza maggiore e a campionimeno probabili intervalli con ampiezza minore.

Conclusioni

Il grafico seguente mostra al variare di N il rapporto SNRq|dB:

N

SNRq|dB

-4.77

1.76

4.77

onda triangolare

onda sinusoidale

onda quadra

segnale vocale

Se i campioni non coprono completamente la dinamica si commette un erroredi sovraccarico.

‡ Termine dato dal contributo di 22N ‡ Termine dato dal contributo 13

espresso in decibel

Page 105: Elettronica per le telecomunicazioni

4.3. Quantizzazione 101

t

A(t)

App

S/2

−S/2

La potenza del segnale risulta essere:

Ps =App

8

Il rapporto segnale-rumore:

SNRq =Ps

Pεq

=

A2pp

8S2

12·22N

=3

2·A2

pp

S2 · 22N

Esprimendo in decibel tale risultato si ottiene:

SNRq|dB= log10

(

3

2·A2

pp

S2· 22N

)

= 6N ‡+1.76‡+20 log10 (App)‡−20 log10 (S) ‡ dB

L’errore e dato dal termine:

+20 log10 (App) − 20 log10 (S) dB

in quanto l’intervallo utilizzato non e appropriato: infatti occorre adattarela dinamica del segnale alla dinamica del convertitore.

‡ Termine dato dal contributo di 22N ‡ Termine dato dal contributo 32

espresso in decibel‡ Termine dato dal contributo A2

pp espresso in decibel ‡ Termine dato dal contributo1S2 espresso in decibel

Page 106: Elettronica per le telecomunicazioni

102 CAPITOLO 4. Convertitori

4.4 Realizzazioni circuitali

4.4.1 Errori

In generale gli errori di un si dividono in:

. errori statici ;

. errori dinamici.

Gli errori statici sono:

. di offset;

. di guadagno;

. di non linearita assoluta o integrale;

. di non lineartia differenziale.

Gli errori dinamici sono:

. tempo di assetto;

. glitch.

4.4.2 Convertitori D/A

Convertitore potenziometrico

Il convertitore potenziometrico prevede tante resistenze quante sono le cifrebinarie 2N ; lo schema circuitale con cui viene realizzato e il seguente:

Page 107: Elettronica per le telecomunicazioni

4.4. Realizzazioni circuitali 103

VR R

R

R

R VLSB

La tensione pari ad 1 LSB (least significant bit) vale:

VLSB =VR

2N · R · R =VR

2N

Mediante un cursore e possibile posizionarsi su resistenze diverse e quindisu cifre diverse; in generale alla m−esima resistenza:

Voutm =VR

2N · R · mR =m · VR

2N

La tensione in uscita prima di essere misurata viene amplificata con un volt-age follower per evitare distorsioni date da un carico.

L’inconveniente di questo dispositivo e l’elevato numero di resistenze.

Convertitore a resistenze pesate

Con N bit:

va = Ad ·N−1∑

i=0

bi · 2i

dove bi = 0, 1.

Lo schema circuitale e:

Page 108: Elettronica per le telecomunicazioni

104 CAPITOLO 4. Convertitori

VR

R

2R

4R

2N−1 R

≈ ≈Itot

+

RF

Va

Blocco Sommatore

Sulla resistenza 2N−1 R scorre la corrente piu piccola quindi corrisponde all’LSB (Least significant bit); viceversa sulla resistenza R scorre la correntepiu grande percio corrisponde al MSB (Most significant bit).La tensione in uscita e:

Va = −RF ·N−1∑

i=0

bi ·VR

2i · R = −RF

R· VR ·

N−1∑

i=0

bi ·1

2i

Il problema di questo circuito e dato dalla resistenza interna del generatoreRg:

VR

Rg

R

2R

4R

2N−1 R

≈ ≈Itot

+

RF

Va

In queste condizioni la corrente che scorre in ogni ramo dipende da qualiinterruttori sono aperti e quali chiusi in quanto la tensione verra ripartitafra Rg e il numero di resistenze che presentano lo switch chiuso.

Deviatore di corrente

Il problema illustrato precedentemente puo essere risolto in questo modo:

Page 109: Elettronica per le telecomunicazioni

4.4. Realizzazioni circuitali 105

VR

Rg

R

2R

4R

2N−1 R

≈ ≈

Itot

Le resistenze inserite sono meno numerose rispetto al convertitore potenzio-metrico, ma c’e molta dispersione fra i componenti.

Converitore con rete a scala

Un converitore con rete a scala evita la dispersione dei componenti; adesempio un convertitore a 3 bit presenta il seguente schema circuitale:

VR

I

2R

I/2

R I/2

2R

I/4

R I/4

2R

I/8

2R

I/8

+

RF

Vout

Partendo infatti da un circuito base (a sinistra) e possibile inserire unparallelo per simulare la resistenza R (a destra):

Page 110: Elettronica per le telecomunicazioni

106 CAPITOLO 4. Convertitori

VR R

I

=⇒ VR

I

2R

I/2

2R

I/2

Si sostituisce alla resistenza 2R una serie di due resistenze di valore R:

VR

I

2R

I/2

R

R

I/2

Iterando il procedimento:

VR

I

2R

I/2

R

R

I/2

=⇒ VR

I

2R

I/2

R I/2

2R

I/4

2R

I/4

Fonti di errore

Le fonti di errore per i convertitori D/A sono:

. il valore delle resistenze (sara diverso da quello nominale);

. il valore della resistenza interna del generatore;

. in corrispondenza della cifra binaria con tutti 0 la tensione in usci-ta non sara Vout = 0: la diversita e causata dalle correnti di biasdell’operazionale;

. il valore della resistenza di retroazione RF : causera un errore di gaudag-no;

. la rapidita di commutazione dell’uscita dipende dalla velocita con cuil’operazionale cambia l’uscita: e un errore di assetto che dipende dalloslew rate dell’amplificatore.

Page 111: Elettronica per le telecomunicazioni

4.4. Realizzazioni circuitali 107

4.4.3 Convertitori A/D

Convertitore Flash

Per un converitore flash occorre generare i valori delle tensioni di soglie; loschema e:

VR R

R

R

R

Vin

LOGICA D

Il tempo di conversione e molto basso:

Tc = Tcomp‡ + TL

Lo svantaggio di questi convertitori e l’elevata complessita.

Convertitori con D/A in retroazione

Questo tipo di convertitori confrontano l’ingresso con soglie generate dalD/A in retroazione. Lo schema generale e:

LOGICA

D/A

‡ Tempo di comparazione dei comparatori di soglia ‡ Tempo della logica di decodifica

Page 112: Elettronica per le telecomunicazioni

108 CAPITOLO 4. Convertitori

Convertitore a inseguimento Nel convertitore a inseguimento la logicadi controllo e un contatore up/down:

LOGICA =⇒ U/D COUNT

Le caratteristiche di questo convertitore sono:

. l’uscita viene determinata a meno di un LSB;

. il tempo di conversione e molto lungo: Tc = 2N · Tck‡;

. la complessita logica e bassa;

. il fattore Tck va scelto in modo tale che in uno spostamento (in alto oin basso) di un LSB si riesca a percorrere tutto l’anello di retroazionedello schema a blocchi generale:

Tck > Tcomp‡ + TL

‡ + Tass‡

. se il converitore non riesce ad inseguire il segnale di ingresso si ha lacondizione di sovraccarico o overload ; valutando in un periodo di clockla variazione del segnale per non avere overload occorre che:

∂ Vi(t)

∂ t

max

· Tck < 1LSB

Convertitore ad approssimazioni successive sequenziale Ipotizzan-do la dinamica del convertitore fra [0 ÷ S]:

S

CAMPIONE

0

=⇒

S

0

1 Approx

=⇒

S

0

2 Approx

=⇒

S

0

3 Approx

Il primo step di confronto avviene con l’MSB: la soglia infatti e posta a metadella dinamica S. Il numero di confronti da effettuare e al piu N anziche 2N

quindi:Tc = N · Tck

La complessita del circuito non varia rispetto al caso precedente.

‡ Questo tempo di conversione e pari al passaggio da 0 alla dinamica S ‡ Tempo di

comparazione dei comparatori di soglia ‡ Tempo della logica di decodifica ‡ Tempodi assetto

Page 113: Elettronica per le telecomunicazioni

4.4. Realizzazioni circuitali 109

Convertitore ad approssimazioni successive parallelo Nel converti-tore parallelo sono presenti piu comparatori di soglia e i D/A in retroazionepresentano un numero di bit via via crescenti quindi la complessita delcircuito aumenta.

Convertitore a residui Utilizzando la tecnica:

(

A − MSB · S

2

)

· 2 confronto conS

2

⇓(

A − MSB · S

2

)

confronto conS

4

A confronto con

(

S

4+ MSB · S

2

)

In questo modo tutti i comparatori hanno in ingresso la stessa soglia e iconvertitori tutti ad 1 bit tuttavia il tempo di conversione e identico alcomparatore di tipo sequenziale.

Convertitore pipeline Per velocizzare il tempo di conversione e neces-sario utilizzare questo tipo di convertitore: introducendo un modulo Sample& Hold si possono convertire diversi campioni nello stesso intervallo di tem-po. Aumenta la complessita del convertitore perche sono necessari, oltre aiSample & Hold, anche dei registri per avere traccia dei campioni convertiti.

Tabella riassuntiva

Nella seguente tabella si riportano i tempi di conversione e la complessitaintrodotta per ogni tipo di convertitore.

Convertitore Tempo Conversione Complessita Circuito

Flash 1 2N

Pipeline 1 N

A residui N N

Appross. succ. N 1

A inseguimento 2N 1

Page 114: Elettronica per le telecomunicazioni

110 CAPITOLO 4. Convertitori

4.4.4 Sample & Hold

Il metodo piu semplice per realizzare un circuito di questo tipo e:

CMVin Vout

Idealmente, ad interruttore chiuso, il condensatore viene caricato alla ten-sione di ingresso Vin (fase di sample) mentre quando lo switch viene apertola tensione caricata viene mantenuta (fase di hold).

Nella pratica invece:

. durante il passaggio dalla fase di hold alla fase di sample si assiste adun transitorio temporale prima che il condensatore inizi a caricarsi;questo transitorio prende il nome di setting time e la conversione nonpuo avvenire prima che si sia esaurito;

. durante il passaggio dalla fase di sample alla fase di hold si assiste a:

. jitter di apertura dell’interruttore (e quantificabile solo in modostatistico);

. correnti di perdita che fanno scaricare il condensatore in modolento;

. errore di feedtrought dell’interruttore.

L’errore di feedtrought puo essere minimizzato dimensionando in modo op-purtuno il condensatore CM .Un esempio di realizzazione circuitale e:

+−

+

Vout

Vin

CMEVITA LA SATURAZIONE DELL’AO

Se l’interruttore e aperto le correnti di perdita sono formate dal contributodel condensatore e dalla corrente di polarizzazione dell’operazionale.