18
SISTEMAS DIGITALES SECUENCIALES PRESENTADO: GRUPO COLABORATIVO 90178_8 HAROLD VIDAL GARCIA OSCAR FERNANDO PINZON JHON ALEXANDER RAMIREZ DIEGO RAUL FORERO LUIS CARLOS RINCON TUTOR CARLOS EMEL RUÍZ UNIVERSIDAD NACIONAL ABIERTA Y A DISTANCIA UNAD ESCUELA DE CIENCIAS BÁSICAS TECNOLOGÍA E INGENIERÍA

Grupo90178 8 Proyecto Carro

Embed Size (px)

DESCRIPTION

Sistemas digitales secuenciales

Citation preview

Page 1: Grupo90178 8 Proyecto Carro

SISTEMAS DIGITALES SECUENCIALES

PRESENTADO:

GRUPO COLABORATIVO 90178_8

HAROLD VIDAL GARCIA

OSCAR FERNANDO PINZON

JHON ALEXANDER RAMIREZ

DIEGO RAUL FORERO

LUIS CARLOS RINCON

TUTOR

CARLOS EMEL RUÍZ

UNIVERSIDAD NACIONAL ABIERTA Y A DISTANCIA UNAD

ESCUELA DE CIENCIAS BÁSICAS TECNOLOGÍA E INGENIERÍA

CEAD IBAGUÉ

ABRIL 2015

Page 2: Grupo90178 8 Proyecto Carro

OBJETIVO GENERAL

Lograr reconocer las intencionalidades formativas del curso para el desarrollo de esta actividad.

OBJETIVOS ESPECÍFICOS

Reconocer las unidades que se verán en el curso de sistemas digitales secuenciales

Lograr que el estudiante reconozco la estructura general del curso para manipular y desarrollar las diferentes herramientas que nos brinda este curso.

Lograr que el estudiante conozca sus compañeros de grupo colaborativo y su tutor

Aprender la implementación de proyectos digitales secuenciales, entender y practicar el análisis y los procedimientos de diseño con casos reales y prácticos

Page 3: Grupo90178 8 Proyecto Carro

INTRODUCCIÓN

A continuación daremos a ver los conocimientos adquiridos durante el periodo de aprendizaje de la unidad número uno del módulo de circuitos digitales secuenciales y los anteriores cursos como lógica matemática y sistemas digitales básico, demostrando las fallas y virtudes hacia el éxito de nuestro diseño.

Para entender la implementación de este proyecto del carro robot debemos tener claro el concepto general de aplicación de los Sistemas Digitales Secuenciales o máquinas de estados finitos, donde la salida no solo dependerá de las entradas presentes, también dependerá de la historia pasada, de lo que sucedió antes, por lo que necesitara elementos de memoria que recojan esta información anterior del sistema.

Este trabajo pretende dar a conocer con gran facilidad cada una de las diferentes temáticas a tratar en el material de estudio, para ello se ve necesario comprender y aplicar los modelamientos de los Sistemas Digitales Secuenciales.

MARCO TEÓRICO

MULTIVIBRADOR: Es un circuito oscilador capaz de generar una onda cuadrada.

Según su funcionamiento, los multivibradores se pueden dividir en dos clases:

De funcionamiento continuo, astable o de oscilación libre: genera ondas a

partir de la propia fuente de alimentación.

De funcionamiento impulsado: a partir de una señal de disparo o impulso

sale de su estado de reposo.

Si posee dos de dichos estados, se denomina biestable.

Si poseen uno, se le llama monoestable.

En su forma más simple son dos simples transistores realimentados entre sí.

Usando redes de resistencias y condensadores en esa realimentación se pueden

definir los periodos de inestabilidad. Un circuito integrado multivibrador muy

popular es el 555, que usa un sofisticado diseño para lograr una gran precisión y

flexibilidad con muy pocos componentes externos.

Page 4: Grupo90178 8 Proyecto Carro

FLIP- FLOP: Es un multivibrador capaz de permanecer en uno de dos estados

posibles durante un tiempo indefinido en ausencia de perturbaciones.1 Esta

característica es ampliamente utilizada en electrónica digital para memorizar

información. El paso de un estado a otro se realiza variando sus entradas.

COMPUERTAS LÓGICAS: Es un dispositivo electrónico el cual es la expresión

física de un operador booleano en la lógica de conmutación. Cada puerta lógica

consiste en una red de dispositivos interruptores que cumple las condiciones

booleanas para el operador particular. Son esencialmente circuitos de

conmutación integrados en un chip.

PUENTE H o Puente en H: es un es un circuito electrónico que permite a un

motor eléctrico DC girar en ambos sentidos, avance y retroceso.

Cuando los interruptores S1 y S4 están cerrados y S2 y S3 abiertos, se aplica una

tensión positiva en el motor, haciéndolo girar en un sentido. Abriendo los

interruptores S1 y S4 y cerrando S2 y S3, el voltaje se invierte, permitiendo el giro

en sentido inverso del motor.

Fase 1: Descripción del Problema.

Una vez estudiados los contenidos de la unidad I, usando una circuitería combinacional y circuitos secuenciales, se debe diseñar un pequeño vehículo impulsado por dos motores DC, uno en cada rueda trasera. El carro contará con dos sensores en la parte frontal que servirán para detectar el impacto del carro con un obstáculo. El diseño debe ser tal que el vehículo inicie su marcha en reversa durante 3 segundos y luego seguir su marcha normal hacia adelante; una vez éste impacte debe recordar que el choque activa uno de los sensores con el fin que el vehículo retroceda girando en un sentido diferente. No importa cuál de los dos sensores se active o haga el impacto siempre debe cambiar de giro, no importa que impacte dos veces con el mismo microswitche. Una vez que uno de los sensores haya detectado el impacto del carro, éste deberá retroceder por un tiempo de tres (3) segundos y reiniciar su marcha hacia adelante, este tiempo debe ser controlado usando un temporizador 555 en modo monoestable.

Page 5: Grupo90178 8 Proyecto Carro

LISTA DE MATERIALES

7404 COMPUERTA NOT

7408 COMPUERTAS AND

74LS32 COMPUERTA OR

L293D PUENTE H

74LS76 FLIP FLOP TIPO JK

555 INTEGRADO

MOTORES 12 Vdc

MICRO SWITCH

10µF CONDENSADORES

150µF CONDENSADORES

100µF CONDENSADORES

18.2KΩ RESISTENCIAS

10kΩ RESISTENCIAS

PROTOBOAR

CARGADOR 5V 1AMP

ESTRUCTURA CARRO

Page 6: Grupo90178 8 Proyecto Carro

DIAGRAMA

Primero se hacen los cálculos para encontrar el tiempo empleado para cada

multivibrador utilizando las formulas estudiadas en el módulo.

CIRCUITO MONOESTABLE:

La salida del circuito es inicialmente cero, el transistor está saturado y no permite la carga del condensador C1. Pero al pulsar SW1 se aplica una tensión baja en el terminal de disparo TRIGGER, que hace que el biestable RS cambie y en la salida aparezca un nivel alto. El transistor deja de conducir y permite que el condensador C1 se cargue a través de la resistencia R1. Cuando la tensión en el condensador supera los 2/3 de la tensión de alimentación, el biestable cambia de estado y la salida vuelve a nivel cero.

Asumiendo a C=100uF, podemos calcular el valor de la resistencia de Carga Rc, para un tiempo de 2 Segundos.

T = 1.1* Rc*C

Despejando Rc.

Rc = T/(1.1*C)

Rc = 2/(1.1*100uF)

Rc=18181 Ohm

Rc=18,2 KOhm

Page 7: Grupo90178 8 Proyecto Carro

Asumiendo a C=150uF, podemos calcular el valor de la resistencia de Carga Rc, para un tiempo de 3 Segundos.

T = 1.1* Rc*C

Despejando Rc.

Rc = T/(1.1*C)

Rc = 3/(1.1*150uF)

Rc=18181 Ohm

Rc=18,2 KOhm

Page 8: Grupo90178 8 Proyecto Carro

Segundo configuraremos el Flip flop en togget y lo conectamos en el multivibrador

de 3seg, así evitaremos que haga el cambio si por alguna razón los pulsos se

activan en un choque antes de que empiece a marchar hacia adelante.

TABLA DE VERDAD FUNCIONES LÓGICAS

SW Der SW IzqSuma SW Der + Izq

Out 555 Motor 1 Motor 2

Adelante 0 0 0 0 Avance 0 0Adelante 0 0 0 1 NO x xAdelante 0 0 1 0 NO x xAdelante 0 0 1 1 NO x xGiro Der 0 1 0 0 Avance 0 0Giro Der 0 1 0 1 NO x xGiro Der 0 1 1 0 Retroceso 1 1Giro Der 0 1 1 1 Giro 0 1Giro Izq 1 0 0 0 Avance 0 0Giro Izq 1 0 0 1 NO x xGiro Izq 1 0 1 0 Retroceso 1 1Giro Izq 1 0 1 1 Giro 1 0Giro Izq 1 1 0 0 Avance 0 0Giro Izq 1 1 0 1 NO x xGiro Izq 1 1 1 0 Retroceso 1 1Giro Izq 1 1 1 1 Giro 1 0

EntradaBITSDIRECCIÓN BITSPASO

SalidaMotor

Siguiente tenemos que hacer la parte lógica del circuito para que tengamos el

control de la dirección de los motores. Utilizando el álgebra booleana, tenemos

que; Las entradas son SW Der, SW Izq, Suma SW Y Out 555 y las salidas para

cada motor, serán Motor1 y Motor2, obteniendo así la tabla de verdad.

MAPAS DE KARNOUGH

Motor 1: (A+|D)(C) Motor 2: (|A+|D)(C)

Page 9: Grupo90178 8 Proyecto Carro

Por último se conecta cada salida a un puente H la cual por lo general se hace con

transistores o con un circuito integrado, el puente H necesita dos entradas lógicas

para cada motor, así que conectamos cada salida de las compuertas OR

compuertas NOT para cada entrada del puente H del conjunto de motores.

Funcionamiento:

Cuando se produzca el disparo la salida saltará a 1 lógico cambiando la salida, de modo que el estado regresa en forma automática a su valor predefinido después de cierto tiempo de carga del condensador T calculado por la red de carga RC como:

T = 1.1* Rc*C

Este será el tiempo estimado para que el condensador llegue al nivel de Threshold. Una vez superado este nivel el comparador superior pondrá la salida en 0 lógico, descargando casi instantáneamente el condensador.

Page 10: Grupo90178 8 Proyecto Carro

CIRCUITO COMBINACIONAL CON VHDL

library ieee;

use ieee.std_logic_1164.all;

entity robot is port(

entradas: in bit_vector(2 downto 0);

salidas: out bit_vector(1 downto 0));

end entity;

architecture trabajo1 of robot is

begin

p: process (entradas)

begin

Page 11: Grupo90178 8 Proyecto Carro

case entradas is

when "000" => salidas <= "00";

when "001" => salidas <= "01";

when "010" => salidas <= "10";

when "011" => salidas <= "11";

when "100" => salidas <= "00";

when "101" => salidas <= "10";

when "110" => salidas <= "01";

when "111" => salidas <= "11";

end case;

end process;

end;

MONTAJE DEL CIRCUITO

Page 12: Grupo90178 8 Proyecto Carro

Link en YouTube: https://youtu.be/YbaaHqNUSQI

ANALISIS DE RESULTADOS

Para la comprensión de la idea principal del proyecto se toma la iniciativa de que

el auto tiene que moverse hacia adelante y después de un choque dar reversa y

girar hacia la izquierda y después volver a iniciar su proceso de marcha y después

de otro choque girara hacia la derecha, aquí tenemos que tener en cuenta de que

el carro debe reconocer en qué estado estuvo primero, así que necesita

almacenar un bit de memoria, por lo tanto se necesita solo un flip-flop para

almacenar tal información básica, por medio de los dos multivibradores se toma el

tiempo de reversa y de giro de los motores y por medio de compuertas lógicas y

con ayuda de él algebra booleana se hace una tabla de verdad dando a conocer lo

que queremos que el sistema haga.

Simplificamos tal información para encontrar el circuito lógico que controle la

dirección de nuestros motores y final mente se instala un puente H para la

polarización de cada uno de los motores, de hecho aquí se trabaja todo lo que

tiene que ver con la unida 1 del módulo de sistemas digitales secuenciales con

utilidades ya vista en el módulo de sistemas digitales básicos.

CONCLUSIONES

Page 13: Grupo90178 8 Proyecto Carro

Resulta muy útil un sistema que almacene 1 bit de memoria como lo son los

flip-flops

La utilización del puente H permite cambiar la polaridad de los motores a partir de una fuente sencilla, y de esta manera obtener el movimiento hacia adelanta y hacia atrás del carro

Por medio de algebra booleana se puede simplificar circuitos lógicos

Los multivibradores dependen de la Rc y de la C para determinar el tiempo

de duración del pulso

Se pueden hacer diferentes tipos de circuitos que reconozcan el ultimo

estado y general la salida lógica que necesitamos

En el desarrollo de este proyecto debemos tener en cuenta de que la fuente

al ser conectada preste la suficiente corriente necesitada por el circuito ya

que los motores por su consumo de potencia hace de que el circuito se

encuentre en un estado de bajo subministro de voltaje e intensidad

haciendo que el circuito no trabaje adecuadamente o tenga resultados

inesperados.

BIBLIOGRAFÍA

Agenda del curso de sistemas digitales secuenciales, recuperado el 20 febrero http://datateca.unad.edu.co/contenidos/agendas/2015-10/90178.htm

Page 14: Grupo90178 8 Proyecto Carro

Contenido del curso- Unidades del curso- sistemas digitales secuenciales, recuperado el 20 febrero http://campus13.unad.edu.co/campus13_20151/course/view.php?id=123#

Guía Integradora de Actividades, Tomado de:

http://datateca.unad.edu.co/contenidos/90178/SDS-2015-I/GUIAINTEGRAD

ADEACTIVIDADES-90178.pdf

Syllabus del Curso Sistemas Digitales Secuenciales, Tomado de:

http://datateca.unad.edu.co/contenidos/90178/SDS-2015-I/GUIAINTEGRAD

ADEACTIVIDADES-90178.pdf