61
XAPP1290 (v1.1) 2016 9 29 1 japan .xilinx.com この資料は表記のバージ ョ ンの英語版を翻訳し たもので、 内容に相違が生じ る場合には原文を優先し ます。 資料によっては英語版の更新に対応していないものがあります。 日本語版は参考用 と し てご使用の上、 最新情報につき ま し ては、 必ず最新英語版を ご参照 く だ さ い。 概要 このアプリケーション ノ ー ト では、 完全な SDI インターフェイスを構築するために、 Kintex® UltraScale™ GTH トラン シーバー と ザ イ リ ン ク ス SMPTE SD/HD/3G-SDI LogiCORE™ IP コアを合わせて使用するための制御ロジッ クを含むモ ジュールについて説明し ます。 ザイ リ ン ク ス KCU105 評価ボー ド で実行する SDI サンプル デザインについても言及しま す。 リファレンス デザイン 放送業界向けの映像機器には、 SMPTE (Society of Motion Picture and Television Engineers) SDI (Serial Digital Interface) 規格が 広く使用されています。 SDI イ ン ターフ ェ イ スは、 放送局ス タ ジオや映像制作会社で使用されてお り 、 非圧縮のデジ タル 映像と多重音声チャネルなどの埋め込まれた補助データを共に伝送します。 SMPTE SD/HD/3G-SDI LogiCORE IP コア (SDI コア) は、 デバイ ス固有の制御機能を持たない一般的な SDI 受信/送信デー タパスです。 このコアは、 GTH ト ラ ン シーバー と 接続す る こ と で、 SMPTE SD-SDIHD-SDI、 および 3G-SDI 規格をサ ポートする SDI インターフェイスを実装できます。 SDI コアと GTH ト ランシーバーを接続して完全な SDI インターフェ イスを実装するには、 ロジッ クを追加する必要があ り ます。 このアプリ ケーシ ョ ン ノ ー ト では、 付加的な制御ロ ジ ッ ク とインターフェイス ロジックおよびリファレンス デザイン (SDI サンプル デザイン) について説明し ます。 こ こでは、 SD-SDIHD-SDI および 3G-SDI を含む SMPTE インターフェイスの規格の言及には SDI を用いています。 これらのイン ターフェイスのその他の情報は、 SMPTE [参照 1] で提供されています。 Kintex UltraScale GTH ト ラ ンシーバーは、 3G-SDI を含むすべての SDI ビット レートに対応できます。 スピード グレード およびデバイ パッ ケージの各組み合わせにおける GTH ト ラ ン シーバーの最大 ラ イ ン レー ト は、 『Kintex UltraScale FPGA データシート : DC 特性および AC ス イ ッ チ特性』 (DS892) [参照 2] を参照してください。 ハードウェア デバ イ ス固有の制御 ロ ジ ッ ク の主な機能は次の と お り です。 GTH ト ラ ン シーバーの リ セ ッ ト ロジック SD-SDIHD-SDI および 3G-SDI をサポートするために、 RX および TX シリアル ク ロ ッ ク 分周器を動的に切 り 替え る機能 HD-SDI および 3G-SDI 規格の 2 つの異な る ビ ッ ト レート をサポートするために、 TX の基準 ク ロ ッ ク を動的に切 り 換 える機能 ° 1.485 Gb/s および 1.485/1.001 Gb/s (HD-SDI モー ド の場合) ° 2.97 Gb/s および 2.97/1.001 Gb/s (3G-SDI モー ド の場合) SD-SDI モードの場合にデータを回復させるデータ リカバリ ユニッ ト レシーバーが整数フレーム レ ー ト 信号 (1.485 Gb/s および 2.97 Gb/s などのライン レート ) または分数フレーム レート 信号 (1.485/1.001 Gb/s および 2.97/1.001 Gb/s などのライン レート ) のいずれを受信しているかを判断する RX ビット レ ー ト 検出機能 SDI インターフェイスを簡単に構築するために、 SDI サンプル デザインでは、 GTH ト ラ ンシーバー用制御モジ ュールの インスタンス、 GTH ト ラ ンシーバー チャネル インスタンス、および SDI コアのインスタンス、 およびそれらに必要な接 続を含むラ ッパー ファイルも提供しています。 アプリケーション ノート : Kintex UltraScale FPGA XAPP1290 (v1.1) 2016 9 29 Kintex UltraScale GTH ト ランシーバーを使用 した SMPTE 3G-SDI インターフェイスの実装 著者: Jerin JacobGilbert Magnaye

Kintex UltraScale GTH トランシーバーを使用した …...XAPP1290 (v1.1) 2016 年 9 月 29 日 1 japan.xilinx.com この資料は表記のバージョンの英語版を翻訳したもので、内容に相違が生じる場合には原文を優先します。

  • Upload
    others

  • View
    3

  • Download
    0

Embed Size (px)

Citation preview

XAPP1290 (v1.1) 2016 年 9 月 29 日 1japan.xilinx.com

この資料は表記のバージ ョ ンの英語版を翻訳したもので、 内容に相違が生じる場合には原文を優先します。 資料によっては英語版の更新に対応していないものがあります。 日本語版は参考用としてご使用の上、 最新情報につきましては、 必ず最新英語版をご参照ください。

概要

このアプリ ケーシ ョ ン ノートでは、 完全な SDI インターフェイスを構築するために、 Kintex® UltraScale™ GTH ト ランシーバーとザイ リ ンクス SMPTE SD/HD/3G-SDI LogiCORE™ IP コアを合わせて使用するための制御ロジッ クを含むモジュールについて説明します。 ザイ リ ンクス KCU105 評価ボードで実行する SDI サンプル デザインについても言及します。

リファレンス デザイン

放送業界向けの映像機器には、 SMPTE (Society of Motion Picture and Television Engineers) SDI (Serial Digital Interface) 規格が広く使用されています。 SDI インターフェイスは、 放送局スタジオや映像制作会社で使用されており、 非圧縮のデジタル映像と多重音声チャネルなどの埋め込まれた補助データを共に伝送します。

SMPTE SD/HD/3G-SDI LogiCORE IP コア (SDI コア) は、 デバイス固有の制御機能を持たない一般的な SDI 受信/送信データパスです。 このコアは、 GTH ト ランシーバーと接続するこ とで、 SMPTE SD-SDI、 HD-SDI、 および 3G-SDI 規格をサポートする SDI インターフェイスを実装できます。 SDI コアと GTH ト ランシーバーを接続して完全な SDI インターフェイスを実装するには、 ロジッ クを追加する必要があ り ます。 このアプリ ケーシ ョ ン ノートでは、 付加的な制御ロジッ クと インターフェイス ロジッ クおよびリ ファレンス デザイン (SDI サンプル デザイン) について説明します。 こ こでは、SD-SDI、 HD-SDI および 3G-SDI を含む SMPTE インターフェイスの規格の言及には SDI を用いています。 これらのインターフェイスのその他の情報は、 SMPTE [参照 1] で提供されています。

Kintex UltraScale GTH ト ランシーバーは、 3G-SDI を含むすべての SDI ビッ ト レートに対応できます。 スピード グレードおよびデバイ パッケージの各組み合わせにおける GTH ト ランシーバーの 大ライン レートは、 『Kintex UltraScale FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS892) [参照 2] を参照してください。

ハードウェア

デバイス固有の制御ロジッ クの主な機能は次のとおりです。

• GTH ト ランシーバーのリセッ ト ロジッ ク

• SD-SDI、 HD-SDI および 3G-SDI をサポートするために、 RX および TX シ リ アル ク ロ ッ ク分周器を動的に切り替える機能

• HD-SDI および 3G-SDI 規格の 2 つの異なるビッ ト レート をサポートするために、 TX の基準クロ ッ クを動的に切り換える機能

° 1.485 Gb/s および 1.485/1.001 Gb/s (HD-SDI モードの場合)

° 2.97 Gb/s および 2.97/1.001 Gb/s (3G-SDI モードの場合)

• SD-SDI モードの場合にデータを回復させるデータ リ カバリ ユニッ ト

• レシーバーが整数フレーム レート信号 (1.485 Gb/s および 2.97 Gb/s などのライン レート ) または分数フレーム レート信号 (1.485/1.001 Gb/s および 2.97/1.001 Gb/s などのライン レート ) のいずれを受信しているかを判断する RX ビッ ト レート検出機能

SDI インターフェイスを簡単に構築するために、 SDI サンプル デザインでは、 GTH ト ランシーバー用制御モジュールのインスタンス、 GTH ト ランシーバー チャネル インスタンス、 および SDI コアのインスタンス、 およびそれらに必要な接続を含むラ ッパー ファ イルも提供しています。

アプリケーシ ョ ン ノート : Kintex UltraScale FPGA

XAPP1290 (v1.1) 2016 年 9 月 29 日

Kintex UltraScale GTH ト ランシーバーを使用した SMPTE 3G-SDI インターフェイスの実装著者: Jerin Jacob、 Gilbert Magnaye

リファレンス デザイン

XAPP1290 (v1.1) 2016 年 9 月 29 日 2japan.xilinx.com

SDI サンプル デザインで使用する IP コアおよびモジュールは次のとおりです。 ザイ リ ンクス IP コアは、 Vivado Design Suite IP カタログで提供されています。

• SDI コアは、 Vivado IP カタログで提供される SMPTE SD/HD/3G-SDI LogiCORE IP を指します。 SDI コアは、 SMPTE SD-SDI、 HD-SDI および 3G-SDI 規格に従います。 詳細は、 『SMPTE SMPTE SD/HD/3G-SDI 3.0 LogiCORE IP 製品ガイド』 (PG071) [参照 3] を参照してください。

• 制御モジュールは、 GTH ト ランシーバーおよび SDI コアを使用して SDI インターフェイスを実装する際に必要となるさまざまなデバイス固有の機能を実装するモジュールです。 SDI サンプル デザインでは、 制御モジュールはソース コード と して提供されています。

• UltraScale FPGAs Transceivers Wizard IP コアは、 1 つの GTHE3_CHANNEL プリ ミ ティブのインスタンス とそれに対応した制御モジュールを含む GTH ト ランシーバー ラ ッパーを生成します。 詳細は、 『UltraScale FPGAs Transceivers Wizard LogiCORE IP 製品ガイ ド』 (PG182) [参照 4] を参照してください。

• SDI ラ ッパーは、 SDI コア、 GTH Wizard IP コア、 および制御モジュールをインスタンシエート して相互接続します。SDI サンプル デザインでは、 SDI ラ ッパーはソース コード と して提供されています。

• SDI ラ ッパー サポート モジュールには、 1 つの GTH クワ ッ ドに対して SDI ラ ッパー インスタンスが 1 つと GTHE3_COMMON プリ ミ ティブが 1 つ含まれています。 このラ ッパーはクワッ ドごとに 1 回インスタンシエート されます。 それに関連する QPLL ク ロ ッ ク、 基準クロ ッ ク、 ロ ッ ク出力は、 同じ クワッ ドで違うチャネルにある SDI ラ ッパーへ接続する必要があ り ます。 SDI アプリ ケーシ ョ ンで QPLL を使用しない場合、 このラ ッパーは必要あ り ません。

図 1 に、 一般的な SDI インターフェイスのブロ ッ ク図を示します。

X-Ref Target - Figure 1

図 1: 一般的な SDI インターフェイスのブロック図

Audio Embedder(Optional)

SDI Wrapper

SMPTE SDI Core

Control Module

40

32

2

2

GTH Wizard IP

20

20

GTH Common

Reference Clock Source

Cable Driver

EQ

Cable Equalizer

SDI Wrapper Support

40

40

40

32

リファレンス デザイン

XAPP1290 (v1.1) 2016 年 9 月 29 日 3japan.xilinx.com

注記: 図 1 に示すオプシ ョ ンのオーディオ エンベデッダーは単独コアであ り、 SDI コアまたは SDI サンプル デザインには含まれていません。

機能

SDI コアの機能、 サポート される SMPTE 規格、 SDI モード別のコアのタイ ミ ング図などは、 『SMPTE SD/HD/3G-SDI 3.0 LogiCORE IP 製品ガイ ド』 (PG071) [参照 3] を参照してください。

この資料では、 多重化されていない SDI データ ス ト リームのこ とを 「基本データ ス ト リーム」 と呼びます。 たとえば、HD-SDI 信号は 2 つの基本データ ス ト リーム (通常、 Y データ ス ト リームと C データ ス ト リーム) で構成されており、 10 ビッ トの仮想 HD-SDI インターフェイスに多重化されます。 同様に、 3G-SDI レベル A 信号も 2 つの基本データ ス ト リーム (データ ス ト リーム 1 とデータ ス ト リーム 2) で構成されており、 10 ビッ トの仮想 3G-SDI インターフェイスに多重化されます。 3G-SDI レベル B 信号の場合は、各 HD-SDI 信号に Y データ ス ト リームと C データ ス ト リームがある 4 つの基本データ ス ト リームで構成されており、 3G-SDI レベル B インターフェイスに集約されます。 この 4 つの基本ス ト リームは、 10 ビッ トの仮想 3G-SDI インターフェイスに多重化され、 4 ウェイ インターリーブとなり ます。

SDI コアの TX および RX は、 データ ス ト リーム入力/出力で多重化されていない基本データ ス ト リームのみを受信/送信します。 データ ス ト リームの多重化/逆多重化は、 SDI コア内で実行され、 SDI コアの外部について考慮する必要はあ りません。 ただし、 SD-SDI は例外です。 ST 259 SD-SDI 規格は、 Y コンポーネン ト と C コンポーネン ト を両方伝搬するシングル データ ス ト リームを定義します。 これは、 複数の EAV と SAV がインターリーブされていないため、 SDI コアでは基本データ ス ト リームと見なされます。

SDI コアは、 ネイティブ ビデオ フォーマッ ト と基本データ ス ト リーム間のマッピングを行いません。 ユーザー アプリケーシ ョ ンは、 SDI ト ランス ミ ッ ターへ基本データ ス ト リームを送信する前に、 これらのス ト リームに対して必要なビデオ マッピングを行い、 その後、 SDI レシーバーによって出力された基本ス ト リームからビデオ イ メージを再度構築する必要があ り ます。 SD-SDI と単一リ ンク HD-SDI 上のすべてのビデオ フォーマッ ト、 および 3G-SDI レベル A の 1080p 50 Hz、 1080p 59.94 Hz、 1080p 60 Hz 4:2:2 YCBCR 10 ビッ ト ビデオの場合、 これらのフォーマッ トのデータ ス ト リームと、 SDI コアへ入力または出力される基本データ ス ト リームに 1 対 1 となっているため、 マッピングは必要あ り ません。これは、 2 つの HD-SDI ビデオ フォーマッ トが 1 つの 3G-SDI インターフェイスに集約されるデュアル ス ト リーム モードの 3G-SDI レベル B-DS にも当てはま り ます。 デュアルリ ンク HD-SDI、 3G-SDI レベル B-DL の場合、 基本データ ス トリームに対するビデオ フォーマッ トのマッピングが必要であ り、 これは SDI コアでは行われません。

SDI RX は、 入力される SDI 信号に含まれる基本データ ス ト リーム数を自動で判断し、 それらのデータ ス ト リームを適切に逆多重化して、 入力信号に含まれる基本データ ス ト リーム数を rx_active_stream ポートに示します。

GTH ト ランシーバーを使用して SDI インターフェイスを実現

このセクシ ョ ンでは、『UltraScale アーキテクチャ GTH ト ランシーバー ユーザー ガイ ド』 (UG576) [参照 5] を補足する内容を提供します。 こ こでは、 SDI アプリ ケーシ ョ ンに重要な GTH ト ランシーバーの機能および動作要件を中心に説明します。

この文書では、 [参照 5] と同じ GTH ト ランシーバー ポートの命名規則をポートのベース名にのみ使用します。 GTH Wizard モジュールの作成に UltraScale FPGAs Transceivers Wizard を使用した場合、 すべての入力ポートには、 名前の後ろに _in が追加され、 すべての出力ポートには _out が追加されます。 たとえば、 この資料で txpllclksel となっているポートは、 GTH ラ ッパー内での実際の名前は txpllclksel_in とな り ます。

GTH ト ランシーバーを使用するアプリ ケーシ ョ ンにはクロ ッ クが必要です。 SDI プロ ト コルは、 データ ス ト リームにデータを追加したり削除してクロ ッ クを補正できません。 このため、 アプリ ケーシ ョ ン内でクロ ッ クがどのよ うに生成および使用されるかに細心の注意が必要です。 GTH ト ランシーバーを駆動するには基準クロ ッ ク も必要です。 GTH クワ ッドにある PLL (位相ロッ ク ループ) が基準クロ ッ クを使用して、 各ト ランシーバーの受信部および送信部用のシ リ アル クロ ッ クを生成します。 「GTH ト ランシーバーの基準クロ ッ ク」 で説明するよ うに、 GTH ト ランス ミ ッ ターのシ リ アル ビッ ト レートは、 供給される基準クロ ッ クの整数倍となり ます。 さ らに、 SDI ト ランス ミ ッ ター データパスの入力に与えられるビデオ データ レートは、 GTH ト ランス ミ ッ ターで使用される基準クロ ッ ク周波数と正確に一致する (または正確な整数倍となる ) 必要があ り ます。 したがって、 送信されるビデオ ス ト リームのデータ レートへ周波数が確実に固定するよ うに、 ト ランス ミ ッ ターの基準クロ ッ クを生成する設計を行う必要があ り ます。

UltraScale FPGAs Transceivers Wizard で GTH IP コアを生成する と きに ト ランス ミ ッ ターのユーザー ク ロ ッキング ネッ トワーク ヘルパー ブロ ッ クを有効化する と、 GTH ト ランス ミ ッ ターのクロ ッキングはこのブロ ッ クで管理されます。 ヘルパー ブロッ ク内の BUFG_GT で txusrclk および txusrclk 出力が駆動され、 その周波数は、 GTH ト ランス ミ ッ ターの txdata ポートへ供給されるデータのワード レート と同じになり ます。 txusrclk および txusrclk は、 シ リ アル ク ロ ッ クが PLL によってワード レート と等し くなるよ うに分周されるこ とで、 GTH ト ランス ミ ッ ター内で生成されます。 ト ランス ミ ッ

リファレンス デザイン

XAPP1290 (v1.1) 2016 年 9 月 29 日 4japan.xilinx.com

ター ユーザー ク ロ ッキング ネッ ト ワークの詳細は、 『UltraScale FPGAs Transceivers Wizard LogiCORE IP 製品ガイ ド』 (PG182) [参照 4] を参照して ください。

GTH レシーバーの基準クロ ッ クは入力される SDI 信号のビッ ト レート と正確な関係を持つ必要はあ り ません。 これは、GTH レシーバーのクロ ッ ク データ リ カバリ (CDR) ユニッ トが、 大 ±1,250 ppm (< 6.6 Gb/s)、つま り基準クロ ッ ク周波数による設定どおりに公称ビッ ト レートから ±200 ppm (> 8.0 Gb/s) でビッ ト レート を受信できるためです。 このため、入力される SDI 信号と正確な周波数関係を持たないローカルのオシレーターでレシーバー基準クロ ッ クが生成可能になり ます。 GTH レシーバーは、 入力される SDI ビッ ト レートに周波数ロッ ク された リ カバリ ク ロ ッ クを生成します。 これらのクロ ッ クは、 GTH Wizard IP からレシーバーのユーザー ク ロ ッキング ネッ ト ワーク ヘルパー ブロ ッ クの rxusrclk および rxusrclk2 ポートに出力され、 BUFG_GT で駆動されます。 後ほど詳し く説明しますが、 rxusrclk と rxusrclk2 は、 SD-SDI 信号を受信する場合を除いて、 すべての SDI ライン レート を受信する際の真のリ カバリ ク ロ ッ クです。

SDI アプリ ケーシ ョ ンには、 も う 1 つクロ ッ クが必要です。 これはフ リーランニングの固定周波数クロ ッ クであ り、 GTH ト ランシーバーの DRP (ダイナミ ッ ク リ コンフ ィギュレーシ ョ ン ポート ) 用クロ ッ ク と して使用されます。 通常、 これと同じクロ ッ クが SDI ラ ッパーの制御モジュールに供給され、 タイ ミ ング制御に使用されます。 このク ロ ッ クの有効な周波数範囲は 『UltraScale FPGAs Transceivers Wizard LogiCORE IP 製品ガイ ド』 (PG182) [参照 4] で示され、 通常は 3.125 ~ 200 MHz です。 このクロ ッ クの周波数は、 SDI アプリ ケーシ ョ ンのその他のクロ ッ クやデータ レートに対して特定の関連性を持つ必要はあ り ません。 SDI モードを変更した際に、 このクロ ッ クの周波数を変更しないでください。 常に同じ公称周波数で動作する必要があ り ます。 また、 SDI アプリ ケーシ ョ ンが動作中は停止できません。 このクロ ッ クは、 デバイス内のすべての SDI インターフェイスで使用可能です。

rxusrclk および txusrclk の周波数は SDI のモードに依存します。 この関係は、 GTH ト ランシーバーのアーキテクチャによって固定されています。 場合によって、 データ ス ト リームのデータ レートがクロ ッ ク周波数よ り も低くなるこ とがあるため、 RX と TX はクロ ッ ク イネーブルを使用して、 データ ス ト リーム伝送データ レート を調整します。 表 1 に、 各 SDI モードにおけるアクティブ データ ス ト リーム数、 rxoutclk/txoutclk 周波数、 およびクロ ッ ク イネーブルを示します。

ク ロ ッ ク イネーブルは、2 データ ワード サイ クルでクロ ッ ク イネーブルがアサート中のクロ ッ ク数と して表わされます。つま り、 1/1 はクロ ッ ク サイクルごとにク ロ ッ ク イネーブルがアサート されるこ とを意味し、 2/2 は 2 ク ロ ッ ク サイクルに 1 回クロ ッ ク イネーブルがアサート され (50% デューティ サイクル)、5/6 は 5 ク ロ ッ クまたは 6 ク ロ ッ ク サイクルのいずれかに 1 回クロ ッ ク イネーブルがアサート されるこ とを意味し、 平均する と 5.5 ク ロ ッ ク サイクルに 1 回とな り ます (ク ロ ッ ク イネーブルのロジッ ク High パルス間に 1 インスタンスの 5 ク ロ ッ ク サイクル、 それに続いてクロ ッ ク イネーブルのロジッ ク High パルス間に 1 インスタンスの 6 ク ロ ッ ク サイ クルが来るパターンが繰り返される )。

表 1: クロック周波数とクロック イネーブルの要件

SDI モードアクテ ィブ データ

スト リーム

RX/TXDATAビッ ト幅

RX/TXOUTCLK周波数

クロックイネーブル

SD-SDI 1 20 148.5 MHz 5/6

HD-SDI 2 20 74.25 または 74.25/1.001 MHz 1/1

3G-SDI A 2 20 148.5 または 148.5/1.001 MHz 1/1

3G-SDI B 4 20 148.5 または 148.5/1.001 MHz 2/2

リファレンス デザイン

XAPP1290 (v1.1) 2016 年 9 月 29 日 5japan.xilinx.com

GTH ト ランシーバーの基準クロック

Kintex UltraScale GTH ト ランシーバーはクワッ ドに分けられています。 各クワッ ドには 4 つの GTHE3_CHANNEL ト ランシーバー プリ ミ ティブ、 および 2 つのクワッ ド PLL (QPLL0 と QPLL1) を含む GTHE3_COMMON プリ ミ ティブが 1 つあり ます (図 2 参照)。

QPLL0 および QPLL1 で生成されたクロ ッ クは、 クワ ッ ド内の 4 つすべてのト ランシーバーへ分配されます。 各 GTHE3_CHANNEL には、チャネル PLL (CPLL) と呼ばれる専用の PLL があ り、その ト ランシーバーの RX および TX へのみクロ ッ クを供給できます。 クワ ッ ド内の各 RX と TX ユニッ トでは、 ク ロ ッ ク ソース と して QPLL0 または QPLL1 のいずれかまたは両方を使用すべきか、 または CPLL を使用すべきかを個別に設定できます。 さ らに、 この RX/TX ユニッ トは、 ク ロ ッ ク ソースを QPLL0、 QPLL1、 CPLL 間で動的に切り換え可能です。 このコンフ ィギュレーシ ョ ンと動的切り換え機能は、 SDI アプリ ケーシ ョ ンに特に有効です。

X-Ref Target - Figure 2

図 2: GTH ト ランシーバーのクワッ ド コンフ ィギュレーシ ョ ン

IBUFDS_GTE3

IBUFDS_GTE3

リファレンス デザイン

XAPP1290 (v1.1) 2016 年 9 月 29 日 6japan.xilinx.com

一般的な SDI アプリ ケーシ ョ ンでは、 5 つの異なるビッ ト レート をサポートするために GTH ト ランシーバーが必要です。

• 270 Mb/s (SD-SDI の場合)

• 1.485 Gb/s (HD-SDI の場合)

• 1.485/1.001 Gb/s (HD-SDI の場合)

• 2.97 Gb/s (3G-SDI の場合)

• 2.97/1.001 Gb/s (3G-SDI の場合)

GTH ト ランシーバーの RX 部に含まれる CDR ユニッ トは、 6.6 Gb/s 未満の基準周波数から 大 ±1250 ppm のビッ ト レート を受信できます。 HD-SDI および 3G-SDI には、 正確に 1000 ppm 異なる 2 つのビッ ト レートがあ り ます。 HD-SDI および 3G-SDI の場合、 1 つの基準クロ ッ ク周波数を使用して両方のビッ ト レート を受信するこ とが可能です。 これと同じ基準クロ ッ ク周波数で SD-SDI の受信も可能です。 つま り、 すべての SDI モードで必要な RX 基準クロ ッ ク周波数は 1 つのみです。

GTH ト ランシーバーの基準クロ ッ ク ソースは、 アプリ ケーシ ョ ンによって異なり ます。 レシーバーの基準クロ ッ ク ソースは、 入力される SDI ビッ ト レート と正確に一致する必要がないため、 ローカルのオシレーターで対応できます。 一方、GTH ト ランス ミ ッ ターのライン レートは、 常に基準クロ ッ ク周波数の整数倍であるため、 ト ランス ミ ッ ターの基準クロ ッ ク周波数は、 送信されるデータのデータ レート と正確な関係を持つ必要があ り ます。 ほとんどの場合、 ト ランスミ ッ ターの基準クロ ッ クはゲンロ ッ ク PLL で生成されるため、 スタジオのビデオ基準信号から GTH ト ランス ミ ッ ター ライン レート を生成します。 SDI パススルー接続などの場合、 ト ランス ミ ッ ター ラ イン レートは、 SDI 信号を受信している GTH レシーバーのリ カバリ ク ロ ッ クから生成されます。 そのよ うな場合、 ト ランス ミ ッ ターの基準クロ ッ ク と して使用する前に、 外部 PLL でリ カバリ ク ロ ッ クのジッ ターを軽減する必要があ り ます。

一般的な SDI アプリ ケーシ ョ ンでは、 2 つの基準クロ ッ クが QPLL0 と QPLL1に接続されます。 クワ ッ ド内の各ト ランシーバーの RX ユニッ ト と TX ユニッ トは、 その時点で必要なビッ ト レートに従って PLL ク ロ ッ クを動的に切り替えます。PLL の TX および RX ユニッ ト シ リ アル ク ロ ッ ク ソースの選択には、GTH txsysclksel および rxsysclksel ポート を使用します。 図 3 に、 この一般的な SDI アプリ ケーシ ョ ンのコンフ ィギュレーシ ョ ンを示します。 この図では、 インプ リ メンテーシ ョ ンで動的に使用されないマルチプレクサーを配線に置き換えており、 クワ ッ ド間の基準クロ ッ ク配線は表示していません。

このため、 ほとんどの SDI アプリ ケーシ ョ ンでは、 2 つの基準クロ ッ クを GTH クワ ッ ドへ供給します。 通常、 2 つの基準クロ ッ クの組み合わせは、 148.5 MHz と 148.5/1.001 MHz または 74.25 MHz と 74.25/1.001 MHz です。

重要: このアプリ ケーシ ョ ン ノートの説明では、 この 148.5 MHz と 148.5/1.001 MHz 基準クロ ッ ク周波数を使用します。ただし、 特に明記されていなくても、 も う 1 つの基準クロ ッ ク周波数の組み合わせ (74.25 MHz と 74.25/1.001 MHz) もサポート されています。

リファレンス デザイン

XAPP1290 (v1.1) 2016 年 9 月 29 日 7japan.xilinx.com

各 GTH RX および TX ユニッ トには、選択したクロ ッ クを指定可能な 2 の累乗の整数値で分周するシ リ アル ク ロ ッ ク分周器があ り ます。 これによって、 クワ ッ ド内のすべての RX ユニッ トは QPLL からの同じ クロ ッ ク周波数を使用しながらも、異なるシ リアル ク ロ ッ ク分周値を用いて異なるライン レートで動作できるよ うになり ます。3G-SDI ビッ ト レートは HD-SDI ビッ ト レートの 2 倍の速度となるため、 この機能は SDI インターフェイスに有効です。 270 Mb/s SD-SDI の場合、GTH ト ランシーバーは 11 倍のオーバーサンプリ ング テクニッ クによって 3G-SDI ライン レートで動作します。 RX および TX ユニッ トでは、 2 の累乗値で指定した異なる 4 つの分周器を用いてクロ ッ ク ソースを分周できるため、 基準クロ ック周波数を 2 つ使用するだけですべての SDI ビッ ト レートの送受信が可能です。

RX および TX ユニッ トのシ リ アル ク ロ ッ ク分周器の値は、RXOUT_DIV および TXOUT_DIV 属性を利用して DRP から動的に変更可能です。

図 3 に示すコンフ ィギュレーシ ョ ンは、 ほとんどの SDI アプリ ケーシ ョ ンに 適なソ リ ューシ ョ ンです。 その理由は、 次のとおりです。

• レシーバーは、 QPLL0 と QPLL1 を使用してすべての SDI ビッ ト レート を受信でき、 基準クロ ッ クから生成されたシリ アル ク ロ ッ クをクワ ッ ド内のすべてのレシーバーへ供給します。

• ト ランス ミ ッ ターは、 サポート されているすべての SDI ビッ ト レートで送信するのに必要な 2 つのシ リ アル ク ロ ックを得るため、 QPLL0 と QPLL1 からのクロ ッ クを動的に切り換えるこ とができます。

• クワ ッ ド内の 4 つのレシーバーと 4 つのト ランス ミ ッ ターは完全に独立しているため、 それぞれ異なる SDI ビッ ト レートで動作でき、 ほかの RX や TX ユニッ トへ干渉するこ とな く ビッ ト レート を動的に切り換えるこ と も可能です。

X-Ref Target - Figure 3

図 3: SDI 用の GTH 基準クロックのインプリ メンテーシ ョ ン (一般的な場合)

リファレンス デザイン

XAPP1290 (v1.1) 2016 年 9 月 29 日 8japan.xilinx.com

• ゲンロ ッ ク機能を搭載したアプリ ケーシ ョ ンでは、 新のゲンロ ッ ク PLL が同期基準入力信号から 2 つの基準クロ ッ ク周波数を同時に提供できます。

SDI アプリ ケーシ ョ ンによっては、 さまざまな ト ランス ミ ッ ターが同じ公称ビッ ト レートで送信していても、 わずかに異なるビッ ト レートで動作する場合があ り ます。 これは、 各 TX のビッ ト レートが、 関連する SDI RX で受信される SDI のビッ ト レート と正確に一致しなければならない SDI ルーターでよ く見られます。 同じ公称ビッ ト レートで送信する 2 つのト ランス ミ ッ ターのビッ ト レートには、 数 ppm の差があ り ます。 このよ うなアプリ ケーシ ョ ンは、 各 TX ユニッ トが CPLL を排他的に使用できる Kintex UltraScale GTH のクワ ッ ド アーキテクチャによってサポートできますが、 これには各 CPLL に専用の基準クロ ッ ク周波数を供給する必要があ り、 その上、 使用可能な GTH 基準クロ ッ ク入力数には制限があ り ます。 基準クロ ッ ク入力は各 GTH クワ ッ ドに 2 つずつあ り ます。 クワ ッ ドは上下クワ ッ ドからの基準クロ ッ クを使用できるため、デバイス内の複数の GTH クワ ッ ドに 5 つの異なる基準クロ ッ ク周波数 (RX ユニッ トに 1 つ、 4 つの TX ユニッ トに 4 つ) を供給できますが、 デバイス内の GTH TX すべてが独自の基準クロ ッ クを持つには、 基準クロ ッ ク入力数が足り ません。 このよ うな場合、 そのシ リ アル ク ロ ッ クの周波数から ± 数百 ppm で GTH TX をプルできる PICXO テクニッ クが非常に有効です。 このため、各 SDI TX のビッ ト レートが受信される SDI 信号のビッ ト レート と個別にロ ッ クする必要があるアプリ ケーシ ョ ンでは、 図 3 に示す一般的な基準クロ ッ クを利用して実装し、 さ らに PICXO テクニッ クを利用して各 GTH TX にそれぞれの SDI ト ランス ミ ッ ターの正確なビッ ト レート を設定します。 このアプリ ケーシ ョ ン ノートでは、 PICXO について説明していません。 PICXO の使用については、 ザイ リ ンクス テクニカル サポート までお問い合わせください。

リセッ ト

GTH ト ランシーバーには、 『UltraScale アーキテクチャ GTH ト ランシーバー ユーザー ガイ ド』 (UG576) [参照 5] で説明されている とおり、 非常に特殊な リセッ ト要件があ り ます。 GTH ト ランシーバーでは、 PLL のリセッ ト と GTH ト ランシーバーのリセッ ト (gttxreset および gtrxreset) を注意深く調整する必要があ り ます。 この調整は、 UltraScale FPGAs Transceivers Wizard を使用して GTH ト ランシーバーを生成する際に、 クロ ッキング ネッ ト ワーク と リセッ ト コン ト ローラー ヘルパー ブロ ッ クを有効にする と簡単になり ます。 リセッ ト コン ト ローラー ヘルパー ブロッ クが、 複雑な GTH トランシーバーのリセッ ト シーケンスに対応するよ う調整します。 SDI サンプル デザインで提供する制御モジュールは、すべての SDI コア コンフ ィギュレーシ ョ ン アップデート用のリセッ ト アサーシ ョ ンを管理し、GTH ト ランシーバーが確実かつ適切に動作するよ うにします。

GTH TX のリセッ ト

UltraScale FPGAs Transceivers Wizard は、 GTH ト ランシーバーの TX 部を リセッ トするために 3 つの方法を提供します。

• gtwiz_reset_all_in: ロジッ ク High にアサート されます。 TX 部と RX 部の PLL、 および GTH ト ランシーバーのアクティブなデータ方向を リセッ トするユーザー信号です。 このリセッ トは、 通常はスタート コンディシ ョ ン中はアサート されます。

• gtwiz_reset_tx_pll_ および _datapath_in: ロジッ ク High にアサート されます。 GTH ト ランシーバーの TX データ方向および関連する PLL を リセッ トするユーザー信号です。 特に、 TX PLL の基準クロ ッ クが変更されたと きには、 この リセッ トが有用です。

• gtwiz_reset_tx_datapath_in: ロジッ ク High にアサート されます。 ト ランシーバー プリ ミ ティブの TX データ方向を リセッ トするユーザー信号です。 このリセッ ト信号は、 tx_mode、 tx_m、 および tx_mux_pattern ポートの少なく と も 1 つが変更される と、 SDI TX アプリ ケーシ ョ ンに対してアサート されます。

QPLL と CPLL をそれぞれ 1 つずつ使用する場合、 これら 2 種類の PLL の動作周波数範囲は異なり ます。 SDI アプリ ケーシ ョ ンでは、 QPLL からのシ リ アル ク ロ ッ クは、 CPLL からのシ リ アル ク ロ ッ クの 2 倍の周波数となり ます。 このため、SDI ラ ッパーの tx_m 入力ポートが変更されて、 2 つの PLL 間で GTH TX の動的切り替えが要求される と き、 ト ランスミ ッ ターが同じ SDI モードのままの場合には、 TXOUT_DIV DRP 属性を介してシ リ アル ク ロ ッ ク分周器を動的に変更する必要があ り ます。 たとえば、 シ リ アル ク ロ ッ ク ソース と して QPLL を使用する 1.485 Gb/s の HD-SDI ビッ ト レートから、 シ リ アル ク ロ ッ ク ソース と して CPLL を使用する 1.485/1.001 の HD-SDI ビッ ト レートへ切り替える場合には、txsysclksel ポート と TXOUT_DIV DRP 属性の両方を変更する必要があ り ます。 ただし、 SDI ラ ッパーの tx_mode 入力ポートで選択された SDI モードが tx_m ポート と同時に変更される場合、 シ リ アル ク ロ ッ ク分周器の変更が必要とは限り ません。 たとえば、 CPLL を使用する HD-SDI モードから QPLL を使用する 3G-SDI モードへ変更する場合、 CPLL から QPLL への変更ではシ リ アル ク ロ ッ ク周波数が必然的に増加し、 結果と してライン レートが 2 倍になるため、 Txrate ポート を変更する必要はあ り ません。

tx_mode と tx_m は、 SDI ラ ッパーの独立した入力ポートであるため、 これらのポートの一方が変更された場合には、txsysclksel ポートおよび TXOUT_DIV DRP 属性が動的に変更される前に、 わずかなセ ト リ ング遅延が適用されます。 この遅延によって、 も う一方のポートが変更されるまでに短い時間が与えられるため、 この間に TX 制御ロジッ クはこれらのポートおよび DRP 属性の変更が必要であるかを判断します。

リファレンス デザイン

XAPP1290 (v1.1) 2016 年 9 月 29 日 9japan.xilinx.com

SDI ラ ッパーには TX 部のリセッ ト入力が 2 つあり ます。

• tx_rst_in: ロジッ ク High にアサート される と、 SDI コアの SDI TX データ パス、 TX コン ト ローラー モジュール、 および GTH ト ランシーバーの TX 部を リセッ ト します。

• gth_wiz_reset_tx_pll_and_datapath_in: ロジッ ク High にアサート される と、 TX に関連する PLL を リセッ ト してから、GTH ト ランシーバーの TX 部を リセッ ト します。

GTH RX のリセッ ト

「GTH TX のリセッ ト 」 で説明されている GTH TX リセッ ト と同様に、 ユーザー アプリ ケーシ ョ ンでは、 このセクシ ョ ンで説明したすべての RX リセッ ト と動的変更動作が互いに干渉しないよ うに SDI 制御モジュールによって慎重に調整されます。

UltraScale FPGAs Transceivers Wizard は、 GTH ト ランシーバーの RX 部を リセッ トするために 3 つの方法を提供します。

• gtwiz_reset_all_in: ロジッ ク High にアサート されます。 TX 部と RX 部の PLL、 および GTH ト ランシーバーのアクティブなデータ方向を リセッ トするユーザー信号です。 このリセッ トは、 通常はスタート コンディシ ョ ン中はアサート されます。

• gtwiz_reset_rx_pll_and_datapath_in: ロジッ ク High にアサート されます。 GTH ト ランシーバーの RX データ方向および関連する PLL を リセッ トするユーザー信号です。 特に、 RX PLL の基準クロ ッ クが変更されたと きには、 このリセットが有用です。

• gtwiz_reset_rx_datapath_in: ロジッ ク High にアサート されます。 ト ランシーバー プリ ミ ティブの RX データ方向を リセッ トするユーザー信号です。 このリセッ ト信号は、 tx_mode、 rx_m および rx_mux_pattern ポートの少なく と も 1 つが変更される と、 SDI RX アプリ ケーシ ョ ンに対してアサート されます。

CPLL および QPLL は、 <6.6 Gb/s ビッ ト レートに対して ±1250 ppm の許容範囲があるため、 1 つの CPLL または QPLL で SD-SDI から 3G-SDI のすべてのビッ ト レート (0 ppm および 1,000 ppm) をサポート します。

SDI モード (SD-SDI、 HD-SDI および 3G-SDI) が変わる と、 次の 4 つの項目の 1 つまたは複数を変更する必要があ り ます。

• rxcdrhold ポート

• イコライゼーシ ョ ンの有効化/無効化 (LPM および DFE)

• RXCDR_CFG 属性

• RXOUT_DIV 属性

RXCDR_CFG2 および RXOUT_DIV 属性は、 DRP を介して変更されます。 RX SDI モードが SD-SDI の場合は、 rxcdrhold ポート をロジッ ク High にアサート します。 LPM および DFE は、 SD-SDI の場合に無効に設定し、 その他の SDI ライン レートの場合に有効に設定します。 HD-SDI および 3G-SDI へ切り替えて現在のライン レートに CDR を 適化する と、RXCDR_CFG2 属性が変更されます。 RXOUT_DIV 属性は、 GTH RX 用のシ リ アル ク ロ ッ ク分周器を制御します。 GTH RX は、 これらの 4 つのいずれかに動的変更された後、 GT Wizard IP の gtwiz_reset_rx_datapath_in ポート を使用して リセットする必要があ り ます。 SDI モードの変更シーケンスでこれらの 1つ以上が変更される場合は、 すべての変更が行われた後に gtwiz_reset_rx_datapath_in を 1 サイクル間アサートする必要があ り ます。

SDI ラ ッパーには RX 部のリセッ ト入力が 2 つあり ます。

• rx_rst_in: ロジッ ク High にアサート される と、 SDI コアの SDI RX データ パス、 RX コン ト ローラー モジュール、 および GTH ト ランシーバーの RX 部を リセッ ト します。

• gth_wiz_reset_rx_pll_and_datapath_in: ロジッ ク High にアサート される と、 RX に関連する PLL を リセッ ト してから、GTH ト ランシーバーの RX 部を リセッ ト します。

SDI アプリケーシ ョ ンの GTH PLL の使用例

このセクシ ョ ンでは、 SDI アプリ ケーシ ョ ンで使用される PLL およびト ランシーバーの一般的なコンフ ィギュレーシ ョ ンについて説明します。 すべてのコンフ ィギュレーシ ョ ンについて言及しているわけではあ り ませんが、 PLL のリセッ ト信号と ロ ッ ク信号の適切な接続については十分に説明しています。

SDI ラ ッパーには 4 つの固定パラ メーターがあ り、 これらは QPLL からのシ リ アル ク ロ ッ ク ソース、 または CPLL からのシ リ アル ク ロ ッ ク ソースを指定します。 PLL ク ロ ッ クの配線は、 これらの属性で制御されません。 これらは、適切な RX および TX シ リ アル ク ロ ッ ク分周器の値を計算し、 TX の場合は、 rx_m および tx_m の現在の値に基づいて、 GTH Wizard

リファレンス デザイン

XAPP1290 (v1.1) 2016 年 9 月 29 日 10japan.xilinx.com

IP の rxpllclksel_in および txpllclksel_in を駆動する値を計算するために使用されます。 これらの 4 つのパラ メーターは、 2 ビッ ト バイナリ値で、 次の説明のとおりに指定する必要があ り ます。

• RXPLLCLKSEL_RX_M_0 パラ メーターは、 rx_m がロジッ ク Low のと きの GTH RX のクロ ッ ク ソースに基づいて、2'b00 (CPLL)、 2'b11 (QPLL0)、 あるいは 2'b10 (QPLL1) に設定します。

• RXPLLCLKSEL_RX_M_1 パラ メーターは、 2'b00 (CPLL)、 2'b11 (QPLL0)、 あるいは 2'b10 (QPLL1) に設定します。 このアプリ ケーシ ョ ン ノートおよびリ ファレンス デザインでは、 このパラ メーターを使用しません。 このパラメーターは RXPLLCLKSEL_RX_M_0 と同じ値に設定できます。

• TXPLLCLKSEL_TX_M_0 パラ メーターは、 tx_m がロジッ ク Low のと きの GTH TX のクロ ッ ク ソースに基づいて、2'b00 (CPLL)、 2'b11 (QPLL0)、 あるいは 2'b10 (QPLL1) に設定します。

• TXPLLCLKSEL_TX_M_1 パラ メーターは、 tx_m がロジッ ク High のと きの GTH TX のクロ ッ ク ソースに基づいて、2'b00 (CPLL)、 2'b11 (QPLL0)、 あるいは 2'b10 (QPLL1) に設定します。

TX ク ロ ッ クには 2 つのパラ メーターがあ り、 SDI ラ ッパーの tx_m ポート を使用する 2 つの PLL ク ロ ッ ク ソース間の動的切り替えに対応します。 TXPLLCLKSEL_TX_M_0 は、 tx_m がロジッ ク Low のと きに txpllclksel_in を駆動するために使用され、 TXPLLCLKSEL_TX_M_1 は、 tx_m がロジッ ク High のと きに使用されます。 TX PLL を動的に切り替えないアプリ ケーシ ョ ンでは、 TX PLL のクロ ッ ク ソースに従って、 TXPLLCLKSEL_TX_M_0 と TXPLLCLKSEL_TX_M_1 に同じ値を指定して ください。

使用モデル 1:

使用モデル 1 はクワ ッ ド内のアクティブな ト ランシーバー 1 つで構成され、RX は QPLL1 を使用し、TX は QPLL0/QPLL1 を動的に切り替えます。

この使用モデルでは、 1 つの QPLL しか使用されません。 3G-SDI の両方のビッ ト レートおよびそれよ り低いライン レートは、 TX および RX でサポート されます。 TX は、 QPLL1 と CPLL との間で切り替え可能ですが、 RX は、 < 6.6 Gb/s のビッ ト レートで許容誤差が ±1250 ppm の QPLL1 を使用します。 図 4 に使用モデル 1 を示します。

次の接続が必要です。

• 1 つの基準クロ ッ クを gth_qpll1_refclk_p_in および gth_qpll1_refclk_n_in ポートへ接続します。

• 1 つの基準クロ ッ クを gth_cpll_refclk_p_in および gth_cpll_refclk_n_in ポートへ接続します。

X-Ref Target - Figure 4

図 4: PLL 使用モデル 1 および 2

リファレンス デザイン

XAPP1290 (v1.1) 2016 年 9 月 29 日 11japan.xilinx.com

• gth_qpll0_refclk_p_in と gth_qpll0_refclk_n_in ポートはロジッ ク Low に接続します。

• gth_drpclk_in は、 GTH Wizard IP の生成中に指定されたクロ ッ クに接続します。 このアプ リ ケーシ ョ ン ノートでは 27 MHz です。

• gth_wiz_reset_tx_pll_and_datapath_in 入力ポートは、 QPLL1 および CPLL への基準クロ ッ ク ソースが安定している場合のみロジッ ク Low になる必要があ り ます。

• gth_wiz_reset_rx_pll_and_datapath_in 入力ポートは、 QPLL1 への基準クロ ッ ク ソースが安定している場合のみロジック Low になる必要があ り ます。

• SDI ラ ッパー サポートの RXPLLCLKSEL_RX_M_0 パラ メーターは、 2'b10 (QPLL1) に設定します。

• SDI ラ ッパー サポートの RXPLLCLKSEL_RX_M_1 パラ メーターは、 2'b10 (QPLL1) に設定します。

• SDI ラ ッパー サポートの TXPLLCLKSEL_TX_M_0 パラ メーターは、 2'b10 (QPLL1) または 2'b00 (CPLL) に設定します。

• SDI ラ ッパー サポートの TXPLLCLKSEL_TX_M_1 パラ メーターは、 基準クロ ッ クの接続に応じて 2'b00 (CPLL) または 2'b10 (QPLL1) のいずれかに設定する必要があ り、 TXPLLCLKSEL_TX_M_0 では使用されません。

• 基準クロ ッ クの変更や中断によって QPLL1 を リセッ ト しなければならない場合は、 SDI ラ ッパー サポートの gth_qpll1_reset_in 入力をアサート します。

注記: 使用モデルは QPLL1 の代わりに QPLL0 を使用するこ と もできます。 この場合、 パラ メーターは 2'b11 (QPLL0) に設定する必要があ り ます。 基準クロ ッ ク ポートはそれに従って接続します。

使用モデル 2

使用モデル 2 はクワ ッ ド内のアクティブな ト ランシーバー 1 つで構成され、 RX は CPLL を使用し、 TX は QPLL0/QPLL1 を動的に切り替えます。

この使用モデルでは、 1 つの QPLL しか使用されません。 3G-SDI の両方のビッ ト レートおよびそれよ り低いライン レートは、 TX および RX でサポート されます。 TX は、 QPLL1 と CPLL との間で切り替え可能ですが、 RX は、 < 6.6 Gb/s のビッ ト レートで許容誤差が ±1250 ppm の CPLL を使用します。 図 4 に使用モデル 2 を示します。

次の接続が必要です。

• 1 つの基準クロ ッ クを gth_qpll1_refclk_p_in および gth_qpll1_refclk_n_in ポートへ接続します。

• 1 つの基準クロ ッ クを gth_cpll_refclk_p_in および gth_cpll_refclk_n_in ポートへ接続します。

• gth_qpll0_refclk_p_in と gth_qpll0_refclk_n_in ポートはロジッ ク Low に接続します。

• gth_drpclk_in は、 GTH Wizard IP の生成中に指定されたクロ ッ クに接続します。 このアプ リ ケーシ ョ ン ノートでは 27 MHz です。

• gth_wiz_reset_tx_pll_and_datapath_in 入力ポートは、 QPLL1 および CPLL への基準クロ ッ ク ソースが安定している場合のみロジッ ク Low になる必要があ り ます。

• gth_wiz_reset_rx_pll_and_datapath_in 入力ポートは、 QPLL1 への基準クロ ッ ク ソースが安定している場合のみロジック Low になる必要があ り ます。

• SDI ラ ッパー サポートの RXPLLCLKSEL_RX_M_0 パラ メーターは、 2'b00 (CPLL) に設定します。

• SDI ラ ッパー サポートの RXPLLCLKSEL_RX_M_1 パラ メーターは、 2'b00 (CPLL) に設定します。

• SDI ラ ッパー サポートの TXPLLCLKSEL_TX_M_0 パラ メーターは、 2'b10 (QPLL1) または 2'b00 (CPLL) に設定します。

• SDI ラ ッパー サポートの TXPLLCLKSEL_TX_M_1 パラ メーターは、 基準クロ ッ クの接続に応じて 2'b00 (CPLL) または 2'b10 (QPLL1) のいずれかに設定する必要があ り、 TXPLLCLKSEL_TX_M_0 では使用されません。

• 基準クロ ッ クの変更や中断によって QPLL1 を リセッ ト しなければならない場合は、 SDI ラ ッパー サポートの gth_qpll1_reset_in 入力をアサート します。

注記: 使用モデルは QPLL1 の代わりに QPLL0 を使用するこ と もできます。 この場合、 パラ メーターは 2'b11 (QPLL0) に設定する必要があ り ます。 基準クロ ッ ク ポートはそれに従って接続します。

使用モデル 3

使用モデル 3 はクワ ッ ド内の複数のアクティブ ト ランシーバーで構成され、 すべての RX は QPLL1 を使用し、 すべての TX は QPLL1/CPLL を動的に切り替えます。

リファレンス デザイン

XAPP1290 (v1.1) 2016 年 9 月 29 日 12japan.xilinx.com

この使用モデルには、 クワッ ド内に複数のアクティブ ト ランシーバーがあ り ます。 すべてのレシーバーは、 QPLL1 からクロ ッ ク供給されます。 各ト ランス ミ ッ ターは、 QPLL1 および CPLL を動的に切り替えてどちらかのクロ ッ クで駆動されます。 図 5 に使用モデル 3 を示します。

次の接続が必要です。

• 基準クロ ッ クを gth_qpll0_refclk_p/n_in および gth_qpll1_refclk_p/n_in ポートへそれぞれ接続します。

• gth_cpll_refclk_p_in と gth_cpll_refclk_n_in ポートはロジッ ク Low に接続します。

• gth_drpclk_in は、 GTH Wizard IP の生成中に指定されたクロ ッ クに接続します。 SDI サンプル デザインでは 27 MHz です。

• gth_wiz_reset_tx_pll_and_datapath_in 入力ポートは、 QPLL1 および CPLL への基準クロ ッ ク ソースが安定している場合のみロジッ ク Low になる必要があ り ます。

• gth_wiz_reset_rx_pll_and_datapath_in 入力ポートは、 QPLL1 への基準クロ ッ ク ソースが安定している場合のみロジック Low になる必要があ り ます。

• SDI ラ ッパー サポートおよび SDI ラ ッパーの RXPLLCLKSEL_RX_M_0 パラ メーターは、 2'b10 (QPLL1) に設定します。

• SDI ラ ッパー サポートおよび SDI ラ ッパーの RXPLLCLKSEL_RX_M_1 パラ メーターは、 2'b10 (QPLL1) に設定します。

• SDI ラ ッパー サポートおよび SDI ラ ッパーの TXPLLCLKSEL_TX_M_0 パラ メーターは、 2'b10 (QPLL1) または 2'b00 (CPLL) に設定します。

• SDI ラ ッパー サポートおよび SDI ラ ッパーの TXPLLCLKSEL_TX_M_1 パラ メーターは、 2'b10 (QPLL1) または 2'b00 (CPLL) に設定します。

• 基準クロ ッ クの変更や中断によって QPLL1 を リセッ ト しなければならない場合は、 SDI ラ ッパー サポートの gth_qpll1_reset_in 入力をアサート します。

SDI ラ ッパー サポートの qpll0/1_clk、 qpll0/1_refclk、 および qpll0/1_lock 出力ポートは、 SDI ラ ッパーの対応するポートへ接続します。

注記: 使用モデルは QPLL1 の代わりに QPLL0 を使用するこ と もできます。 この場合、 パラ メーターは 2'b11 (QPLL0) に設定する必要があ り ます。 基準クロ ッ ク ポートはそれに従って接続します。

リファレンス デザイン

XAPP1290 (v1.1) 2016 年 9 月 29 日 13japan.xilinx.com

X-Ref Target - Figure 5

図 5: 使用モデル 3 および 4

External Refclk Source qpll0_refclk

qpll0_lock

SDI Wrapper

qpll0_clkQPLL0

qpll0_reset

External Refclk Source

IBUFDS_GTE3

qpll1_reset

GT Wizard IP

SMPTE-SDI Core

Control Module

txusrclkrxusrclk

gth_reset_allgth_reset_tx_pll_and_datapathgth_reset_rx_pll_and_datapath

gth_drpclk

SDI Wrapper Support

gth_qpll0_reset_in

gth_qpll1_reset_in txpllclksel_inrxpllclksel_in

SDI Wrapper

GT Wizard IP

SMPTE-SDI Core

Control Module

txusrclkrxusrclk

gth_reset_allgth_reset_tx_pll_and_datapathgth_reset_rx_pll_and_datapath

txpllclksel_inrxpllclksel_in

SDI Wrapper

GT Wizard IP

SMPTE-SDI Core

Control Module

txusrclkrxusrclk

gth_reset_allgth_reset_tx_pll_and_datapathgth_reset_rx_pll_and_datapath

txpllclksel_inrxpllclksel_in

IBUFDS_GTE3GTHE3_COMMON

CPLL

TX

リファレンス デザイン

XAPP1290 (v1.1) 2016 年 9 月 29 日 14japan.xilinx.com

使用モデル 4

使用モデル 4 はクワ ッ ド内の複数のアクティブ ト ランシーバーで構成され、 すべての RX は CPLL を使用し、 すべての TX は QPLL1/CPLL を動的に切り替えます。

この使用モデルでは、 複数のト ランシーバーがクワ ッ ド内でアクティブになっています。 すべてのレシーバーは、 QPLL1 から クロ ッ ク供給されます。 各ト ランス ミ ッ ターは、 QPLL1 および CPLL を動的に切り替えてどちらかのクロ ッ クで駆動されます。 図 5 に使用モデル 4 を示します。

次の接続が必要です。

• 基準クロ ッ クを gth_qpll0_refclk_p/n_in および gth_qpll1_refclk_p/n_in ポートへそれぞれ接続します。

• gth_cpll_refclk_p_in と gth_cpll_refclk_n_in ポートはロジッ ク Low に接続します。

• gth_drpclk_in は、 GTH Wizard IP の生成中に指定されたクロ ッ クに接続します。 SDI サンプル デザインでは 27 MHz です。

• gth_wiz_reset_tx_pll_and_datapath_in 入力ポートは、 CPLL への基準クロ ッ ク ソースが安定している場合のみロジッ ク Low になる必要があ り ます。

• gth_wiz_reset_rx_pll_and_datapath_in 入力ポートは、 QPLL1 への基準クロ ッ ク ソースが安定している場合のみロジック Low になる必要があ り ます。

• SDI ラ ッパー サポートおよび SDI ラ ッパーの RXPLLCLKSEL_RX_M_0 パラ メーターは、 2'b00 (CPLL) に設定します。

• SDI ラ ッパー サポートおよび SDI ラ ッパーの RXPLLCLKSEL_RX_M_1 パラ メーターは、 2'b00 (CPLL) に設定します。

• SDI ラ ッパー サポートおよび SDI ラ ッパーの TXPLLCLKSEL_TX_M_0 パラ メーターは、 2'b10 (QPLL1) または 2'b00 (CPLL) に設定します。

• SDI ラ ッパー サポートおよび SDI ラ ッパーの TXPLLCLKSEL_TX_M_1 パラ メーターは、 2'b10 (QPLL1) または 2'b00 (CPLL) に設定します。

• 基準クロ ッ クの変更や中断によって QPLL1 を リセッ ト しなければならない場合は、 SDI ラ ッパー サポートの gth_qpll1_reset_in 入力をアサート します。

注記: 使用モデルは QPLL1 の代わりに QPLL0 を使用するこ と もできます。 この場合、 パラ メーターは 2'b11 (QPLL0) に設定する必要があ り ます。 基準クロ ッ ク ポートはそれに従って接続します。

使用モデル 5

使用モデル 5 はクワ ッ ド内のアクティブな ト ランシーバー 1 つで構成され、RX は QPLL1 を使用し、TX は QPLL0/QPLL1 を動的に切り替えます。

使用モデル 5 は 2 つの QPLL を使用します。 3G-SDI のビッ ト レートおよびそれよ り低いライン レートは、TX および RX でサポート されます。 TX は、 QPLL0 と QPLL1 との間で切り替え可能です。 RX は、 < 6.6 Gb/s のビッ ト レートで許容誤差が ±1250 ppm の QPLL1 を使用します。 図 3 および図 6 に、 使用モデル 5 を示します。

リファレンス デザイン

XAPP1290 (v1.1) 2016 年 9 月 29 日 15japan.xilinx.com

次の接続が必要です。

• 1 つの基準クロ ッ クを gth_qpll1_refclk_p_in および gth_qpll1_refclk_n_in ポートへ接続します。

• 1 つの基準クロ ッ クを gth_qpll0_refclk_p_in および gth_qpll0_refclk_n_in ポートへ接続します。

• gth_drpclk_in は、 GTH Wizard IP の生成中に指定されたクロ ッ クに接続します。 SDI サンプル デザインでは 27 MHz です。

• gth_wiz_reset_tx_pll_and_datapath_in 入力ポートは、 QPLL0 および QPLL1 への基準クロ ッ ク ソースが安定している場合のみロジッ ク Low になる必要があ り ます。

• gth_wiz_reset_rx_pll_and_datapath_in 入力ポートは、 QPLL1 への基準クロ ッ ク ソースが安定している場合のみロジック Low になる必要があ り ます。

• SDI ラ ッパー サポートの RXPLLCLKSEL_RX_M_0 パラ メーターは、 2'b10 (QPLL1) に設定します。

• SDI ラ ッパー サポートの RXPLLCLKSEL_RX_M_1 パラ メーターは、 2'b10 (QPLL1) に設定します。

• SDI ラ ッパー サポートの TXPLLCLKSEL_TX_M_0 パラ メーターは、 2'b10 (QPLL1) または 2'b11 (QPLL0) に設定します。

• SDI ラ ッパー サポートの TXPLLCLKSEL_TX_M_1 パラ メーターは、基準クロ ッ クの接続に応じて 2'b11 (QPLL0) または 2'b10 (QPLL1) のいずれかに設定する必要があ り、 TXPLLCLKSEL_TX_M_0 では使用されません。

• 基準クロ ッ クの変更や中断によって QPLL1 を リセッ ト しなければならない場合は、 SDI ラ ッパー サポートの gth_qpll1_reset_in 入力をアサート します。

• 基準クロ ッ クの変更や中断によって QPLL0 を リセッ ト しなければならない場合は、 SDI ラ ッパー サポートの gth_qpll0_reset_in 入力をアサート します。

X-Ref Target - Figure 6

図 6: 使用モデル 5

リファレンス デザイン

XAPP1290 (v1.1) 2016 年 9 月 29 日 16japan.xilinx.com

SDI 電気的インターフェイス

GTH ト ランシーバーから /GTH ト ランシーバーへ送信されるシ リアル信号を SDI の電気的規格へ変換するには、 外部に SDI ケーブル イコライザーとケーブル ド ラ イバーが必要です。

外部 SDI ケーブル イコライザーを使用し、シングルエンドの 75 Ω SDI 信号を GTH ト ランシーバーのレシーバー入力信号要件に対応する 50 Ω 差動信号へ変換する必要があ り ます。 複数のメーカーが、 それぞれに適切な SDI ケーブル イコライザーを提供しています。 これらのケーブル イコライザーの差動出力は、 同相電圧の差が生じるため、 通常、 AC カップ リングを用いて GTH レシーバー入力信号と接続する必要があ り ます。図 7 に、標準的な SDI ケーブル イコライザーと GTH のインターフェイス例を示します。

注記: 図 7 に示す SDI ケーブル イコライザーと BNC コネクタ間のネッ ト ワークに関しては、 SDI ケーブル イコライザーのメーカーが提供する資料を参照して ください。

重要: 外部 SDI ケーブル イコライザーと GTH レシーバーのシ リ アル入力間の AC カップリ ング キャパシタの電気容量値は、 SDI パソロジカル信号を減衰させるこ とな く渡すのに十分な大きさが必要です。 少なく と も 1.0 µF 以上のキャパシタが必要で、 推奨値は 4.7 µF です。

GTH レシーバーの差動入力には、 ビルト インの差動終端があ り ます。 SDI アプリ ケーシ ョ ンにおける GTH レシーバー入力の終端モードは、 『7 シ リーズ FPGA GTP ト ランシーバー ユーザー ガイ ド』 (UG482) [参照 6] で説明する RX 終端使用モード 3 が推奨されています。 SDI アプリ ケーシ ョ ンの場合、 GTH の内部プログラム可能な終端電圧は 800 mV に設定します。

GTH ト ランス ミ ッ ターの差動シ リアル出力は、 SDI ケーブル ド ラ イバーの入力へ接続し、 通常は AC カップリ ングを用いて接続します (図 8 参照)。

注記: 図 8 に示す SDI ケーブル イコライザーと BNC コネクタ間のネッ ト ワークに関しては、 SDI ケーブル イコライザーのメーカーが提供する資料を参照して ください。

X-Ref Target - Figure 7

図 7: SDI ケーブル イコライザーと GTH レシーバー入力のインターフェイス

4.7 F typ

50

504.7 F typ800mV

X-Ref Target - Figure 8

図 8: SDI ケーブル ド ライバーと GTH ト ランスミ ッ ター出力のインターフェイス

4.7 F typ

4.7 F typ

リファレンス デザイン

XAPP1290 (v1.1) 2016 年 9 月 29 日 17japan.xilinx.com

ケーブル ド ラ イバーは、 電気的特性が SDI 仕様を満たすよ うに、 GTH ト ランス ミ ッ ターからの差動信号をシングルエンド信号へ変換します。 通常、 SDI ケーブル ド ラ イバーには、 スルー レート を設定するためのスルー レート制御入力があり ます。 SD-SDI のスルー レート要件は、 HD-SDI および 3G-SDI のスルー レート要件とは大き く異なり ます。 SDI ケーブル ド ラ イバーのスルー レート制御入力は一般的に FPGA で制御されます。 SDI サンプル デザインで提供する制御モジュールは、 その他の使用例で外部の SDI ケーブル ド ラ イバーで使用するためのスルー レート制御入力を生成します。

重要: GTH ト ランス ミ ッ ター シ リアル出力と外部 SDI ケーブル ド ラ イバーの入力間の AC カップリ ング キャパシタの電気容量値は、 SDI パソロジカル信号を減衰させるこ とな く渡すのに十分な大きさが必要です。 少なく と も 1.0 µF 以上のキャパシタが必要で、 推奨値は 4.7 µF です。

SD-SDI の考察

SD-SDI の受信

270 Mb/s ビッ ト レートの SD-SDI は、GTH レシーバーでサポート されている 低ライン レート よ り も低くな り ます。 270 Mb/s の SD-SDI を受信するために、 GTH レシーバーを非同期オーバーサンプラーと して使用し、 ビッ ト ト ランザクシ ョンが行われる場所を問わずに 270 Mb/s の 11 倍 (2.97 ギガサンプル/秒) で SD-SDI ビッ ト ス ト リームをサンプリ ングします。 GTH レシーバーのクロ ッ ク データ リ カバリ (CDR) ユニッ トが GTH ト ランシーバーの rxcdrhold 入力ポート をロジック High にアサート し、 基準クロ ッ クにロ ッ ク します。 これによ り、 CDR が低速な SD-SDI 信号にロッ クするこ とを防ぎ、SD-SDI 信号のオーバーサンプリ ングをよ り一定して実行できます。

SD-SDI 信号を受信する際には、 LPM (低電力モード ) の自動適応機能と DFE (判定帰還等化) を無効にする必要があ り ます。 低速ビッ ト レートでの長いラン レングスは、 イコライザーに問題が生させます。 LPM の自動適応機能は、GTHE3_CHANNEL プリ ミ ティブの次のポート をロジッ ク High にアサート して無効化します。

• RXLPMGCOVRDEN

• RXLPMHFOVRDEN

• RXLPMLFKLOVRDEN

• RXLPMOSOVRDEN

• RXOSOVRDEN

DFE イコライゼーシ ョ ンは、 GTHE3_CHANNEL プリ ミ ティブの次のポート をロジッ ク High にアサート して無効化します。

• RXDFEAGCOVRDEN

• RXDFELFOVRDEN

• RXDFETAP2OVRDEN

• RXDFETAP3OVRDEN

• RXDFETAP4OVRDEN

• RXDFETAP5OVRDEN

• RXDFETAP6OVRDEN

• RXDFETAP7OVRDEN

• RXDFETAP8OVRDEN

• RXDFETAP9OVRDEN

• RXDFETAP10OVRDEN

• RXDFETAP11OVRDEN

• RXDFETAP12OVRDEN

• RXDFETAP13OVRDEN

• RXDFETAP14OVRDEN

• RXDFETAP15OVRDEN

• RXDFEUTOVRDEN

リファレンス デザイン

XAPP1290 (v1.1) 2016 年 9 月 29 日 18japan.xilinx.com

GTH Wizard IP のこれらのポートは UltraScale FPGAs Transceivers Wizard によってデフォルトで有効に設定されないため、手動で有効化する必要があ り ます。 これらのポートは、 ポート名の末尾に with _in が付加された形でウ ィザードの [Structural Options] タブに表示されます。GTH ト ランシーバー ラ ッパーの rxcdrhold_in ポート を GTH Wizard IP のこれらのポートに接続します。 レシーバーが SD-SDI モードのと き、 rxcdrhold_in ポートは SDI 制御ロジッ クによってロジッ ク High に駆動されるため、 この方法で接続されている場合、 これらの 3 つのポートはロジッ ク High に駆動されます。

FPGA のプログラマブル ロジッ クに実装されたデータ リ カバリ ユニッ ト (DRU) は、 GTH RX でオーバーサンプルされた SD-SDI データを解析し、各ビッ トの も確実な値を決定して回復データを出力します。 この DRU は SDI コアの一部ではなく、 SDI サンプル デザインの SDI 制御モジュールの一部と して提供されています。

SDI サンプル デザインで提供される DRU は、 『高速シ リ アル I/O 向けに動的にプログラム可能な DRU』 (XAPP875) [参照 7] で説明しています。 このアプリ ケーシ ョ ン ノートは DRU の動作について言及していますが、SDI リ ファレンス デザインで DRU が使用する場合にその動作を理解している必要はあ り ません。

SMPTE SD-SDI 規格 259 [参照 1] では、 270 Mb/s 以外のビッ ト レート も定められています。 DRU は、 270 Mb/s シ リ アル データの 11 倍のオーバーサンプリ ングのみをサポートするために、 SDI 制御モジュールにインスタンシエート されています。 その他の SD-SDI ビッ ト レート をサポートする必要があるアプリ ケーシ ョ ンでは、 DRU はほかのビッ ト レート を受信できます。 DRU は分数のオーバーサンプリ ングをサポート しているため、 追加の RX 基準クロ ッ ク周波数を使用しな くても 270 Mb/s 以外の SD-SDI ビッ ト レート を受信できます。 SMPTE 規格 ST 344 [参照 1] で指定されている 540 Mb/s SD-SDI ビッ ト レートは、 GTH ト ランシーバーでサポート されるライン レート範囲内です。 このため、 GTH レシーバーで 540 Mb/s を受信する目的で DRU を使用する必要はあ り ません。 ただし、 DRU を使用せずに 540 Mb/s ビッ ト レート を受信するには、 その他の SDI ビッ ト レートで使用されているものとは異なる基準クロ ッ ク周波数が必要です。 このため、DRU を使用して 5.5 倍のオーバーサンプリ ングで 540 Mb/s ST344 を受信した方が、標準の SDI 基準クロ ッ ク周波数を使用できるので、 よ り簡単な方法といえます。 SDI サンプル デザインは、 その他の SD-SDI ビッ ト レート をサポート しません。

DRU はリ カバリ ク ロ ッ クを提供しません。 また、 GTH レシーバーの CDR ユニッ トは、 その基準クロ ッ クにロ ッ ク されているため、 SD-SDI モードでは rxusrclk は入力されるビッ ト レートにロ ッ ク されません。 DRU は、 出力で 10 ビッ ト データ ワードが有効であるこ とを示すデータ ス ト ローブ信号を生成します。 SDI コアは、 このデータ ス ト ローブ信号を使用してクロ ッ ク イネーブルを生成します。 これは 27 MHz レートでアサート され、 GTH ト ランシーバーからの rxusrclk ク ロ ッ クに対して通常 5/6/5/6 のクロ ッ ク サイクル リ ズムでアサート されます。 SD-SDI 動作中の v_smpte_3gsdi_rxtx ラ ッパーからの rx_ce_out 信号は、 DRU のデータ ス ト ローブ信号で生成されるため、 同じ リ ズムとな り ます。 DRU データ スト ローブと rx_ce_sd 信号は、 通常の 5/6/5/6 リ ズムから外れる場合があ り ます。 これは、 実際の SD-SDI ビッ ト レート と、GTH レシーバーが使用する PLL へ供給されるローカル基準クロ ッ クの周波数の間に発生したずれを DRU が補正するために生じるものです。

図 9 に、 SD-SDI 動作中の 27 MHz の rx_ce_out ポート を示します。 画面中央の rx_ce_out の立ち上がりエッジでオシロスコープが ト リガーされています。 オシロスコープは無制限に継続するモードであ り、 数分間分の波形を取るこ とができます。 波形は色分けし、 信号の も標準的な位置を示す赤色から、 極めてまれな位置を示す青色で表示されています。 この画像の作成に用いられた SD-SDI 入力信号は、 GTH レシーバーで使用されるローカル基準クロ ッ ク と非同期です。 中心パルスの左側または右側のいずれかにある rx_ce_out 信号は、 中心パルスから常に 5 または 6 ク ロ ッ ク サイクルの間隔があり ます。 これは、 rx_ce_out 信号のリズムが 5/6/5/6 であるためです。

リファレンス デザイン

XAPP1290 (v1.1) 2016 年 9 月 29 日 19japan.xilinx.com

ト レースの左右両端にある 2 つのパルスは、 5/6/5/6 リ ズムによって中心パルスから名目上 11 サイクル ク ロ ッ ク離れています。 この名目上の位置は、 黄色と赤色のパルスでマーク されています。 一番右のパルスには、 中心パルスの立ち上がりエッジから 11 サイクル ク ロ ッ クの位置を縦方向の黄色い破線カーソルで示しています。 青色のパルスで両側を挟まれた黄色と赤色のパルスは、 ローカルの基準クロ ッ ク と入力される SD-SDI 信号の周波数差を補うために、 DRU が rx_ce_out の周期を 10 ク ロ ッ ク サイクルまたは 12 ク ロ ッ ク サイクルのいずれかにする必要があるこ とを表しています。

SDI サンプル デザインの SD-SDI DRU は、 生成済みファイルの nidru_20_wrapper.vhd 内に暗号化された状態で提供されています。 DRU で使用される暗号化は、 ほとんどの合成およびシ ミ ュレーシ ョ ン ソフ ト ウェアと互換性があ り ます。

SD-SDI の送信

SD-SDI の受信と同様に、 低速な 270 Mb/s SD-SDI ビッ ト レートの送信は、 GTH ト ランス ミ ッ ターで直接サポート されていません。 SD-SDI 信号を送信するには、 GTH TX を 2.97 Gb/s ライン レート用にコンフ ィギュレーシ ョ ンします。 SDI コアは送信される各ビッ ト を 11 回複製するため、 SDI コアから出力され て GTH Wizard IP の gth_txn_out ポートへ入力されるデータには、 各ビッ トが 11 回連続して複製されたものが含まれます。 終的に GTH ト ランス ミ ッ ターから出力される信号は、 有効な 270 Mb/s SD-SDI 信号とな り ます。

X-Ref Target - Figure 9

図 9: SD-SDI のクロック イネーブル

リファレンス デザイン

XAPP1290 (v1.1) 2016 年 9 月 29 日 20japan.xilinx.com

SD-SDI リカバリ クロックの生成

SD-SDI モードの場合、 CDR ユニッ トは SD-SDI ビッ ト ス ト リームではなく、基準クロ ッ クの周波数にロ ッ ク されるため、GTH レシーバーの rxusrclk はリ カバリ ク ロ ッ クではあ り ません。 入力される SD-SDI ビッ ト ス ト リームのデータ レートを示す唯一の信号は、 SDI ラ ッパーの 27 MHz rx_ce_out 出力です。

一部のビデオ アプリ ケーシ ョ ン、 特に、 SDI インターフェイス上に回復されたビデオ データを再送信する必要がないビデオ アプリ ケーシ ョ ンでは、 rx_ce_out ポートがリ カバリ ク ロ ッ ク と して十分機能します。 通常、 この信号は、 GTH RX レシーバーからの rxusrclk でクロッ ク供給されているダウンス ト リーム モジュール用のクロッ ク イネーブルと して使用されます。 SDI コア内の SDI データパスはこのよ うに機能しています (rx_ce_out ポート をクロ ッ ク イネーブルと して使用)。

GTH ト ランス ミ ッ ターを使用し、 受信したビデオ データを SD-SDI 信号と して再送信する場合は、 低ジッ ターのリ カバリ ク ロ ッ クが必要です。 リ カバリ ク ロ ッ クは、 GTH ト ランス ミ ッ ター向けにシ リ アル ク ロ ッ クを生成する PLL の基準クロ ッ ク と して使用できるよ うに、 十分に低ジッターの必要があ り ます。 GTH ト ランス ミ ッ ターが 11 倍のオーバーサンプリ ングを実行して 270 Mb/s SD-SDI データを送信できるよ うに、 リ カバリ ク ロ ッ クの周波数は 148.5 MHz となるこ とが求められます。 これには、 外部に配置される低帯域幅の PLL を使用する必要があ り ます。 Kintex UltraScale FPGA の MMCM ( ミ ッ クス ド モード ク ロ ッ ク マネージャー ) の帯域幅は広すぎるため、 SDI レシーバーから rx_ce_out ポートに現れる大量の低周波ジッターを適切に除去できません。 このジッ ター除去には、 Texas Instruments 社製 LMH1983 および Silicon Labs 社製 Si5328 が効果的です。 これらのデバイスは、 rx_ce_out ポート を 27 MHz の基準クロ ッ ク と して使用し、それを 大 148.5 MHz のいずれかまで逓倍できる と同時にジッターも除去できます。 終的にジッ ターが除去されたクロ ッ クは、 GTH ト ランス ミ ッ ターの基準クロ ッ ク と しての使用に 適です。 SDI サンプル デザインで提供するパススルー デモでは、 SD-SDI モードで、 このよ うにして Si5328 を使用して 27 MHz rx_ce_out ポートから GTH ト ランス ミ ッター用の 148.5 MHz 基準クロ ッ クを生成しています。 HD-SDI または 3G-SDI を再送信する場合は、 Si5328 を再プログラムして GTH レシーバーの rxusrclk 出力からジッ ターを排除し、 HD-SDI の場合はその周波数を 2 逓倍して GTH ト ランスミ ッ ター用に低ジッターの 148.5 MHz 基準クロ ッ クを生成します。

も う 1 つの方法は、 外部ゲンロ ッ ク PLL を使用し、 回復されたビデオ データからのビデオ同期信号にロッ ク させる方法です。 ゲンロ ッ ク PLL の出力は SD-SDI リ カバリ ク ロ ッ ク となるこ とがあ り ます。

リ カバリ ク ロ ッ クは、 外部のビデオ ASSP (Application-Specific Standard Product) デバイスの駆動に必要な場合があ り ます。SD-SDI モードの場合、 このよ うなクロ ッ クには 27 MHz 周波数が必要で、 rx_ce_out ポートに現れる信号よ り も低いジッターが求められるこ とがあ り ますが、 GTH TX の基準クロ ッ クを生成する場合と同様に極端に低いジッターは必要あ り ません。 前述のテクニッ クを使用するこ と も可能ですが、 外部コンポーネン ト を使用せずに FPGA 全体にリ カバリ ク ロ ックを生成する方が理想的です。 rx_ce_out ポートはジッ ターが大きすぎるため、 Kintex UltraScale FPGA MMCM の基準クロ ッ ク入力と して直接使用できませんが、 図 10 に示すよ うに、 未使用 GTH TX を用いて SD-SDI リ カバリ ク ロ ッ クを生成する方法があ り ます。

GTH Wizard IP の未使用 GTH TX の gtwiz_userdata_tx_in ポートへ制御モジュールの recclk_txdata ポート を接続します。GTH TX は、 SDI 入力信号を受信している GTH RX と同じ基準クロ ッ クを使用する必要があ り ます。 rxusrclk は、 GT Wizard IP の gtrefclk0_in へ配線可能で、 txpllclksel_in は CPLL を使用するよ うに設定する必要があ り ます。 GTH TX は、 エンコードなしで 20 ビッ トの gtwiz_userdata_tx_in ポート を使用する 2.97 Gb/s ライン レート用にコンフ ィギュレーシ ョ ンします。

X-Ref Target - Figure 10

図 10: GTH TX を使用して SD-SDI リカバリ クロックを生成

リファレンス デザイン

XAPP1290 (v1.1) 2016 年 9 月 29 日 21japan.xilinx.com

このよ うにコンフ ィギュレーシ ョ ンした場合、 GTH TX のシ リ アル出力は、 入力される SD-SDI 信号へ周波数がロッ ク された 270 MHz ク ロ ッ ク とな り、 SD-SDI の真のリ カバリ ク ロ ッ ク とな り ます。 GTH TX のシ リ アル出力ピンは、 CML 出力を正し く終端して LVDS へ転換するこ とで、 Kintex UltraScale FPGA のグローバルまたはリージ ョナル ク ロ ッ ク LVDS 入力へ接続できます。 さ らに、 FPGA 内で必要と される場合に 270 MHz ク ロ ッ クを使用できます。 たとえば、 このクロ ッ クを 10 で分周し、 内部/外部ビデオ データパスを駆動する 27 MHz リ カバリ ク ロ ッ クを得るこ とが可能です。 この信号は、十分ジッターが低いため、 MMCM の基準クロ ッ ク と して使用できます。

DRU の recclk_txdata ポートは、 SDI 制御モジュールから SDI ラ ッパーの出力ポートへ接続されていません。 この機能が必要な場合は、 この出力ポート を追加するために SDI ラ ッパーを変更できます。 図 11 に、 GTH ト ランス ミ ッ ターを使用して生成される SD-SDI リ カバリ ク ロ ッ クを示します。

SD-SDI リ カバリ ク ロ ッ クの生成に使用される GTH TX は、 SDI 用にコンフ ィギュレーシ ョ ンする必要はあ り ませんが、エンコードなしで常に 2.97 Gb/s のライン レートで動作するこ とが求められます。 制御モジュールの recclk_txdata ポートから GTH Wizard IP の gtwiz_userdata_tx_in ポートへ送信されたデータ信号が、 GTH TX シ リ アル出力ピンに対して 270 MHz ク ロ ッ クを生成します。 生成されたクロ ッ クのエッジは、 2.97 Gb/s ライン レートの ± 1 ビッ ト時間で変動し、 出力信号の周波数が入力 SD-SDI 信号のビッ ト レート と正確に一致するよ うに変更されます。 このため、 GTH TX で生成された 270 MHz ク ロ ッ クのサイクル間ジッターは、 ±337 ps (2.97 Gb/s の 1 ビッ ト時間は 337 ps) と GTH TX 出力信号に本来生じるジッ ターを合計した値となるこ とがあ り ます。 これを図 11 に示します。 一番上のト レースは、 GTH TX で生成された 270 MHz ク ロ ッ クです。 画面中央のリ カバリ ク ロ ッ クの立ち上がりエッジでスコープが ト リガーされています。 ト リガー ポイン トの左右いずれかのサイ クルの立ち上がりエッジを観察する と、 これらの立ち上がりエッジはそれぞれが明確に区別された立ち上がりポイン ト を示しているため、 ±337 ps のサイクル間ジッターを容易に確認できます。 図 11 の下にある ト レースは、 も う 1 つの GTH TX で再送信されている SD-SDI です。

X-Ref Target - Figure 11

図 11: GTH ト ランシーバーを使用して SD-SDI リカバリ クロックを生成

リファレンス デザイン

XAPP1290 (v1.1) 2016 年 9 月 29 日 22japan.xilinx.com

RX SDI モードの自動検出

SDI コアは、 GTH RX で受信する SDI 信号の SDI モード (SD、 HD または 3G-SDI) を自動で判断できます。 現時点で SDI 入力信号にロッ ク されていないと判断された場合、 SDI コアは GTH の rxdata 出力ポートに適切な SDI データを確実に検出するまで 3 つの異なる SDI モードで GTH RX を順に制御します。 これが検出される と、 SDI コアは rx_mode_locked_out ポート をアサート し、GTH CDR が SDI 信号へロッ ク したこ とを示します。sdi_mode_out ポートには RX がロ ッ ク した SDI モードが示されます。

こ こで注意すべき点は、rx_mode_locked 信号は GTH RX が SDI 信号にロッ ク しているこ とを SDI コアが認識しているか否かを示すにすぎません。 単に、 SDI コアのモード検索ステート マシンが依然と して正しい SDI モードを検索し続けているか否かを示すものです。 このため、 rx_mode_locked を SDI RX のロ ッ ク ステータスを示すインジケーターと見なすこ とはできません。

GTH RX が入力 SDI 信号にロッ ク しておらず、正しい SDI モードを決定するために SDI コアがアクティブに GTH RX を制御している場合、 rx_mode_locked 信号が一時的にアサート される可能性があ り ます。 これは、 入力されるデータが有効な SAV シーケンス と してランダムに現れる場合に生じるこ とがあ り ます。 SAV シーケンスが検出される と、 SDI コアは rx_mode_locked をアサート し、 検索を一時停止して適切なデータが受信されるのを待ちます。 しかし、 特定のタイムアウト期間内に適切なデータが受信されない場合は、 rx_mode_locked 信号がネゲート され、 SDI モード検索が再開されるこ とがあ り ます。

SDI モード検索アルゴ リズムは、 SDI ラ ッパーの rx_mode_en_in ポートで有効化された SDI モードにロ ッ ク しよ う とするだけです。 この 3 ビッ ト ポートには、 HD-SDI (bit 0)、 SD-SDI (bit 1)、 および 3G-SDI (bit 2) を有効化するためのビッ トがあ り ます。

rx_mode_en_in ポートは動的に変更可能です。 ただし、 rx_mode_en_in ポートのビッ ト を動的にク リ アするこ とで無効化されるモードに SDI RX がすでにロ ッ ク している場合、SDI RX はそのモードへのロ ッ クが自動的に外されるわけではあ り ません。 SDI RX は、入力 SDI 信号が変更または SDI RX がリセッ ト されるまで SDI モードにロ ッ ク されたままになり、 SDI モード検索アルゴ リズムに対して rx_mode_en_in ポートの新しい設定を使用して SDI モードを特定するよ うに強制します。

SDI コアの自動 SDI モード検索アルゴ リズムは無効にできます。 このアルゴ リズムは、 rx_mode_detect_en_in ポートがロジッ ク High の場合のみ有効になり ます。 このポートがロジッ ク Low の場合、 rx_forced_mode_in ポート を使用して SDI RX に対してどの SDI モードで動作するかを指示する必要があ り ます。 rx_mode_detect_en_in がロジッ ク Low に遷移し、SDI モード検索アルゴ リズムが無効の場合、 SDI RX は rx_forced_mode_in ポートで指定されたモードになり、rx_mode_locked 出力は常にロジッ ク High になり ます。 したがって、 rx_mode_locked はロッ ク インジケーターまたはこのモードのデータ有効インジケーターと して使用できません。 モード検索アルゴ リ ズムが無効の場合、 rx_forced_mode_in を動的に変更する と、 SDI 制御ロジッ クが新しい SDI モードの必要に応じて GTH RX の設定を動的に変更するこ とがあ ります。

RX のビッ ト レート検出

SDI コアは、 GTH RX で受信する SDI 信号の SDI モード (SD-SDI、 HD-SDI または 3G-SDI) を自動で判断できます。現時点で SDI 入力信号にロッ ク されていないと判断された場合、 SDI コアは GTH Wizard IP の gtwiz_userdata_rx_out 出力ポートに適切な SDI データを確実に検出するまで 3 つの異なる SDI モードで GTH RX を順に制御します。 これが検出される と、SDI コアは rx_mode_locked 出力をアサート し、 SDI 信号へロッ ク したこ とを示します。 rx_mode 出力ポートには RX がロ ッ ク した SDI モードが示されます。

SDI コアが HD-SDI または 3G-SDI の場合、 入力 SDI 信号のビッ ト レートが bitrate/1 または bitrate/1.001 (例: 3G-SDI の場合、 2.97 Gb/s または 2.97/1.001 Gb/s) のいずれであるかを判断する方法があ り ません。 ただし、 SDI サンプル デザインで提供する制御モジュールには、 1.485 Gb/s と 1.485/1.001 Gb/s および 2.97 Gb/s と 2.97/1.001 Gb/s を区別できるビッ ト レート検出器が含まれています。 入力 SDI 信号のビッ ト レートが bitrate/1 の場合、 SDI ラ ッパー出力ポート rx_m_out はロジッ ク Low で、 bitrate/1.001 の場合はロジッ ク High になり ます。

ビッ ト レート検出回路を駆動するには、 SDI ラ ッパーの rx_fxdclk_in 入力ポートに固定周波数クロ ッ クを供給する必要があ り ます。 このクロ ッ クの周波数は 10 MHz 以上にする必要があ り ます。 150 MHz 以上になる と、 ビッ ト レート検出ロジッ ク内でタイ ミ ングを満たすこ とが難し くなる可能性があ り ます。 SDI ラ ッパーのパラ メーター FXDCLK_FREQ は rx_fxdclk_in ポートへ接続されるクロ ッ ク周波数の指定に使用する必要があ り ます。 FXDCLK_FREQ の値は、 固定周波数クロ ッ クの周波数と同じに設定する必要があ り ます (Hz)。

リファレンス デザイン

XAPP1290 (v1.1) 2016 年 9 月 29 日 23japan.xilinx.com

SDI デモンスト レーシ ョ ンの例

このセクシ ョ ンでは、 SDI サンプル デザインの概要について説明します。 セッ ト アップから結果に至るまでのリ ファレンス デザインの実行手順は、 「 リ ファレンス デザインの実行手順」 に記述しています。

図 12 の SDI サンプル デザインのデモンス ト レーシ ョ ン ブロ ッ ク図では、 クワ ッ ド内の 初の GTH ト ランシーバーへ接続される SDI チャネル 0 を示しています。

SDI サンプル デザインは KCU105 ボードに SDI RX および SDI TX インターフェイスを実装します。 これは KCU105 ボードの HPC FMC コネクタへ接続される Fidus inrevium 6G/12G SDI FPGA メザニン カードを使用して、 完全なインターフェイスを実装します。 SDI サンプル デザインは、 ビデオ ジェネレーターで駆動される SDI ト ランス ミ ッ ターを 1 つ使用します。 これは、 SD-SDI、 HD-SDI および 3G-SDI (レベル A および B) 動作をサポート します。 SDI ト ランス ミ ッ ターは LogiCORE IP Virtual Input/Output コア (VIO) によって制御されます。 SDI サンプル デザインには、 SDI レシーバーも 1 つ含まれており、 ト ランス ミ ッ ターと同じモードで動作可能です。 SDI レシーバーのステータスは、 Vivado Analzyer VIO モジュールで監視します。 SDI レシーバーによるデータ ス ト リーム、 ライン番号、 およびビデオ タイ ミ ング信号の出力は、Integrated Logic Analyzer (ILA) IP コアに取り込まれて、 Vivado ロジッ ク解析で調べるこ とができます。

SDI TX は、 ビデオ パターン ジェネレーターで駆動されます。 SDI ト ランス ミ ッ ターの SDI モード、 ビデオ フォーマット、およびビデオ パターンは、 Vivado ハードウェア マネージャーの Vivado VIO ウ ィンド ウで選択できます。別の Vivado VIO ウ ィンド ウを使用して、 SDI RX のステータスを監視できます。 また、 SDI RX で受信したビデオ データは、 Vivado ILA ウ ィンド ウに表示できます。

Fidus inrevium 6G/12G SDI FPGA メザニン カードには、 SDI インターフェイス用のコネクタが 5 つあり ます。 詳細は、図 13 を参照して ください。 右端にある 2 つのコネクタは、 単方向のみの SDI インターフェイスです。 一番右が CH0 TX で、 その隣が CH0 RX です。 これらは、 このデモンス ト レーシ ョ ンで使用されるコネクタです。 2 つ目、 3 つ目、 4 つ目の SDI インターフェイスには、 それぞれ 1 つのコネクタ (CH1、 CH2、 CH3) があ り、 これらは双方向インターフェイスで、FMC カードの F_CHn_DIR ピンによって制御できます。

inrevium 社製 SDI FMC ボードには、 148.5 MHz および 148.5/1.001 MHz のオシレーターがあ り、 このデモンス ト レーシ ョンでは各ト ランシーバーへ送信される QPLL0 および QPLL1 に基準クロ ッ クを供給するためにそれぞれ使用しています。QPLL0 は 148.5 MHz 基準クロ ッ クを使用し、 QPLL1 は 148.5/1.001 MHz 基準クロ ッ クを使用します。 GTH ト ランス ミ ッ

X-Ref Target - Figure 12

図 12: SDI サンプル デザインのブロック図

リファレンス デザイン

XAPP1290 (v1.1) 2016 年 9 月 29 日 24japan.xilinx.com

ターは、 すべての SDI ビッ ト レートに対応するために QPLL0 および QPLL1 からのシ リ アル ク ロ ッ クを動的に切り換えます。

inrevium 社製のボード上にある LMH1983 デバイスは、 制御モジュールが必要とする DRP ク ロ ッ ク と固定周波数クロ ッ クに使用される 27 MHz ク ロ ッ クを FPGA に提供します。

このデモでは、 SDI インターフェイスを 大 4 つまで簡単に増加できるよ うに 4 チャネル SDI ラ ッパー (kugth_3gsdi_4ch_wrapper.v) が生成されており、 このモジュールには SDI ラ ッパー サポートが 1 つ、 SDI ラ ッパーが 3 つインスタンシエート されています。 Verilog の Generate 文に含まれるビデオ ジェネレーター、 メ イン、 および RX Vivado VIO によ り、 チャネル数を簡単に増加できます。

ソフ トウェア アプリケーシ ョ ン

Fidus inrevium 6G/12G SDI FPGA メザニン カードのコン ト ローラー ソフ トウェア

サンプル SDI デザイン には、 FMC カードの I2C および SPI デバイスへのアクセスおよび制御を行うために、 IP インテグレーター ベースの 6G/12G SDI FMC コン ト ローラー ソフ ト ウェアが含まれています。 コン ト ローラーは、 プロジェク ト階層内に system_basic と してインスタンシエート されており、 MicroBlaze アプリ ケーシ ョ ンを実行するために SPI、 IIC、および GPIO IP の各コアと基本 IP コンポーネン トで構成されています。GPIO によ り、コンフ ィギュレーシ ョ ン中の FMC チャネルの選択と初期化完了ステートへのアクセスが可能になり ます。

KCU105 ボード上の FPGA を初期化するために、 6G/12G SDI FMC コン ト ローラー ソフ ト ウェアは次の 3 つのタスクを実行します。

1. GTH ト ランシーバーに 148.35 MHz および 148.5 MHz の基準クロ ッ クを選択して設定します。

2. 出力電圧範囲の設定、 入力イコライゼーシ ョ ン係数の設定、 2 つの SDI ケーブル ド ラ イバー出力のうち 1 つを ミ ュートにするなど、 ジェネ リ ッ ク SPI バス デバイス (ケーブル ド ラ イバー、 イコライザー、 リ ク ロ ッ ク回路) を初期化します。

3. 各デバイスのダイ ID 番号に基づいて Fidus inrevium 6G/12G SDI FPGA メザニン カード上にある Macom ケーブル イコラ イザーおよびリ ク ロ ッ ク回路を初期化します。 エラーのない無償 SDI 受信には、 ダイ ID 番号ごとに特別な SPI レジスタの初期化が必要です。

注記: 6G/12G SDI FMC コン ト ローラー ソフ ト ウェアが記述された時点で考慮されたエラ ッ タは、 235x4-ERR-001-A、23145-ERR-001-A、 23145-ERR-001-C、 23145-ERR-001-D および M235x4-ERR-001-C です。

コン ト ローラー ソフ ト ウェアのメ イン メニューで、 デバイスの選択および変更が可能です。 次に、 コン ト ローラー ソフト ウェア インターフェイスを示します。

------------------------- FIDUS Main Menu -------------------------

Select option 1 = Re-Init 2 = IIC Dev Select 3 = SPI CH0 Select 4 = SPI CH1 Select 5 = SPI CH2 Select 6 = SPI CH3 Select ? = help------------------>

要件

XAPP1290 (v1.1) 2016 年 9 月 29 日 25japan.xilinx.com

ツール フローおよび検証

表 2 に、 リ ファレンス デザインで使用されるツール フローおよび検証手順を示します。

要件

このセクシ ョ ンでは、 SDI サンプル デザインを実行する上で必要な要件について説明します。

ハードウェア

• KCU105 評価 キッ ト [参照 8] には次が含まれます。

° KCU105 評価ボード、 リ ビジ ョ ン 1.0 またはそれ以降 [参照 9]

° 2 本の USB ケーブル (標準 A プラグ/micro-B プラグ)

° 電源: 100 VAC–240 VAC 入力、 12 VDC 5.0A 出力

• Fidus inrevium 6G/12G SDI FPGA メザニン カード (6G/12G SDI FMC)、 製品番号: TB-FMCH-12GSDI、 Fidus Systems Inc. [参照 10]

表 2: リファレンス デザインの詳細

パラメーター 説明

全般

開発者 Jerin Jacob、 Gilbert Magnaye

ターゲッ ト デバイスKintex UltraScale デバイス。 SDI サンプル デザインは、Kintex UltraScale XCKU040-2FFVA1156C FPGA を含む KCU105 評価ボードをターゲッ トにしています。

ソース コードの提供 あ り

ソース コードの形式 Verilog

既存のザイ リ ンクス アプリ ケーシ ョ ン ノート / リ ファレンス デザイン、 またはサードパーティからデザインへのコード /IP コアの使用

あ り。 Vivado IP カタログから生成される IP コア

シミ ュレーシ ョ ン

論理シ ミ ュレーシ ョ ンの実施 なし

タイ ミ ング シ ミ ュレーシ ョ ンの実施 なし

論理シ ミ ュレーシ ョ ンおよびタイ ミ ング シ ミ ュレーシ ョ ンでのテス トベンチの利用

なし

テス トベンチの形式 N/A

使用したシ ミ ュレータ /バージ ョ ン N/A

SPICE/IBIS シ ミ ュレーシ ョ ンの実施 N/A

インプリ メンテーシ ョ ン

使用した合成ツール/バージ ョ ン Vivado Design Suite 2016.1

使用したインプリ メンテーシ ョ ン ツール/バージ ョ ン Vivado Design Suite 2016.1

スタティ ッ ク タイ ミ ング解析の実施 あ り

ハードウェア検証

ハードウェア検証の実施 あ り

使用したハード ウェア プラ ッ ト フォーム KCU105 評価ボードおよび TB-FMCH-12GSDI ボード

リファレンス デザインの実行手順

XAPP1290 (v1.1) 2016 年 9 月 29 日 26japan.xilinx.com

• 2 本の HD-BNC プラグと BNC プラグ間のケーブル

• ビデオ テス ト ジェネレーター、 モニターおよびアナライザーが統合された PHABRIX 社の SxE Eye and Jitter ([参照 14]) といった SDI のソースおよびシンク

コンピューター

Vivado Design Suite の実行、 FPGA のコンフ ィギュレーシ ョ ン、 SDI サンプル デザインを制御およびモニターするための GUI インターフェイスの実行には、 1 台のコンピューターが必要です。 Microsoft Windows 7 オペレーティング システムのラ ップ ト ップまたはデスク ト ップで可能です。

ソフ トウェア

• Vivado® Design Suite 2016.1

• USB UART ド ラ イバー (CP210x VCP ド ラ イバー )

• Tera Term (ターミナル エミ ュレーター )

• 6G/12G SDI FMC 制御用の 6G/12G SDI FMC ソフ ト ウェア コン ト ローラー (SDI サンプル デザインに含まれる )

リファレンス デザイン ファイル

リ ファレンス デザイン ファ イルをダウンロード します。

ライセンス

SDI サンプル デザインで使用されている IP コアのライセンスがインス トールされているこ とを確認します。

リファレンス デザインの実行手順

セッ トアップ

ホスト コンピューターをセッ トアップする

インス トール済みでない場合は次の手順を実行します。

1. Vivado Design Suite 2016.1 またはそれ以降のバージ ョ ンをインス トールします。

2. Tera Term をダウンロード してインス トールします。 手順の詳細は、 『Tera Term ターミナル エミ ュレーター インストール ガイ ド』 (UG1036) [参照 11] を参照してください。

3. UART ド ラ イバーをダウンロード してインス トールします。 手順の詳細は、 『Silicon Labs CP210x USB-to-UART インス トール ガイ ド』 (UG1033) [参照 12] を参照してください。

ヒン ト : UART 通信パラ―メーターはこの後の手順で設定します。

KCU105 ボードのセッ トアップ

次の手順に従って、 FPGA をコンパイル済みビッ ト ファ イルでコンフ ィギュレーシ ョ ンします。

図 13 について説明します。

1. スイ ッチ SW1 を OFF の位置にして、 6G/12G SDI FMC を KCU105 ボード上の HPC-FMC コネクタに取り付けます。

2. J15 に電源を接続します。

リファレンス デザインの実行手順

XAPP1290 (v1.1) 2016 年 9 月 29 日 27japan.xilinx.com

3. KCU105 ボードの UART コネクタ (J4) と JTAG コネクタ (J87) に USB ケーブルを挿入してコンピューターに接続します。

4. 図に示すよ うに、 6G/12G SDI FMC SDI RX および TX コネクタを PHABRIX (ビデオ テス ト ジェネレーター、 モニター、 およびアナライザー ) に接続します

1. リ ファレンス デザイン ファ イルをダウンロード します。

2. Xapp1290-smpte-3gsdi-with-kintex-us-gth-trans.zip ファ イルを解凍します。

リファレンス デザインの実行

SDI ト ランス ミ ッ ターを制御したり、 VIO ウ ィンド ウでステータスや SDI レシーバーからの受信データを確認するには、Vivado ハードウェア マネージャーを使用します。

Vivado ハードウェア マネージャーによってコンフ ィギュレーシ ョ ン ファ イル kcu105_3gsdi_demo.bit が KCU105 ボード上の FPGA へロード されます。 コンフ ィギュレーシ ョ ンが完了する と、 ハード ウェア コンフ ィギュレーシ ョ ン ファ イル (bit_files.xpr) がロード されて、 3 つの HW_VIO と 1 つの HW_ILA が自動的に開きます。 SDI サンプル デザインでは、 Vivado プロジェク ト ファ イル (bit_files.xpr) が提供されているため、 デフォルトの HEX やバイナリ表示ではなく、 図 15 のよ うに [hw_vios] タブが表示されます。

次の手順に従って リ ファレンス デザインを実行します。

1. KCU105 ボードに電源を投入します。

2. KCU105 ボード システム コン ト ローラーへ接続して、 VADJ を 1.8V に設定します。

X-Ref Target - Figure 13

図 13: リファレンス デザインの実行に必要な接続

Wally

リファレンス デザインの実行手順

XAPP1290 (v1.1) 2016 年 9 月 29 日 28japan.xilinx.com

a. コンピューターでは、 Tera Term (115200、 8、 N、 1) を実行し、 COM ポート を KCU105 ボード システム コン トローラーと通信しているポートに設定します。

注記: コネクタ J4 (UART) で Zynq AP SoC システム コン ト ローラー UART と FPGA UART にアクセスします。Windows デバイス マネージャーで、 CP210x に関連付けられている拡張 COM ポートが、 システム コン ト ローラーに接続されているポートです。

3. Tera Term が拡張 COM ポートに接続されたら、 KCU105 ボードへの電源を切って入れ直し、 UART ターミナルのシステム コン ト ローラー メニューを リ フレッシュします。

4. システム コン ト ローラー メニューで次のオプシ ョ ンを選択します。

4.Adjust FPGA Mezzanine Card (FMC) Settings

5. 次のメニューで、 次の項目を選択します。

4.Set FMC VADJ to 1.8V

6. KCU105 ボード上の電源スイ ッチの近くに配置されている DS19 LED で VADJ のパワー グッ ド (正常動作) を見つけます (図 14)。 Vivado の Tcl コンソールに次を入力します。

cd <unzip_dir>\ready_for_download source bit_files.tcl

7. プロジェク ト をロード して FPGA のプログラ ミ ングが完了するまで待機します。

注記: SDI RX がロ ッ ク されていない場合は、 FMCH ポートへの VADJ 電源が 1.8V VADJ であるこ とを確認します。 パワー グッ ドであるかど うかは、 KCU105 ボードの DS19 LED でわかり ます。 LED がオフの場合は、 システム コン トローラー メニューから VADJ 電源を設定できます。

8. リ ファレンス デザインの初期ビッ ト ス ト リーム (golden.bin) で FPGA のコンフ ィギュレーシ ョ ンが正常に完了する と、 DONE LED が点灯し、 LED 0 が点滅します (図 14)。

SDI サンプル デザインは図 14 の GPIO LED を使用してステータスを示します。 表 3 に、各 LED に割り当てられたステータス タイプを示します。

X-Ref Target - Figure 14

図 14: FPGA プログラ ミングの完了

表 3: ステータス インジケーター

GPIO LED ステータス

1 RX は HD-SDI モードにロッ ク

2 RX は 3G-SDI モードにロ ッ ク

3 使用されない

4 使用されない

5 RX ビッ ト レート インジケーター

6 RX 変更完了インジケーター

7 FMC 初期化完了

リファレンス デザインの実行手順

XAPP1290 (v1.1) 2016 年 9 月 29 日 29japan.xilinx.com

図 15 に [hw_vios] タブを示します。

SDI ト ランス ミ ッ ターで生成される信号を観察するために、 ビデオ テス ト ジェネレーター /モニター /アナライザー [参照 14] を CH0 TX の出力へ接続します (図 13 参照)。 SDI ト ランス ミ ッ ター出力は、 ケーブルを使用して inrevium 社製 FMC の CH0 RX 入力へ接続するこ と もできます。

重要: Fidus inrevium 6G/12G SDI FPGA メザニン カード上の SDI コネクタは HD-BNC コネクタです。 HD-BNC プラグと BNC プラグ間にアダプター ケーブルが必要です。

各 SDI ト ランス ミ ッ ターには VIO 制御ウ ィンド ウが 1 つあり ます。 図 16 に TX01 の VIO 制御ウ ィンド ウを示します。

TX VIO ウ ィンド ウにある 初の 3 つのアイテムは、 それぞれ 後に実行された GTH TX 初期化シーケンスまたは動的変更シーケンスのステータスを示します。 後のシーケンスが正常に完了した場合は、 変更完了 (change_done) インジケーターがロジッ ク High になり ます。 シーケンスにエラーが発生した場合は、 変更エラー (change_fail) インジケーターが赤色になり、 変更エラー コード (change_fail_code) にエラーの原因が示されます (表 13 参照)。

X-Ref Target - Figure 15

図 15: Vivado ハードウェア マネージャーのメインおよび CH0 VIO 画面

X-Ref Target - Figure 16

図 16: SDI デモンスト レーシ ョ ンの TX0 VIO 制御ウィンドウ

リファレンス デザインの実行手順

XAPP1290 (v1.1) 2016 年 9 月 29 日 30japan.xilinx.com

tx_reset_done インジケーターは、 GTH Wizard IP の gth_wiz_txresetdone_out 出力ポートのステータスを示します。 正常に動作している場合、 このインジケーターはロジッ ク High になり ます。

表 4 に示すよ うに、 TX のビッ ト レート ト グル ボタン、 TX ビデオ フォーマッ トおよび TX SDI モードの組み合わせによって、 SDI ト ランス ミ ッ ターで生成される SDI 信号のフォーマッ トが決定します。

TX のビデオ パターン値には、SDI TX を駆動するビデオ パターン ジェネレーターで生成されるビデオ テス ト パターンを選択します。 HD-SDI および 3G-SDI モードの場合は、 3 つのテス ト パターンがあ り ます。

• 0 = SMPTE RP 219 カラー バー

• 1 および 3 = SDI パソロジカル チェッ クフ ィールド

• 2 = 75% カラー バー

SD-SDI モードの場合は、 2 つのテス ト パターンがあ り ます。

• 0 および 2 = SMPTE EG 1 カラー バー

• 1 および 3 = SDI パソロジカル チェッ クフ ィールド

表 4 に示す tx_mode_in 値のほかに、TX_MODE を 3'b011 に設定して 3G-SDI レベル B パターンを送信するこ と も可能です。

それぞれの SDI レシーバーには、 レシーバーのステータスをモニターするための VIO ウ ィンド ウ と、 SDI RX で受信したビデオ データを表示できる ILA ウ ィンド ウがあ り ます。 図 17 に、 RX0 の VIO ウ ィンド ウを示します。

表 4: クワッ ド SDI デモンスト レーシ ョ ンの TX ビデオ フォーマッ トの選択

TX ビデオフォーマッ ト

SD-SD(SDI モード = 1)

HD-SDI (SDIモード = 0)

3G-SDI レベル A(SDI モード = 2)

3G-SDI レベル B(SDI モード = 2)

TX ビッ トレート = 0

TX ビッ トレート = 1

TX ビッ トレート = 0

TX ビッ トレート = 1

TX ビッ トレート = 0

TX ビッ トレート =

0 NTSC720p50 Hz

1 PAL1080pSF

24 Hz1080pSF23.98 Hz

1080pSF24 Hz

1080pSF23.98 Hz

2 NTSC1080i60 Hz

1080i59.94 Hz

1080i60 Hz

1080i59.94 Hz

3 PAL1080i50 Hz

1080i 50 Hz

4 NTSC1080p30 Hz

1080p29.97 Hz

1080p60 Hz

1080p59.94 Hz

1080i 50 Hz2160p

59.94 Hz

5 PAL1080p25 Hz

1080p50 Hz

1080p50 Hz

6 NTSC1080p24 Hz

1080p23.98 Hz

7 PAL720p60 Hz

720p59.94 Hz

リファレンス デザインの実行手順

XAPP1290 (v1.1) 2016 年 9 月 29 日 31japan.xilinx.com

RX VIO ウ ィンド ウにある 初の 3 つのアイテムは、 それぞれ 後に実行された GTH RX 初期化シーケンスまたは動的変更シーケンスのステータスを示します。 後のシーケンスが正常に完了した場合は、 変更完了 (change_done) インジケーターがロジッ ク High になり ます。 シーケンスにエラーが発生した場合は、 変更エラー (change_fail) インジケーターが赤色になり、 変更エラー コード (change_fail_code) にエラーの原因が示されます (表 12 参照)。

RX エラー (rx_err) インジケーターは、 CRC または EDH エラーが検出された場合は High (赤色) になり、 エラーが検出されていない場合は Low (灰色) になり ます。 エラーの検出後、 RX エラー ク リ ア (rx_clr_errs) ボタンをク リ ッ ク して手動でリセッ ト されるまで、 このインジケーターは赤色のままです。 RX エラー カウン ト (rx_err_count) は、 カウンターが 後にク リ アされてから受信した CRC の数 (HD-SDI および 3G-SDI モードの場合) または EDH エラーの数 (SD-SDI モードの場合) を整数値で示します。 エラー カウンターは、 RX エラー ク リ ア ボタンをク リ ッ ク して手動でク リ アできます。 また、入力される SDI 信号がビッ ト レート を変更して SDI RX が信号へ再ロッ クする必要がある場合は、 エラー カウンターが自動的にク リ アされます。 ただし、 エラー カウンターは新しい SDI 信号へロッ クするプロセスの早い段階で自動ク リ アされるため、 SDI RX が新しい SDI 信号へ完全にロッ ク した時点でエラー数は通常 0 になり ません。

RX が 3G-SDI-SDI レベル B 信号を受信している場合、 RX レベル B (rx_level_b) インジケーターはロジッ ク High (青色) とな り、 その他の場合はロジッ ク Low (灰色) とな り ます。

RX ビッ ト レート (rx_bit_rate) には、 受信する SDI 信号のビッ ト レートが示されます。

RX SDI モード (rx_mode) は、 表 4 に準じて rx_mode_out の現在の値を示します。

SDI RX が入力される SDI 信号にロッ ク される と、 RX ロ ッ ク ステータス (rx_t_locked) がロジッ ク High (緑色) になり、ロ ッ ク されていない場合はロジッ ク Low (灰色) になり ます。

GTH Wizard IP が GTH RX リセッ ト シーケンスを完了する と、RX リセッ ト完了 (rx_resetdone) インジケーターがロジッ ク High (緑色) になり ます。

RX ビデオ ファ ミ リ (rx_t_family)、 RX フレーム レート伝送 (rx_t_rate)、 および RX スキャン モード (rx_t_scan) は、 検出されたビデオに関する情報を提供し、 これらは表 4 を使用してデコードできます。

X-Ref Target - Figure 17

図 17: SDI デモンスト レーシ ョ ンの RX ステータス ウィンドウ

リファレンス デザインの実行手順

XAPP1290 (v1.1) 2016 年 9 月 29 日 32japan.xilinx.com

ST 352 ペイロード ID データ バイ ト (rx_a/b_vpid) は、 4 データ バイ トの ST 352 ペイロード ID パケッ トです。 これらはバイ ト 1 が左側、 バイ ト 4 が右側にあ り、 ST 352 ペイロード パケッ ト有効 (rx_a/b_vpid_valid) が緑色の場合のみ有効です。

RX コン ト ローラー リセッ ト (rx_reset) ボタンは、 RX コン ト ローラー モジュールのリセッ ト ルーチンを開始します。

図 18 に、 入力される 12G-SDI ス ト リームの ILA ウ ィンド ウのスク リーン シ ョ ッ ト を示します。 ILA の使用法は、『Vivado Design Suite チュート リ アル: プログラムおよびデバッグ』 (UG936) [参照 13] を参照してください。

SDI インターフェイスの実装

SDI インターフェイスを実装するには、 次の手順に従います。

1. 「GTH Wizard IP の生成」

2. 「SMPTE SD/HD/3G-SDI LogiCORE IP (SDI コア) の生成」

3. 「SDI ラ ッパーのインスタンシエート 」

4. 「タ イ ミ ング制約の適用」

GTH Wizard IP の生成

UltraScale FPGAs Transceivers Wizard を使用して GTH Wizard IP を生成します。 GTH Wizard IP はラ ッパーの階層構造となり、 オプシ ョ ンで GTH TX/RX ク ロ ッキング、 GTH ト ランシーバー リセッ ト 、 およびデータ幅変更用の GTH COMMON プリ ミ ティブやヘルパー ロジッ クが含まれます。 SDI アプリ ケーシ ョ ンの場合、 GTH Wizard IP にはすべてのヘルパー ロジッ クが含まれるこ とが求められます。 GTH COMMON プリ ミ ティブは、 SDI ラ ッパー サポート モジュールにすでにインスタンシエート されているため、 GTH Wizard IP に含める必要はあ り ません。 GTH Wizard IP の各インスタンスは、set_property LOC コマンドを使用して特定の GTHE3_CHANNEL 位置に配置されます。 このため、 デザイン内の SDI チャネル数に応じて複数の GTH Wizard IP を生成する必要があ り ます。 また、 SDI インターフェイスを実装している ト ランシーバーを含む各 GTH クワ ッ ドに SDI ラ ッパー サポート モジュールを 1 つインスタンシエートする必要もあ り ます。CPLL のみ使用して GTH ト ランシーバーへクロ ッ ク供給する場合は、 SDI ラ ッパー サポート モジュールのインスタンスは必要あ り ません。 CPLL へ差動基準クロ ッ クを供給するために、 IBUFDS_GTE3 プリ ミ ティブのインスタンスをインスタンシエートする必要があ り ます。

ヒン ト : SDI サンプル デザインで提供する SDI デモ アプリ ケーシ ョ ンでは、 SDI ラ ッパー モジュールに複数の GTH Wizard IP コアをインスタンシエートする方法の例を示します。

注記: バージ ョ ン 1.6 の UltraScale FPGAs Transceivers Wizard には HD-SDI と 3G-SDI のプリセッ トが含まれており、3G-SDI のプリセッ トが基準と して使用されます。

GTH ラ ッパーを生成するには、 次の手順に従います。

1. Vivado Design Suite でプロジェク ト を開きます。

2. IP カタログを開き、 [FPGA Features and Design] → [I/O Interfaces] → [UltraScale FPGAs Transceivers Wizard] をク リ ッ クして Wizard IP を選択します。

X-Ref Target - Figure 18

図 18: Vivado ILA を使用して SDI デモンスト レーシ ョ ンの RX データを表示

• • • • • • •• • • • • •

リファレンス デザインの実行手順

XAPP1290 (v1.1) 2016 年 9 月 29 日 33japan.xilinx.com

3. その IP をダブルク リ ッ ク して UltraScale FPGAs Transceivers Wizard を起動します。 [Basic] タブが開く と同時にウ ィザードが起動します (図 19 参照)。

4. [Component Name] に GTH ラ ッパーのファイル名を入力します。 この例では、 「v_smpte_sdi_gtwiz_x0y16」 (_x0y16 は GTHE3_CHANNEL の位置を示す) を入力します。

5. [Transceiver configuration preset] で、 GTH:3G-SDI を選択します。

注記: この例のデフォルトのクロ ッ ク ソースは、 ト ランス ミ ッ ターの場合 QPLL0 で、 レシーバーの場合は QPLL1 です。 SDI コン ト ローラー モジュールは、 TX および RX の SDI 動作モードに応じて 2 つのクロ ッ ク ソースを動的に切り替えます。 CPLL は、 TX または RX のクロ ッ ク ソース と して使用するこ と もできます。

6. ト ランス ミ ッ ターおよびレシーバーの残りのフ ィールドで、 次の各項目の設定を確認、 選択、 または入力します。

• [Requested reference clock (MHz)]/[Actual Reference Clock (MHz)]: 148.5

• [Encoding]: RAW

• [User data width]: 20

• [Internal data width]: 20 (SD-SDI、 HD-SDI および 3G-SDI をサポート )

• [Buffer]: Enabled

• [TXOUTCLK source]: TXOUTCLKPMA

• [RXOUTCLK source]: RXOUTCLKPMA

[Advanced] では、 次の各項目の設定を確認、 選択、 または入力します。

X-Ref Target - Figure 19

図 19: [Basic] タブ

リファレンス デザインの実行手順

XAPP1290 (v1.1) 2016 年 9 月 29 日 34japan.xilinx.com

• [Programmable termination voltage (mV)]: 800

• [Equalization mode]: LPM

ヒン ト : タブを切り替える場合は、 タブの全項目の設定が終了してから [OK] をク リ ッ ク して ください。 [OK] をク リ ッ クする と ウ ィザードが終了します。

7. 図 20 に示す [Physical Resources] タブをク リ ッ ク します。

8. [Free-running and DRP clock frequency (MHz)] では、 27 を入力します。

9. アクティブにするターゲッ トの GTHE3_CHANNEL をオンにします (図 20 参照)。

X-Ref Target - Figure 20

図 20: [Physical Resources] タブ

リファレンス デザインの実行手順

XAPP1290 (v1.1) 2016 年 9 月 29 日 35japan.xilinx.com

重要: GTH Wizard IP の各インスタンスに対して GTE3_CHANNEL を 1 つだけ有効にします。 SDI サンプル デザインでは、RX ユニッ トは、 MGTREFCLK0 を基準クロ ッ ク と して使用する QPLL1 を使用しています。 TX ユニッ トは、MGTREFCLK1 を基準クロ ッ ク と して使用する QPLL0 を使用しています。 ウ ィザードは、 TX ユニッ トによる QPLL0 と QPLL1 間の動的な切り替えを直接的に制御しません。 SDI 制御モジュールは、 動的な切り替え制御を管理します。 ただし、TX で QPLL と CPLL が動的に切り替えられるよ うに、すべての PLL がアクティブで適切に接続された GTH ラ ッパーを構築するには、 ウ ィザードで QPLL0 または QPLL1 を TX のクロ ッ ク ソースの 1 つと して選択し、 GT Wizard に対する QPLL0 または QPLL1 のロ ッ ク信号が TX PLL ク ロ ッ クの選択に応じて動的に接続可能となるよ うに COMMON をウ ィザード インスタンス外に配置する必要があ り ます。

10. 図 21 に示す [Optional Features] タブをク リ ッ ク します。

11. [Buffer Control] を展開表示して、[Reset receiver elastic buffer on rate change] および [Reset transmitter buffer on rate change] が [Enabled] であるこ とを確認します。

X-Ref Target - Figure 21

図 21: [Optional Features] タブ

リファレンス デザインの実行手順

XAPP1290 (v1.1) 2016 年 9 月 29 日 36japan.xilinx.com

12. 図 22 に示す [Structural Options] タブをク リ ッ ク します。

13. 図 22 に示すフ ィールドで、 次の各項目の設定を確認、 選択、 または入力します。

• [Include transceiver COMMON in the]: Example Design

• 残りの 6 つのフ ィールド (すべて): Core

14. [All Ports] を展開表示します。 [Inputs] のサブセクシ ョ ンでは、 次のポートに対して [Enable] を選択します。

• drpaddr_in

• drpclk_in

• drpdi_in

• drpen_in

• drpwe_in

• gtrefclk0_in

• rxcdrhold_in

• rxdfeagcovrden_in

• rxdfelfovrden_in

• rxdfetap2ovrden_in

• rxdfetap3ovrden_in

• rxdfetap4ovrden_in

X-Ref Target - Figure 22

図 22: [Structural Options] タブ

リファレンス デザインの実行手順

XAPP1290 (v1.1) 2016 年 9 月 29 日 37japan.xilinx.com

• rxdfetap5ovrden_in

• rxdfetap6ovrden_in

• rxdfetap7ovrden_in

• rxdfetap8ovrden_in

• rxdfetap9ovrden_in

• rxdfetap10ovrden_in

• rxdfetap11ovrden_in

• rxdfetap12ovrden_in

• rxdfetap13ovrden_in

• rxdfetap14ovrden_in

• rxdfetap15ovrden_in

• rxdfeutovrden_in

• rxlpmgcovrden_in

• rxlpmhfovrden_in

• rxlpmlfklovrden_in

• rxlpmosovrden_in

• rxosovrden_in

• rxpllclksel_in

• txpllclksel_in

15. [Outputs] のサブセクシ ョ ンでは、 次のポートに対して [Enable] を選択します。

• cplllock_out

• drpdo_out

• drprdy_out

一部のポートは、 デバッグの目的で有効に設定できます。 次に例を示します。

• loopback_in、 rxelecidlemode_in、 txelecidlemode_in、 txpostcursor_in および txprecursor_in。 loopback_in ポート を使用する と、 GTH TX で送信されたデータを同じ ト ランシーバー内にある GTH RX へループ バッ クする、 さまざまなループバッ ク モードを動的に選択できます。 ループバッ ク モードはデバッグには有用ですが、 プロダクシ ョ ン アプリケーシ ョでは一般に使用されません。

• rxelecidlemode_in および txelecidlemode_in ポート を使用する と、 消費電力を削減するために TX および RX を動的にアイ ドル状態にできます。

• 外部の SDI ケーブル ド ラ イバーに対して TX からの信号の整合性を向上させるために xpostcursor_in および txprecursor_in ポートが必要な場合は、 これらのポート をオンにできます。

16. [OK] をク リ ッ ク して、 次に [Generate] をク リ ッ ク して、 GTH ラ ッパーを生成します。

SMPTE SD/HD/3G-SDI LogiCORE IP (SDI コア) の生成

SDI コアを生成するには、 次の手順に従います。

1. Vivado Design Suite でプロジェク ト を開きます。

2. Open the IP カタログを開き、 [Video Connectivity] → [SMPTE SD/HD/3G-SDI LogiCORE IP] で IP を選択します。

リファレンス デザインの実行手順

XAPP1290 (v1.1) 2016 年 9 月 29 日 38japan.xilinx.com

3. その IP をダブルク リ ッ ク して図 23 に示すよ うに SMPTE SD/HD/3G-SDI LogiCORE IP を起動します。

4. [Include RX EDH Processor] をオンにします。

5. [OK] をク リ ッ ク して、 次に [Generate] をク リ ッ ク して、 SDI コアを生成します。

SDI ラッパーのインスタンシエート

SDI サンプル デザインには、 SDI ラ ッパー サポート (kugth_3gsdi_wrapper_support.v) および SDI ラ ッパー (kugth_3gsdi_wrapper.v) という、 2 つの主要 SDI ラ ッパーがあ り ます (図 5 参照)。 QPLL0 および QPLL1 のいずれかまたは両方を使用する場合、 SDI ラ ッパー サポートが必要で、 各クワッ ドに 1 回だけインスタンシエート されます。

SDI ラ ッパー サポート と SDI ラ ッパーは、 デザインでインスタンシエート し、 相互接続する必要があ り ます。 SDI サンプル デザインで提供する SDI ラ ッパーを使用せずに SDI インターフェイスを実装するこ と も可能ですが、 このラ ッパーは SDI コア、 制御モジュール、 および GT Wizard IP の 1 つのチャネルを相互接続するため、 これを使用した方が簡単になります。 ラ ッパーを使用しない場合は、 ユーザーがこれらの接続を行わなければなり ません。 SDI サンプル デザインでは、別の選択肢と して SDI ラ ッパー ファ イルの kugth_3gsdi_norxedh_wrapper.v が提供されます。 これは、 RX EDH プロセッサを含まない SDI コアを生成する場合に使用する必要があ り ます。

SDI サンプル デザインに含まれる 6 つのラ ッパーを次に示します。 SDI サンプル デザインでは、太字表記されたラ ッパーを使用しています。 それらのインスタンシエーシ ョ ンや使用法は SDI コアのコンフ ィギュレーシ ョ ンに完全に依存します。

X-Ref Target - Figure 23

図 23: SMPTE SD/HD/3G-SDI LogiCORE IP

リファレンス デザインの実行手順

XAPP1290 (v1.1) 2016 年 9 月 29 日 39japan.xilinx.com

SDI 4-Channel ラ ッパー (各クワッ ド )

• kugth_3gsdi_4ch_wrapper.v

• kugth_3gsdi_norxedh_4ch_wrapper.v

SDI ラ ッパー サポート

• kugth_3gsdi_wrapper_support.v

• kugth_3gsdi_norxedh_wrapper_support.v

SDI ラ ッパー

• kugth_3gsdi_wrapper.v

• kugth_3gsdi_norxedh_wrapper.v

SDI コアによってインスタンシエート されるラ ッパーのほかに、 SDI ラ ッパーは次のファイルをインスタンシエート します。

• kugth_3gsdi_control.v

• kugth_3gsdi_drp_control.v

• kugth_3gsdi_drp_control_fsm.v

• kugth_3gsdi_rx_control.v

• kugth_3gsdi_tx_control.v

• sync_block.v

• smpte_3gsdi_rate_detect.v

• bs_flex_v_1.vhd

• nidru_20_v_6.vhd

• nidru_20_wrapper.vhd

重要:1.SDI ラ ッパーには、 SMPTE SDI IP コアのインスタンスが 1 つ含まれます。 生成時に SDI コアに与えられた名前が、 SDI ラ ッパーにコアがインスタンシエート されている場所で使用されるよ うに、 SDI ラ ッパーを変更する必要があ り ます。 これは、 SDI コアを生成する際にコンポーネン ト名 v_smpte_sdi_rxtx を使用するこ とで解決できます。

2.SDI チャネルが複数あるデザインの場合、 SDI ラ ッパーには GTH Wizard IP の複数のインスタンスが含まれる可能性があ り ます。 SDI ラ ッパーの XY_SITE ジェネ リ ッ クを使用するこ とで、特定の GTH Wizard IP がターゲッ ト とな り、 Verilog の Generate 文で使用されます。 各チャネル インスタンスを処理するには、 SDI ラ ッパーを変更する必要があ り ます。

表 5 に、 SDI ラ ッパーのすべてのポート を示します。 このポート リ ス トは SDI コアのポート リ ス ト と類似していますが、いくつか相違点があ り ます。 GTH ラ ッパーと SDI ラ ッパーの接続方法を示す例は、 SDI サンプル デザインで提供する SDI アプリ ケーシ ョ ン例を参照してください。

表 5 に示す一部の信号は、 ビデオ サンプル周期の数サイクル間アサート されるものと して説明されています。 ビデオ サンプル周期は、 SDI モードに応じて適切なクロ ッ ク (txusrclk または rxusrclk) の異なるサイクル数が適用されます。HD-SDI および 3G-SDI レベル A モードの場合、 サンプル周期は 1 ク ロ ッ ク サイクル続きます。 SD-SDI モードの場合、 サンプル周期は 5 または 6 ク ロ ッ ク サイクル続き、 ク ロ ッ ク イネーブル (tx_sd_ce_in または rx_ce_out) がアサート されるクロ ッ クの立ち上がりエッジで開始および終了します。 3G-SDI レベル B モードの場合、 rx_ce_out ポートのアサートで制御され、 サンプル周期は 2 ク ロ ッ ク サイクル間となり ます。

リファレンス デザインの実行手順

XAPP1290 (v1.1) 2016 年 9 月 29 日 40japan.xilinx.com

表 5 のほとんどの RX および TX ポートは、 SDI ラ ッパーにインスタンシエート された SDI コアの同じ名前に _in または _out が追加されたポートへ直接接続されます。 ビデオ信号およびビデオ タイ ミ ング信号のタイ ミ ング図は、 『SMPTE SD/HD/3G-SDI 3.0 LogiCORE IP 製品ガイ ド』 (PG071) [参照 3] を参照してください。

表 5: SDI ラッパーのポート リス ト

ポート名 I/O 幅 説明

受信ポート

rx_fxdclk_in 入力 1 SDI RX ビッ ト レート検出機能用の固定周波数クロ ッ クです。

rx_rst_in 入力 1 同期リセッ ト入力です。 このリセッ トは gth_drpclk_in ポートに同期します。

rx_usrclk_out 出力 1 GTH rxusrclk ク ロ ッ ク出力です。 UHD-SDI コアの rx_clk ポートへ送信される信号でもあ り ます。

rx_mode_en_in 入力 3

このポートには、 5 つの SDI モードの受信をそれぞれ有効にするためのビッ トがあ り ます。 ビッ ト 0 は HD-SDI モードを有効にします。 ビッ ト 1 は SD-SDI モードを有効にします。 ビッ ト 2 は 3G-SDI モードを有効にします。 ビッ トが High に遷移する と、 対応する SDI モードが有効になり ます。 ビッ トが Low のと き、 レシーバーはそのモードの入力 SDI 信号を検出しません。 これらのビッ ト を用いて未使用の SDI モードを無効にするこ とで、 モード変更時にレシーバーが入力信号へロッ クするまでの時間を短縮できます。

rx_mode_detect_en_in 入力 1

High の場合、 SDI モード検出機能を有効にします。 有効の場合、 SDI モード検出機能は入力される SDI データ ス ト リームを検索してこれにロ ッ クするよ う レシーバーを制御します。 無効の場合、 ユーザー アプリ ケーシ ョ ンは、 rx_forced_mode ポート を使用する際の SDI モードを SDI レシーバーへ伝える必要があ り ます。

rx_forced_mode_in 入力 2

rx_mode_detect_en_in 入力が Low の場合は、 自動の SDI モード検出機能が無効になり、レシーバーは rx_forced_mode_in ポートの値で指定された SDI モードで動作するよ うにな り ます。

• 00 = HD

• 01 = SD

• 10 = 3G

rx_mode_out 出力 2

レシーバーの現在の SDI モードを示します。

• 000 = HD

• 001 = SD

• 010 = 3G

レシーバーがロ ッ ク されていない場合、 正しい SDI モードを検索し、 このポートの値が変更されます。 その際、 x_mode_locked 出力は Low になり ます。 レシーバーが正しい SDI モードを検出する と、 rx_mode_locked 出力が High になり ます。

rx_mode_hd_out 出力 1 HD-SDI モードで RX がロ ッ ク される と High になり ます。

rx_mode_sd_out 出力 1 SD-SDI モードで RX がロ ッ ク される と High になり ます。

rx_mode_3g_out 出力 1 3G-SDI モードで RX がロ ッ ク される と High になり ます。

rx_mode_locked_out 出力 1

Low の場合、 レシーバーは入力データ ス ト リームと一致する SDI モードを積極的に検索します。 この間、 rx_mode_out ポートの値は頻繁に変化します。 レシーバーが正しい SDI モードにロ ッ クする と、 このポートの出力が High になり ます。

SDI モード検出機能が無効 (rx_mode_detect_en_in = Low) の場合は常に High になり ます。この場合、 SDI レシーバーが入力される SDI 信号にロッ ク しているか否かを判断する信頼性の高いインジケーターと しては使用できません。

リファレンス デザインの実行手順

XAPP1290 (v1.1) 2016 年 9 月 29 日 41japan.xilinx.com

rx_bit_rate_out 出力 1

v_smpte_uhdsdi_rate_detect.v モジュールのビッ ト レート出力です。 このポートに出力される信号は、 UHD-SDI コアの rx_bit_rate ポートへ送信されます。

HD-SDI モード :

• rx_m_out = 0: ビッ ト レート = 1.485 Gb/s

• rx_m_out = 1: ビッ ト レート = 1.485/1.001 Gb/s

3G-SDI モード :

• rx_m_out = 0: ビッ ト レート = 2.97 Gb/s

• rx_m_out = 1: ビッ ト レート = 2.97/1.001 Gb/s

rx_t_locked_out 出力 1 レシーバーの伝送検出機能が SDI 信号の伝送フォーマッ ト を識別すると High になります。

rx_t_family_out 出力 4

SDI インターフェイスで転送と して使用されているビデオ信号のファ ミ リ を示す出力です。 この出力は、 rx_t_locked が High の場合のみ有効です。 このポートは、 転送されている画像のビデオ フォーマッ ト を必ずしも認識する とは限らず、 転送の特性のみを認識します。 このポートのエンコードについては、 表 3 を参照してください。

rx_t_rate_out 出力 4転送のフレーム レート を示す出力です。 これは、 実際の画像のフレーム レート と同じになる とは限り ません。 この出力は、 rx_t_locked が High の場合のみ有効です。 このポートのエンコードについては、 表 4 を参照して ください。

rx_t_scan_out 出力 1転送がインターレース (Low) またはプログレッシブ (High) のいずれかを示す出力です。これは、 実際の画像のスキャン モード と同じになる とは限り ません。 この出力は、rx_t_locked が High の場合のみ有効です。

rx_level_b_3g_out 出力 1 3G-SDI モードでは、 入力信号がレベル B の場合は High にアサート され、 レベル A の場合は Low にアサート されます。 rx_mode_3g が High の場合のみ有効です。

rx_ce_out 出力 1

これは RX ク ロ ッ ク イネーブル出力で、 このクロ ッ ク イネーブルの幅は NUM_RX_CE で決定されます。 これらのクロ ッ ク イネーブルは、 すべての SDI モードで有効です。SD モードの場合、 CE は標準的な 5/6/5/6 のリズムでアサート されます。 HD および 3GA モードの場合、 CE は常に High になり ます。 3GB モードの場合、 CE には 50% のデューティ サイ クルがあ り ます。

rx_nsp_out 出力 1

この出力が High の場合、 SDI フレーマーが新しいワード アライ メン トで TRS (EAV または SAV) を検出したこ とを示します。 rx_frame_en が High の場合、 この出力はビデオ サンプル周期の 1 サイクル間のみアサート されます。 rx_frame_en が Low の場合、 この出力はフレーマーが新しい TRS アライ メン トに再調整できるよ うになるまで (TRS が生じている間に rx_frame_en をアサートする ) High を保持します。

rx_line_a_out 出力 11

SDI 入力信号の Y データ ス ト リームの LN ワードからキャプチャした現在のライン番号がこのポートに出力されます。 この出力は、 HD-SDI および 3G-SDI モードで有効とな り、 SD-SDI モードでは有効とはなり ません。 3G-SDI レベル B の場合、 出力値は、リ ンク A または HD-SDI 信号 1 の Y データ ス ト リームからキャプチャしたライン番号とな り ます。 3G-SDI レベル B またはデュアル リ ンク HD-SDI での1080p 60 Hz 転送など、 インターフェイスのライン番号が画像のライン番号と同じではない場合は、 このポートの出力値は常にインターフェイスのライン番号とな り ます (画像のライン番号ではない)。

rx_line_b_out 出力 11 3G-SDI レベル B の場合のみ有効で、 インターフェイスのライン番号は画像のライン番号ではなく、 リ ンク B または HD-SDI 信号 2 の Y データ ス ト リームのライン番号です。

rx_a_vpid_out 出力 32

データ ス ト リーム 1 からの SMPTE ST 352 ペイロード ID パケッ トの 4 つすべてのデータ バイ トがこのポートに出力されます。 フォーマッ トは次のとおりです。

• フォーマッ ト MS バイ トから LS バイ ト : バイ ト 4、 バイ ト 3、 バイ ト 2、 バイ ト 1。

rx_a_vpid_valid が High の場合のみ有効です。 このポートは、 すべての SDI モードで有効になる可能性があ り ますが、 SDI 信号に ST 352 パケッ トが組み込まれている場合のみ該当します。 3G-SDI レベル A では、 出力データはデータ ス ト リーム 1 (luma) からキャプチャした ST 352 データ バイ ト とな り ます。 3G-SDI レベル B では、 出力データはリ ンク A のデータ ス ト リーム 1 (デュアル リ ンク ス ト リーム) または HD-SDI 信号 1 (デュアル HD-SDI 信号) からキャプチャした ST 352 データ バイ ト とな り ます。

表 5: SDI ラッパーのポート リス ト (続き)

ポート名 I/O 幅 説明

リファレンス デザインの実行手順

XAPP1290 (v1.1) 2016 年 9 月 29 日 42japan.xilinx.com

rx_a_vpid_valid_out 出力 1 rx_a_vpid が有効の場合に High とな り ます。

rx_b_vpid_out 出力 32

データ ス ト リーム 2 からの SMPTE ST 352 ペイロード ID パケッ トの 4 つすべてのデータ バイ トがこのポートに出力されます。 フォーマッ トは次のとおりです。

• フォーマッ ト MS バイ トから LS バイ ト : バイ ト 4、 バイ ト 3、 バイ ト 2、 バイ ト 1。

3G-SDI モードで、 rx_b_vpid_valid が High の場合のみ有効です。 3G-SDI レベル A では、出力データはデータ ス ト リーム 2 (chroma) からキャプチャした ST 352 データ バイ ト とな り ます。 3G-SDI レベル B では、 出力データはリ ンク B のデータ ス ト リーム (デュアル リ ンク ス ト リーム) または HD-SDI 信号 2 (デュアル HD-SDI 信号) からキャプチャした ST352 データ バイ ト とな り ます。

rx_b_vpid_valid_out 出力 1 rx_b_vpid が有効の場合に High とな り ます。

rx_crc_err_a_out 出力 1

前画像のラインで CRC エラーが検出されたと きに High をアサート します。 3G-SDI レベル B では、 データ ス ト リーム 1 のみの CRC エラーを示します。 このモードでは、データ ス ト リーム 2 の CRC エラーを示すために 2 つ目の出力 rx_crc_err_b があ り ます。

SD-SDI モードの場合は、 いずれの CRC エラー出力も有効ではあ り ません。 CRC エラー出力は、 前画像のラインで CRC エラーが検出される と、 1 ビデオ ライン周期間 High にアサート されます。 rx_eav 信号がアサート されるビデオ サンプルのタイ ミ ングから rx_crc_err_a 信号の値が変更されるまでのレイテンシは SDI モードによって異なり、 6 または 7 ビデオ サンプル周期とな り ます。

rx_crc_err_ b_out 出力 13G-SDI レベル B モードでのみ有効な CRC エラー インジケーターです。 3G-SDI B-DL 信号のリ ンク B および 3G-SDI レベル B-DS 信号の HD-SDI 信号 2 で CRC エラーが検出されたこ とを示します。 rx_crc_err_a 信号と同じタイ ミ ングです。

rx_ds1a_out 出力 10

回復された SDI データ ス ト リーム 1 がこのポートに出力されます。 このデータ ス トリームの内容は、 SDI モードによって異なり ます。

• SD-SDI: 多重化 Y/CB/CR コンポーネン ト

• HD-SDI : Y コンポーネン ト

• 3G-SDI レベル A: データ ス ト リーム 1

• 3G-SDI レベル B-DL: リ ンク A のデータ ス ト リーム 1

• 3G-SDI レベル B-DS: HD-SDI 信号 1 の Y コンポーネン ト

rx_ds2a_out 出力 10

回復された SDI データ ス ト リーム 2 がこのポートに出力されます。 このデータ ス トリームの内容は、 SDI モードによって異なり ます。

• SD-SDI: 使用しない

• HD-SDI : インターリーブされた CB および CR コンポーネン ト

• 3G-SDI レベル A: データ ス ト リーム 2

• 3G-SDI レベル B-DL: リ ンク A のデータ ス ト リーム 2

3G-SDI レベル B-DS: このポートに出力される HD-SDI 信号 1 ス ト リームのインターリーブされた CB および CR コンポーネン ト

• 3G-SDI レベル B-DL: リ ンク B のデータ ス ト リーム 1

• 3G-SDI レベル B-DS: HD-SDI 信号 2 の Y コンポーネン ト

rx_ds1b_out 出力 10

3G-SDI レベル B モードの場合のみ有効です。 このポートに出力されるデータ ス ト リームは次のとおりです。

• 3G-SDI レベル B-DL: リ ンク B のデータ ス ト リーム 1

• 3G-SDI レベル B-DS: HD-SDI 信号 2 の Y コンポーネン ト

rx_ds2b_out 出力 10

3G-SDI レベル B モードの場合のみ有効です。 このポートに出力されるデータ ス ト リームは次のとおりです。

• 3G-SDI レベル B-DL: リ ンク B のデータ ス ト リーム 1

• 3G-SDI レベル B-DS: HD-SDI 信号 2 の Y コンポーネン ト

rx_eav_out 出力 1 データ ス ト リーム出力ポートに EAV の XYZ ワードが現れる と、 High にアサート されます。

表 5: SDI ラッパーのポート リス ト (続き)

ポート名 I/O 幅 説明

リファレンス デザインの実行手順

XAPP1290 (v1.1) 2016 年 9 月 29 日 43japan.xilinx.com

rx_sav_out 出力 1 データ ス ト リーム出力ポートに SAV の XYZ ワードが現れる と、 High にアサート されます。

rx_trs_out 出力 1 データ ス ト リーム出力ポートに EAV または SAV の 4 つの連続するワードが現れる と、High にアサート されます。

rx_edh_errcnt_en_in 入力 16 rx_edh_errcnt カウンターをインク リ メン トする EDH エラー条件を制御します。詳細は、表 5 を参照して ください。 (1)

rx_edh_clr_errcnt_in 入力 1High の場合、 rx_edh_errcnt カウンターがク リ アされます。 エラー カウンターをク リ アするために、rx_ce_sd も High のと きのクロ ッ ク サイクルでこの入力ポート を High にする必要があ り ます。 (1)

rx_edh_ap_out 出力 1 前のフ ィールド用に計算されたアクティブ画像 CRC が EDH パケッ トの AP CRC 値と一致していない場合に High にアサート されます。 (1)

rx_edh_ff_out 出力 1 前のフ ィールド用に計算されたフル フ ィールド CRC が EDH パケッ トの FF CRC 値と一致していない場合に High にアサート されます。 (1)

rx_edh_anc_out 出力 1 補助データ パケッ ト チェッ クサム エラーが検出される と High にアサート されます。 (1)

rx_edh_ap_flags_out 出力 5このポートには、 直近の受信 EDH パケッ トからのアクティブ画像エラー フラグ ビットが出力されます。 このポートのエンコードについては、 表 4 を参照してください。詳細は、 表 6 を参照して ください。 (1)

rx_edh_ff_flags_out 出力 5このポートには、 も間近に受信した EDH パケッ トからのフル フレーム エラー フラグ ビッ トが出力されます。 このポートのエンコードについては、 表 4 を参照してください。 詳細は、 表 6 を参照して ください。 (1)

rx_edh_anc_flags_out 出力 5このポートには、 直近の受信 EDH パケッ トからの補助エラー フラグ ビッ トが出力されます。 このポートのエンコードについては、表 4 を参照してください。詳細は、表 6 を参照して ください。 (1)

rx_edh_packet_flags_out 出力 4このポートには、 直近の受信 EDH パケッ トに関連する 4 つのエラー フラグが出力されます。 このポートのエンコードについては、 表 5 を参照してください。 詳細は、 表 7 を参照して ください。 (1)

rx_edh_errcnt_out 出力 16 SD-SDI EDH エラー カウンターです。 あるフ ィールドの間 rx_edh_err_en ポートによって有効になったエラー条件が生じる と、 そのフ ィールドを 1 回インク リ メン ト します。 (1)

rx_change_done_out 出力 1

GTH RX が初期化されている間、 リセッ ト されている間、 または SDI モードが動的に変更されている間、 この出力は Low になり ます。 初期化、 リセッ ト 、 動的変更シーケンスが問題なく完了する と、 High にアサート されます。 この出力は gth_drpclk_in に同期します。

rx_change_fail_out 出力 1

通常モードでは、 この出力は常に Low です。 制御モジュールが GTH RX の初期化シーケンス、 リセッ ト シーケンス、 または SDI モードの動的変更シーケンスを正常に終了できなかった場合にのみ High になり ます。 このよ うなエラーが発生する と、rx_change_fail_out port ポートが High にアサート され、 rx_change_fail_code_out ポートによってこのエラーの種類が示されます。 エラーが生じた場合には、 rx_rst_in および gth_wiz_reset_rx_pll_and_datapath_in を使用して GTH RX を リセッ トする必要があ り ます。 この出力は gth_drpclk に同期します。

rx_change_fail_code_out 出力 3rx_change_fail ポートが High になる と、 rx_change_fail_code ポートはシーケンス エラーの種類を示します。 このポートのエンコードについては、 表 8 を参照してください。この出力は gth_drpclk_in に同期します。

送信ポート

tx_rst_in 入力 1非同期のリセッ ト入力です。 High に遷移する と、 ト ランス ミ ッ ターがリセッ ト されます。 ト ランス ミ ッ ター全体を リセッ トするために、 tx_rst_in がアサート されている と きに、 tx_ce_in、 tx_sd_ce_in、 および tx_edh_ce_i 入力が High の必要があ り ます。

tx_usrclk_out 出力 1 GTH txusrclk ク ロ ッ ク出力です。 UHD-SDI コアの tx_clk ポートへ送信される信号でもあ り ます。

表 5: SDI ラッパーのポート リス ト (続き)

ポート名 I/O 幅 説明

リファレンス デザインの実行手順

XAPP1290 (v1.1) 2016 年 9 月 29 日 44japan.xilinx.com

tx_ce_in 入力 1ト ランス ミ ッ ター データ パスの主な部分のクロ ッ ク イネーブル入力です。従来コアの tx_din_rdy ポート とほぼ類似しています。 SD、 HD、 および 3GA モードでは High の必要があ り ます。 3GB モードの場合、 50% のデューティ サイクルがあ り ます。

tx_sd_ce_in 入力 1 SD-SDI モード用のクロ ッ ク イネーブル信号です。 SD-SDI モードの場合は、 5/6/5/6 のリズムでアサート され、 その他すべてのモードでは High の必要があ り ます。

tx_edh_ce_in 入力 1

TX EDH プロセッサ用のクロ ッ ク イネーブル信号です。 SD-SDI モードの場合、 5/6/5/6 のリズムで tx_sd_ce と同じになる必要があ り ます。 tx_sd_ce_in と位相が揃う必要があり ます。 その他のモードの場合、 EDH プロセッサで消費される電力を抑えるために、この CE 信号を Low 駆動できます。

tx_mode_in 入力 2

ト ランス ミ ッ ターの SDI モードを選択します。

• 000 = HD

• 001 = SD

• 010 = 3Gこれ以外の値はすべて予約されています。

tx_m_in 入力 1

使用する基準クロ ッ クを選択します。 表記規則:

• 0 = 148.35 MHz refclk を選択する

• 1 = 148.5 MHz refclk を選択する

ただし、 この区別は、 PLL の周波数や、 表 2 および表 2 の直後の説明にある TXPLLCLKSEL_TX_M_0 および TXPLLCLKSEL_TX_M_1 パラ メーターの値によって制御されます。

tx_insert_crc_in 入力 1この入力が High の場合、 SD-SDI 以外のすべてのモードで ト ランス ミ ッ ターが各ビデオ ラインに CRC 値を生成して挿入します。 Low の場合、 CRC 値は挿入されません。SD-SDI モードでは、 この入力は無視されます。

tx_insert_ln_in 入力 1

この入力が High の場合、 ト ランス ミ ッ ターが各ビデオ ラインの EAV の後にライン番号を挿入します。 すべてのアクティブ データ ス ト リーム ペアの tx_line_chX_in 入力ポートにライン番号を供給する必要があ り ます。 Low の場合は、 ライン番号の挿入は行われません。 SD-SDI モードでは、 この入力は無視されます。

tx_insert_vpid_in 入力 1この入力が High の場合、 ST 352 パケッ トがデータ ス ト リーム内に挿入されます。 Low の場合は、 パケッ トは挿入されません。 3G モードでは、 ST 352 パケッ トが必ず必要ですが、 HD および SD モードではオプシ ョ ンです。

tx_overwrite_vpid_in 入力 1 この入力が High の場合、 データ ス ト リーム内にすでに存在する ST 352 パケッ トが上書きされます。 Low の場合は、 既存の ST 352 パケッ トは上書きされません。

tx_insert_edh_in 入力 1High の場合、 SD-SDI モードで ト ランス ミ ッ ターがすべてのフ ィールドに EDH パケット を挿入します。 Low の場合は EDH パケッ トは挿入されません。 SD-SDI モード以外のすべてのモードでは、 この入力は無視されます。

tx_level_b_3g_in 入力 1 3G-SDI モードの場合、 この入力でモジュールをレベル A (Low) またはレベル B (High) のいずれにコンフ ィギュレーシ ョ ンすべきかを指定します。

tx_line_a_in 入力 11

ST 352 VPID パケッ トの挿入が有効 (tx_insert_vpid = High) の場合、 または HD-SDI および 3G-SDI ライン番号の挿入が有効 (tx_insert_ln = High) の場合は、 このポート を介してモジュールへ現在のライン番号が提供されなければなり ません。 SD-SDI は 10 ビッ トのライン番号のみを使用するため、 SD-SDI モードで ST 352 VPID パケッ トの挿入が有効の場合は、 このポートのビッ ト 10 が 0 になり ます。 ライン番号の挿入は、 SD-SDI モードでは実行されないため、 SD-SDI モードの場合、 このポートは ST 352 VPID パケッ トの挿入のためだけに使用されます。 ライン番号は、 HANC スペースの開始よ り少な く と も 1 ク ロ ッ ク サイクル前に有効 (EAV の XYZ ワードで) になる必要があ り、HANC 中は有効に保持する必要があ り ます。 SD-SDI、 HD-SDI、 および 3G-SDI レベル A モードで使用される唯一のライン番号入力です。 3G-SDI レベル B モードの場合は、も う 1 つのライン番号入力ポート tx_line_b があ り ます。 画像ライン番号と伝送ライン番号が異なるビデオ フォーマッ トの場合、 このポートに提供される値は必ず伝送ライン番号とな り ます。

表 5: SDI ラッパーのポート リス ト (続き)

ポート名 I/O 幅 説明

リファレンス デザインの実行手順

XAPP1290 (v1.1) 2016 年 9 月 29 日 45japan.xilinx.com

tx_line_b_in 入力 11

3G-SDI レベル B モードでのみ使用される 2 つ目のライン番号入力ポートです。 この追加のライン番号ポート を使用するこ とによって、 レベル B-DS モードの場合に 2 つの異なる HD-SDI 信号を垂直非同期させるこ とができます。 3G-SDI レベル B-DL または B-DS のいずれかを使用する場合、 このポートには有効なライン番号入力が与えられなければなり ません。 3G-SDI レベル B-DL モードの場合、 この入力ポートの値は tx_line_a ポートの値と一致する必要があ り ます。 この入力ポートは、 tx_line_a と同じタイ ミ ングで、 異なる要件があ り ます。

tx_vpid_line_f1_in 入力 11

ST 352 パケッ トの HANC スペースに挿入される ST 352 パケッ トは、 この入力ポートで指定したライン番号の HANC スペースに挿入されます。 インターレースされたビデオの場合、 この入力ポートはフ ィールド 1 のライン番号を指定します。 プログレッシブ ビデオの場合、 この入力はパケッ トが挿入されるフレーム内のラインのみ指定します。HANC インターバル中、 この入力値は常に有効の必要があ り ます。 tx_insert_vpid が Low の場合、 この入力は無視されます。

tx_vpid_line_f2_in 入力 11

インターレースされたビデオの場合、 この値が示すフ ィールド 2 のライン番号に ST 352 パケッ トが挿入されます。 プログレッシブ ビデオの場合、 この入力ポートで指定したラインへの ST 352 パケッ トの挿入は、 tx_vpid_line_f2_en ポート を Low に保持して無効にする必要があ り ます。 HANC インターバル中、 この入力値は常に有効の必要があ り ます。 tx_insert_vpid または tx_vpid_line_f2_en のいずれかが Low の場合、 この入力は無視されます。

tx_vpid_f2_en_in 入力 1

tx_vpid_line_f2 で指定したラインへの ST 352 パケッ トの挿入を制御します。 インターレースされたビデオの場合、 この入力は High にします。 プログレッシブ ビデオの場合、 この入力は Low にします。 インターレース伝送でプログレッシブ ビデオが伝送される場合 (例: 3G-SDI レベル B-DL またはデュアル リ ンク HD-SDI のいずれかで伝送される 1080p 60 Hz)、 ST 352 パケッ トは、 インターレース伝送の両フ ィールドに挿入されなければなり ません。 したがって、 このよ うな場合には tx_vpid_line_f2_en 入力は High の必要があ り ます。 HANC インターバル中、 この入力値は常に有効の必要があ り ます。tx_insert_vpid が Low の場合、 この入力は無視されます。

tx_vpid_byte1_in 入力 8このポートの値は、 ST 352 パケッ トの 初のユーザー データ ワード と して挿入されます。 ST 352 パケッ トが挿入または上書きされる場合、 ST 352 パケッ ト を含めるラインの HANC インターバル中、 この値は常に有効の必要があ り ます。

tx_vpid_byte2_in 入力 8このポートの値は、 ST 352 パケッ トの 2 番目のユーザー データ ワード と して挿入されます。 ST 352 パケッ トが挿入または上書きされる場合、 ST 352 パケッ ト を含めるラインの HANC インターバル中、 この値は常に有効の必要があ り ます。

tx_vpid_byte3_in 入力 8このポートの値は、 ST 352 パケッ トの 3 番目のユーザー データ ワード と して挿入されます。 ST 352 パケッ トが挿入または上書きされる場合、 ST 352 パケッ ト を含めるラインの HANC インターバル中、 この値は常に有効の必要があ り ます。

tx_vpid_byte4a_in 入力 8

このポートの値は、 ST 352 パケッ トの 4 番目のユーザー データ ワード と して挿入されます。 このワードは、 SD-SDI、 HD-SDI、 および 3G-SDI レベル A のデータ ス ト リームに挿入される ST 352 パケッ トに対して使用されます。3G-SDI レベル B およびデュアル リ ンク HD-SDI モードの場合、 この値はリ ンク A のデータ ス ト リーム 1 へのみ挿入される ST 352 パケッ トに使用されます。 ST 352 パケッ トが挿入または上書きされる場合、 ST 352 パケッ ト を含めるラインの HANC インターバル中、 この入力値は常に有効の必要があ り ます。 リ ンク A と リ ンク B のバイ ト 4 には、異なる値が可能です (このバイ トは、 リ ンク A と リ ンク B で異なる リ ンク ID ビッ ト を持つため)。

tx_vpid_byte4b_in 入力 8

このポートの値は、 3G-SDI レベル B およびデュアル リ ンク HD-SDI モードの場合、 リンク B のデータ ス ト リーム 1 に挿入される ST 352 パケッ トの 4 つ目のユーザー データ ワード と して挿入されます。 この入力値は、 SD-SDI、 HD-SDI、 または 3G-SDI レベル A モードには使用されません。 ST 352 パケッ トが挿入または上書きされる場合、 ST 352 パケッ ト を含めるラインの HANC インターバル中、 この入力値は常に有効の必要があ り ます。

表 5: SDI ラッパーのポート リス ト (続き)

ポート名 I/O 幅 説明

リファレンス デザインの実行手順

XAPP1290 (v1.1) 2016 年 9 月 29 日 46japan.xilinx.com

tx_video_a_y_in 入力 10

SDI TX へ入る SDI データ ス ト リーム A Y 入力です。 このポートのデータは、 SDI モードに依存します。

• SD-SDI: 多重化 Y/C データ ス ト リーム

• HD-SDI: Y コンポーネン ト

• 3G-SDI レベル A: データ ス ト リーム 1

• デュアル リ ンク HD-SDI または 3G-SDI レベル B-DL: リ ンク A のデータ ス ト リーム 1

• 3G-SDI レベル B-DS: HD-SDI 信号 1 の Y コンポーネン ト

tx_video_a_c_in 入力 10

SDI TX へ入る SDI データ ス ト リーム A C 入力です。 このポートのデータは、 SDI モードに依存します。

• SD-SDI : 未使用

• HD-SDI: インターリーブされた CB および CR コンポーネン ト

• 3G-SDI レベル A: データ ス ト リーム 2

• デュアル リ ンク HD-SDI または 3G-SDI レベル B-DL: リ ンク A のデータ ス ト リーム 2

• 3G-SDI レベル B-DS: HD-SDI 信号 1 のインターリーブされた CB および CR コンポーネン ト

tx_video_b_y_in 入力 10

SDI TX へ入る SDI データ ス ト リーム BY 入力です。 このポートのデータ ス ト リームは、 SDI モードに依存します。

• デュアル リ ンク HD-SDI または 3G-SDI レベル B-DL: リ ンク B のデータ ス ト リーム 1

• 3G-SDI レベル B-DS: HD-SDI 信号 2 の Y コンポーネン ト

その他の SDI モードの場合、 この入力ポートは未使用となり ます。

tx_video_b_c_in 入力 10

SDI TX へ入る SDI データ ス ト リーム BC 入力です。 このポートのデータ ス ト リームは、 SDI モードに依存します。

• デュアル リ ンク HD-SDI または 3G-SDI レベル B-DL: リ ンク B のデータ ス ト リーム 2

• 3G-SDI レベル B-DS: HD-SDI 信号 2 のインターリーブされた CB および CR コンポーネン ト

その他の SDI モードの場合、 この入力ポートは未使用となり ます。

tx_ds1a_out 出力 10

リ ンク A データ ス ト リーム 1 の出力です。 このポートに出力されるデータ ス ト リームは、 ST 352 パケッ ト挿入モジュールから送信されます [参照 6]。 アプ リ ケーシ ョ ンが補助データ パケッ ト を挿入する必要がある場合は、 ST 352 パケッ トがデータ ス ト リーム内にすでに挿入されるよ うに、 このポートのデータ ス ト リーム出力にそれらを挿入します。 アプリ ケーシ ョ ンによる補助データの挿入が完了したデータ ス ト リームは、tx_ds1a_in ポートへ送られます。 このポートのデータは、 SDI モードに依存します。

• SD-SDI: インターリーブされた Y/C データ ス ト リーム

• HD-SDI: Y コンポーネン ト

• 3G-SDI レベル A: データ ス ト リーム 1

• デュアル リ ンク HD-SDI または 3G-SDI レベル B-DL: リ ンク A のデータ ス ト リーム 1

• 3G-SDI レベル B-DS: HD-SDI 信号 1 の Y コンポーネン ト

tx_ds2a_out 出力 10

リ ンク A データ ス ト リーム 2 の出力です。 このポートに出力されるデータ ス ト リームは、 ST 352 パケッ ト挿入モジュールから送信されます [参照 6]。 アプ リ ケーシ ョ ンが補助データ パケッ ト を挿入する必要がある場合は、 ST 352 パケッ トがデータ ス ト リーム内にすでに挿入されるよ うに、 このポートのデータ ス ト リーム出力にそれらを挿入します。 アプリ ケーシ ョ ンによる補助データの挿入が完了したデータ ス ト リームは、tx_ds2a_in ポートへ送られます。 このポートのデータは、 SDI モードに依存します。

• HD-SDI: インターリーブされた CB/CR コンポーネン ト

• デュアル リ ンク HD-SDI または 3G-SDI レベル B-DL: リ ンク A のデータ ス ト リーム 2

• 3G-SDI レベル B-DS: HD-SDI 信号 1 のインターリーブされた CB/CR コンポーネン ト データ ス ト リーム

表 5: SDI ラッパーのポート リス ト (続き)

ポート名 I/O 幅 説明

リファレンス デザインの実行手順

XAPP1290 (v1.1) 2016 年 9 月 29 日 47japan.xilinx.com

tx_ds1b_out 出力 10

リ ンク B データ ス ト リーム 1 の出力です。 このポートに出力されるデータ ス ト リームは、 ST352 パケッ ト挿入モジュールから送信されます [参照 6]。 アプ リ ケーシ ョ ンが補助データ パケッ ト を挿入する必要がある場合は、 ST 352 パケッ トがデータ ス ト リーム内にすでに挿入されるよ うに、 このポートのデータ ス ト リーム出力にそれらを挿入します。 アプリ ケーシ ョ ンによる補助データの挿入が完了したデータ ス ト リームは、tx_ds1b_in ポートへ送られます。 このポートのデータは、 SDI モードに依存します。

• デュアル リ ンク HD-SDI または 3G-SDI レベル B-DL: リ ンク B のデータ ス ト リーム 1

• 3G-SDI レベル B-DS: HD-SDI 信号 2 の Y コンポーネン ト

その他の SDI モードの場合、 この出力ポートは未使用となり ます。

tx_ds2b_out 出力 10

リ ンク B データ ス ト リーム 2 の出力です。 このポートに出力されるデータ ス ト リームは、 ST 352 パケッ ト挿入モジュールから送信されます [参照 6]。 アプ リ ケーシ ョ ンが補助データ パケッ ト を挿入する必要がある場合は、 ST 352 パケッ トがデータ ス ト リーム内にすでに挿入されるよ うに、 このポートのデータ ス ト リーム出力にそれらを挿入します。 アプリ ケーシ ョ ンによる補助データの挿入が完了したデータ ス ト リームは、tx_ds2b_in ポートへ送られます。

• デュアル リ ンク HD-SDI またはデュアル リ ンク HD-SDI を伝搬する 3G-SDI レベル B: リ ンク B のデータ ス ト リーム 2

• デュアル HD-SDI 信号を伝搬する 3G-SDI レベル B: HD-SDI 信号 2 のインターリーブされた CB/CR コンポーネン ト

その他の SDI モードの場合、 この入力ポートは未使用となり ます。

tx_ds1a_in 入力 10

リ ンク A データ ス ト リーム 1 の入力です。 tx_use_dsin が Low の場合、 このポートは無視されます。 tx_use_dsin が High の場合、 送信されるデータ ス ト リームがこのポートに供給されます。 この入力ポートに供給されるデータ ス ト リームは、 SDI モードに依存します。

• SD-SDI: インターリーブされた Y/C データ ス ト リーム

• HD-SDI: Y コンポーネン ト

• 3G-SDI レベル A: データ ス ト リーム 1

• デュアル リ ンク HD-SDI または 3G-SDI レベル B-DL: リ ンク A のデータ ス ト リーム 1

• 3G-SDI レベル B-DS: HD-SDI 信号 1 の Y コンポーネン ト

tx_ds2a_in 入力 10

リ ンク A データ ス ト リーム 2 の入力です。 tx_use_dsin が Low の場合、 このポートは無視されます。 tx_use_dsin が High の場合、 送信されるデータ ス ト リームがこのポートに供給されます。 この入力ポートに供給されるデータ ス ト リームは、 SDI モードに依存します。

• HD-SDI: インターリーブされた CB/CR コンポーネン ト

• デュアル リ ンク HD-SDI または 3G-SDI レベル B-DL: リ ンク A 3G-SDI レベル B-DS のデータ ス ト リーム 2: HD-SDI 信号 1 のインターリーブされた CB/CR コンポーネント データ ス ト リーム

tx_ds1b_in 入力 10

リ ンク B データ ス ト リーム 1 の入力です。 tx_use_dsin が Low の場合、 このポートは無視されます。 tx_use_dsin が High の場合、 送信されるデータ ス ト リームがこのポートに供給されます。 この入力ポートに供給されるデータ ス ト リームは、 SDI モードに依存します。

• デュアル リ ンク HD-SDI または 3G-SDI レベル B-DL: リ ンク B のデータ ス ト リーム 1

• 3G-SDI レベル B-DS: HD-SDI 信号 2 の Y コンポーネン ト

その他の SDI モードの場合、 この入力ポートは未使用となり ます。

表 5: SDI ラッパーのポート リス ト (続き)

ポート名 I/O 幅 説明

リファレンス デザインの実行手順

XAPP1290 (v1.1) 2016 年 9 月 29 日 48japan.xilinx.com

tx_ds2b_in 入力 10

リ ンク B データ ス ト リーム 2 の入力です。 tx_use_dsin が Low の場合、 このポートは無視されます。 tx_use_dsin が High の場合、 送信されるデータ ス ト リームがこのポートに供給されます。 この入力ポートに供給されるデータ ス ト リームは、 SDI モードに依存します。

• デュアル リ ンク HD-SDI またはデュアル リ ンク HD-SDI を伝搬する 3G-SDI レベル B: リ ンク B のデータ ス ト リーム 2

• デュアル HD-SDI 信号を伝搬する 3G-SDI レベル B: HD-SDI 信号のインターリーブされた CB/CR コンポーネン ト

その他の SDI モードの場合、 この入力ポートは未使用となり ます。

tx_use_dsin 入力 1

この入力は、 SDI TX から送られるデータ ス ト リームのソースを制御します。 この入力が High の場合、 送信されるデータ ス ト リームのソースは tx_ds1a_in、 tx_ds2a_in、tx_ds1b_in、 および tx_ds2b_in です。 この入力が Low の場合、 送信されるデータ ス トリームのソースはコア内部とな り、 ST 352 パケッ ト インサーターから直接送信されます。 アプリ ケーシ ョ ンが補助データを挿入する必要がある場合は、 tx_use_dsin ポートを High に設定する と、 アプリ ケーシ ョ ンはデータ ス ト リームを変更でき、 変更したデータ ス ト リームを ト ランス ミ ッ ターの tx_dsxx_in ポートへ渡すこ とができます。 補助データの挿入が不要な場合は、 tx_use_dsin 入力を Low に設定する と、 tx_dsxx_in ポートは無視されます。

tx_ce_align_err_out 出力 1

この出力は、 SD-SDI モードの場合に tx_sd_ce 入力の 5/6/5/6 ク ロ ッ ク サイ クル リ ズムに問題があるこ とを示します。 SD-SDI モードでは、 tx_sd_ce 信号は一定の 5/6/5/6 クロ ッ ク サイクル リ ズムに従う必要があ り ます。 このリズムから外れる と、 SD-SDI シリ アル ス ト リームが正し く生成されない可能性があ り ます。 この リズムが正し くない場合、 tx_ce_align_err 出力信号が High に遷移します。 このポートは、tx_sd_bitrep_bypass が Low になっている場合に限り SD-SDI モードでのみ有効です。

tx_slew_out 出力 1 外部 SDI ケーブル イコライザーのスルー レート信号を制御するための信号です。 TX のモードが SD-SDI の場合に High とな り ます。 その他のモードでは Low になり ます。

tx_change_done_out 出力 1

GTH TX が初期化されている間またはリセッ ト されている間、 あるいは GTH DRP レジスタや txsysclksel ポートが動的に変更されている間、 この出力は Low になり ます。シーケンスが問題なく完了する と、 tx_change_done_out 出力が High にアサート されます。 この出力は gth_drpclk_in に同期します。

tx_change_fail_out 出力 1

通常モードでは、 この出力は常に Low です。 制御モジュールが GTH TX の初期化シーケンス、 リセッ ト シーケンス、 または GTH DRP や txsysclksel ポートの動的な変更シーケンスを正常に終了できなかった場合にのみ High になり ます。 このよ うなエラーが発生する と、 tx_change_fail_out ポートが High にアサート され、 tx_change_fail_code ポートによってこのエラーの種類が示されます。 tx_change_fail_out が High に遷移して、 エラーが生じた場合には、 tx_rst_in および gth_wiz_reset_tx_pll_and_datapath_in を使用してト ランス ミ ッ ター全体を リセッ トする必要があ り ます。 この出力は gth_drpclk_in に同期します。

tx_change_fail_code_out 出力 3tx_change_fail ポートが High になる と、 tx_change_fail_code ポートはエラーの種類を示します。 このポートのエンコードについては、 表 9 を参照して ください。 この出力は gth_drpclk_in に同期します。

DRP コン ト ローラーのポート

drp_fail_out 出力 1

通常モードでは、 この出力は常に Low です。 制御モジュールが GTH DRP ト ランザクシ ョ ンを正常に終了できなかった場合にのみ High になり ます。 このよ うなエラーが発生する と、 drp_fail_out ポートが High にアサート されて、 thedrp_fail_cnt_out ポートがインク リ メン ト します。 エラーが生じて drp_fail_out が High に遷移した場合は、gth_wiz_reset_all_in を使用して GTH 全体を リセッ トする必要があ り ます。 この出力は gth_drpclk_in に同期します。

drp_fail_cnt_out 出力 8 このポートは、 エラーが生じた DRP ト ランザクシ ョ ン数を示します。

SDI ラッパー サポートの GTH ポート

表 5: SDI ラッパーのポート リス ト (続き)

ポート名 I/O 幅 説明

リファレンス デザインの実行手順

XAPP1290 (v1.1) 2016 年 9 月 29 日 49japan.xilinx.com

gth_wiz_reset_all_in 入力 1ト ランシーバー プリ ミ ティブの PLL (位相ロッ ク ループ) およびアクティブなデータ方向を リセッ トするユーザー信号です。 このアクティブ High の非同期信号を gth_drpclk_in の少なく と も 1 周期間パルスする と、 プロセスが初期化されます。

gth_wiz_reset_tx_pll_and_datapath_in 入力 1

ト ランシーバー プリ ミ ティブの送信データ方向および関連する PLL を リセッ トするユーザー信号です。 このアクティブ High の非同期信号を gth_drpclk_in の少なく と も 1 周期間パルスする と、 プロセスが初期化されます。

gth_wiz_reset_rx_pll_and_datapath_in 入力 1

ト ランシーバー プリ ミ ティブの受信データ方向および関連する PLL を リセッ トするユーザー信号です。 このアクティブ High の非同期信号を gth_drpclk_in の少なく と も 1 周期間パルスする と、 プロセスが初期化されます。

gth_wiz_txresetdone_out 出力 1 ト ランシーバー プリ ミ ティブの ト ランス ミ ッ ター リセッ ト シーケンスが正し く完了したこ とを示すアクティブ High の信号です。 この出力は tx_usrclk_out に同期します。

gth_wiz_rxresetdone_out 出力 1 ト ランシーバー プリ ミ ティブのレシーバー リセッ ト シーケンスが完了したこ とを示すアクティブ High の信号です。 この出力は rx_usrclk_out に同期します。

gth_drpclk_in 入力 1 GTH への DRP ク ロ ッ クです。 このポートは通常、 rx_fxdclk_in と同じ クロ ッ クで駆動されます。

gth_qpll0_refclk_p_in 入力 1 このポートは、 MGTREFCLK0P または MGTREFCLK1P FPGA のいずれかの FPGA 入力ポートへ接続する必要があ り、 IBUFDS_GTE3 プリ ミ ティブの I ピンを駆動します。

gth_qpll0_refclk_n_in 入力 1 このポートは、 MGTREFCLK0N または MGTREFCLK1N のいずれかの FPGA 入力ポートへ接続する必要があ り、 IBUFDS_GTE3 プリ ミ ティブの IB ピンを駆動します。

gth_qpll0_reset_in 入力 1 GTHE3_COMMON プリ ミ ティブの QPLL0RESET ピンのアクティブ High リセッ ト入力です。

gth_qpll0_clk_out 出力 1 SDI ラ ッパーの gth_qpll0_clk_in ポートへ接続する必要があ り ます。 GTHE3_COMMON プリ ミ ティブの QPLL0OUTCLK ポートからのクロ ッ ク出力です。

gth_qpll0_refclk_out 出力 1 SDI ラ ッパーの gth_qpll0_refclk_in ポートへ接続する必要があ り ます。GTHE3_COMMON プリ ミ ティブの QLL0OUTREFCLK ポートからのクロ ッ ク出力です。

gth_qpll0_lock_out 出力 1SDI ラ ッパーの gth_qpll0_lock_in ポートへ接続する必要があ り ます。GTHE3_COMMON の QPLL0LOCK ポートから送信される、QPLL0 のロ ッ クを示すアクティブ High 出力です。

gth_qpll1_refclk_p_in 入力 1 このポートは、 MGTREFCLK0P または MGTREFCLK1P FPGA のいずれかの FPGA 入力ポートへ接続する必要があ り、 IBUFDS_GTE3 プリ ミ ティブの I ピンを駆動します。

gth_qpll1_refclk_n_in 入力 1 このポートは、 MGTREFCLK0N または MGTREFCLK1N のいずれかの FPGA 入力ポートへ接続する必要があ り、 IBUFDS_GTE3 プリ ミ ティブの IB ピンを駆動します。

gth_qpll1_reset_in 入力 1 GTHE3_COMMON プリ ミ ティブの QPLL1RESET ピンのアクティブ High リセッ ト入力です。

gth_qpll1_clk_out 出力 1 SDI ラ ッパーの gth_qpll1_clk_in ポートへ接続する必要があ り ます。 GTHE3_COMMON プリ ミ ティブの QPLL1OUTCLK ポートからのクロ ッ ク出力です。

gth_qpll1_refclk_out 出力 1 SDI ラ ッパーの gth_qpll1_refclk_in ポートへ接続する必要があ り ます。GTHE3_COMMON プリ ミ ティブの QLL1OUTREFCLK ポートからのクロ ッ ク出力です。

gth_qpll1_lock_out 出力 1SDI ラ ッパーの gth_qpll1_lock_in ポートへ接続する必要があ り ます。GTHE3_COMMON の QPLL1LOCK ポートから送信される、QPLL1 のロ ッ クを示すアクティブ High 出力です。

gth_cpll_refclk_out 出力 1 このポートは、 SDI ラ ッパーの gth_cpll_refclk_in ポートへ接続するこ とを目的と しています。 IBUFDS_GTE3 プリ ミ ティブから送信されるクロ ッ ク出力です。

gth_cpll_lock_out 出力 1 GTHE3_CHANNEL の CPLLLOCK ポートからのアクティブ High の周波数ロック出力です。

gth_rxn_in 入力 1 このポートは、 GTHE3_CHANNEL プリ ミ ティブの GTHRXN 差動入力へ接続します。

gth_rxp_in 入力 1 このポートは、 GTHE3_CHANNEL プリ ミ ティブの GTHRXP 差動入力へ接続します。

表 5: SDI ラッパーのポート リス ト (続き)

ポート名 I/O 幅 説明

リファレンス デザインの実行手順

XAPP1290 (v1.1) 2016 年 9 月 29 日 50japan.xilinx.com

gth_txn_out 出力 1 このポートは、 GTHE3_CHANNEL プリ ミ ティブの GTHTXN 差動出力へ接続します。

gth_txp_out 出力 1 このポートは、 GTHE3_CHANNEL プリ ミ ティブの GTHYXP 差動出力へ接続します。

SDI ラッパーの GTH ポート

gth_wiz_reset_all_in 入力 1ト ランシーバー プリ ミ ティブの PLL (位相ロッ ク ループ) およびアクティブなデータ方向を リセッ トするユーザー信号です。 このアクティブ High の非同期信号を gth_drpclk_in の少なく と も 1 周期間パルスする と、 プロセスが初期化されます。

gth_wiz_reset_tx_pll_and_datapath_in 入力 1

ト ランシーバー プリ ミ ティブの送信データ方向および関連する PLL を リセッ トするユーザー信号です。 このアクティブ High の非同期信号を gth_drpclk_in の少なく と も 1 周期間パルスする と、 プロセスが初期化されます。

gth_wiz_reset_rx_pll_and_datapath_in 入力 1

ト ランシーバー プリ ミ ティブの受信データ方向および関連する PLL を リセッ トするユーザー信号です。 このアクティブ High の非同期信号を gth_drpclk_in の少なく と も 1 周期間パルスする と、 プロセスが初期化されます。

gth_wiz_txresetdone_out 出力 1 ト ランシーバー プリ ミ ティブの ト ランス ミ ッ ター リセッ ト シーケンスが正し く完了したこ とを示すアクティブ High の信号です。 この出力は tx_usrclk_out に同期します。

gth_wiz_rxresetdone_out 出力 1 ト ランシーバー プリ ミ ティブのレシーバー リセッ ト シーケンスが完了したこ とを示すアクティブ High の信号です。 この出力は rx_usrclk_out に同期します。

gth_drpclk_in 入力 1 GTH への DRP ク ロ ッ クです。 このポートは通常、 rx_fxdclk_in と同じ クロ ッ クで駆動されます。

gth_qpll0_clk_in 入力 1 SDI ラ ッパー サポートの gth_qpll0_clk_out ポートへ接続する必要があ り ます。GTHE3_COMMON プリ ミ ティブの QPLL0OUTCLK ポートからのクロ ッ ク入力です。

gth_qpll0_refclk_in 入力 1 SDI ラ ッパー サポートの gth_qpll0_refclk_out ポートへ接続する必要があり ます。GTHE3_COMMON プリ ミティブの QPLL0OUTREFCLK ポートからのクロッ ク入力です。

gth_qpll0_lock_in 入力 1SDI ラ ッパー サポートの gth_qpll0_lock_out ポートへ接続する必要があ り ます。GTHE3_COMMON の QPLL0LOCK ポートから送信される、QPLL0 のロ ッ クを示すアクティブ High 出力です。

gth_qpll1_clk_in 入力 1 SDI ラ ッパー サポートの gth_qpll1_clk_out ポートへ接続する必要があ り ます。GTHE3_COMMON プリ ミ ティブの QPLL1OUTCLK ポートからのクロ ッ ク入力です。

gth_qpll1_refclk_in 入力 1 SDI ラ ッパー サポートの gth_qpll1_refclk_out ポートへ接続する必要があり ます。GTHE3_COMMON プリ ミティブの QPLL1OUTREFCLK ポートからのクロッ ク入力です。

gth_qpll1_lock_in 入力 1SDI ラ ッパー サポートの gth_qpll1_lock_out ポートへ接続する必要があ り ます。GTHE3_COMMON の QPLL1LOCK ポートから送信される、QPLL1 のロ ッ クを示すアクティブ High 出力です。

gth_cpll_refclk_in 入力 1 GTHE3_CHANNEL プリ ミ ティブの GTREFCLK 用のクロ ッ ク入力です。 通常、 このポートは、 IBUFDS_GTE3 プリ ミ ティブからのクロ ッ クで駆動されます。

gth_cpll_lock_out 出力 1 GTHE3_CHANNEL の CPLLLOCK ポートからのアクティブ High の周波数ロック出力です。

gth_rxn_in 入力 1 このポートは、 GTHE3_CHANNEL プリ ミ ティブの GTHRXN 差動入力へ接続します。

gth_rxp_in 入力 1 このポートは、 GTHE3_CHANNEL プリ ミ ティブの GTHRXP 差動入力へ接続します。

gth_txn_out 出力 1 このポートは、 GTHE3_CHANNEL プリ ミ ティブの GTHTXN 差動出力へ接続します。

gth_txp_out 出力 1 このポートは、 GTHE3_CHANNEL プリ ミ ティブの GTHYXP 差動出力へ接続します。

注記:1. RX EDH プロセッサを含まない SDI コアを生成した場合 ( SMPTE SD/HD/3G-SDI LogiCORE IPの GUI で有効なオプシ ョ ン)、 RX EDH プロセッサに

関連する RX ポートはあ り ません。 SDI コアに RX EDH プロセッサが含まれない場合は、 RX EDH プロセッサに対応するために必要なすべてのポー

トが含まれている kugth_3gsdi_wrapper.v SDI ラ ッパー ファ イルを使用しないでください。代わりに、 kugth_3gsdi_norxedh_wrapper.v SDI ラ ッパー ファイルを使用してください。

表 5: SDI ラッパーのポート リス ト (続き)

ポート名 I/O 幅 説明

リファレンス デザインの実行手順

XAPP1290 (v1.1) 2016 年 9 月 29 日 51japan.xilinx.com

表 6 に、 SDI ラ ッパーへ適用できるパラ メーターを示します。

ビデオ伝送検出ポート

SDI コアの RX 部には SDI 転送フォーマッ ト検出回路があ り ます。 この回路では、 SDI データ ス ト リームにおけるビデオ転送のタイ ミ ングを分析し、 受信しているビデオ フォーマッ ト を判断します。 この回路の動作は、 ST 352 ペイロード ID パケッ トの有無に依存しません。 この回路は、 画像フォーマッ トではなく転送フォーマッ ト を判断します。 これらの両フォーマッ トは通常は同じですが、 必ずしもそ う とは限り ません。 たとえば、 3G-SDI レベル B-DL で 1080p 50 Hz のビデオ転送が行われる場合、 実際のビデオ転送は 1080i 50 Hz とな り ます。 転送はインターレース方式で、 画像はプログレッシブ方式です。

rx_t_family 出力ポートでは、 SDI 信号の転送におけるビデオ フォーマッ ト ファ ミ リ を示す 4 ビッ トのコードを提供します。 表 7 に、 この出力ポートのエンコードを示します。 また転送検出ユニッ トは、 SDI 転送の方式 (インターレースまたはプログレッシブ) も判断し、 rx_t_scan 出力ポートへレポート します。

表 6: SDI ラッパーのパラメーター リス ト

名前 タイプ デフォルト 説明

SDI IP のパラメーター

INCLUDE_RX_EDH_PROCESSOR 文字列 TRUE

TRUE の場合、 SD-SDI モードでのエラー検出に使用する EDH プロセッサが RX 部に含まれます。

FALSE の場合、 EDH プロセッサは RX 部に含まれません。

SDI GTH TX コン ト ローラーのパラメーター

TXPLLCLKSEL_TX_M_0 バイナリ 2'b11このパラ メーターは、 tx_m_in がロジッ ク Low の場合、 GTHE3_CHANNEL の txpllclksel ピンに適用される値を指定します。 有効な値は、 2'b00 (CPLL)、2'b11 (QPLL0)、 および 2'b10 (QPLL1) です。

TXPLLCLKSEL_TX_M_1 バイナリ 2'b10このパラ メーターは、 tx_m_in がロジッ ク High の場合、 GTHE3_CHANNEL の txpllclksel ピンに適用される値を指定します。 有効な値は、 2'b00 (CPLL)、2'b11 (QPLL0)、 および 2'b10 (QPLL1) です。

SDI GTH RX コン トローラーのパラメーター

RX_FXDCLK_FREQ 整数 27,000,000SDI ラ ッパーの clk ポートの固定周波数を指定 (Hz) します。 このクロ ッ クの公称周波数は、 タイ ミ ングを満たすため、 このクロ ッ クに依存する制御モジュールが正し く動作するよ うに適切に指定します。

RXPLLCLKSEL_RX_M_0 バイナリ 2'b11このパラ メーターは、 3'b110 を除くすべての rx_mode_out 値の場合に、GTHE3_CHANNEL の rxpllclksel ピンに適用される値を指定します。 有効な値は、 2'b00 (CPLL)、 2'b11 (QPLL0)、 および 2'b10 (QPLL1) です。

RXPLLCLKSEL_RX_M_1 バイナリ 2'b11

このパラ メーターは、 GTHE3_CHANNEL の rxpllclksel ピンに適用される値を指定します。 このアプリ ケーシ ョ ン ノートでは、 このパラ メーターを使用しません。 このパラ メーターは RXPLLCLKSEL_RX_M_0 と同じ値に設定できます。 有効な値は、 2'b00 (CPLL)、 2'b11 (QPLL0)、 および 2'b10 (QPLL1) です。

GTH Transceiver Wizard IP のパラメーター

XY_SITE 文字列 x0y16 このパラ メーターは、 FPGA 内における GTH Wizard IP インスタンスの場所を指定します。

表 7: rx_t_family_out のエンコード

rx_t_family 転送ビデオ フォーマッ ト アクテ ィブ ピクセル

0000 SMPTE ST 274 1,920 x 1,080

0001 SMPTE ST 296 1,280 x 720

0010 SMPTE ST 2048-2 2,048 x 1,080

0011 SMPTE ST 295 1,920 x 1,080

1000 NTSC 720 x 486

1001 PAL 720 x 486

リファレンス デザインの実行手順

XAPP1290 (v1.1) 2016 年 9 月 29 日 52japan.xilinx.com

転送フォーマッ ト検出回路は、 SDI 信号の転送レート も判断します。 rx_t_rate_out ポートで転送フレーム レート を示します (表 8 参照)。

フレーム レートのエンコードは、 SMPTE ST 352 ビデオ ペイロード ID パケッ トの画像レート フ ィールド と して使用されるエンコード と同じです。 ただし、 rx_t_rate_out は、 画像レートではなく、 転送フレーム レート を示します。 また、rx_t_rate_out ポートの値は、 インターレース転送であっても常にフレーム レート を示します。

重要: SDI RX が SDI 信号にロッ ク した後、 転送フォーマッ ト検出回路が転送フォーマッ ト を認識するまでに、 大で 2 ビデオ フレーム必要です。

SD-SDI RX EDH プロセッサ

SDI レシーバーには、 SD-SDI モードでのレシーバー エラーを検出するために、 EDH プロセッサをオプシ ョ ンで含めることができます。 EDH プロセッサは、 SD-SDI データ ス ト リーム内の EDH パケッ ト をアップデート しません。 単にエラーをレポート し、 各 EDH パケッ トからのエラー フラグをキャプチャするだけです。

EDH プロセッサには、 エラーがあるフ ィールドの数をカウン トする 16 ビッ トのカウンターがあ り ます。 現在のエラー数は、 SDI ラ ッパーの rx_edh_errcnt_out ポートに出力されます。 カウンター値は、 rx_edh_clr_errcnt_in がロジッ ク High にアサート される と ク リ アされます。 ユーザーは、 rx_edh_errcnt_en_in ポート を使用し、 このカウンターでカウン ト されるエラーの種類を指定できます。 このポートには、 16 個の異なるエラー タイプを有効/無効に設定するための 16 ビッ トがあり ます。 ロジッ ク High に設定されたビッ トに基づいて、 対応するエラー タイプがカウンターでカウン ト されるよ うになり ます。 ロジッ ク Low に設定されたビッ トは、 対応するエラー タイプを無効にします。 同じフ ィールドで複数のエラーが生じる場合、 EDH エラー カウンターは 1 つしかインク リ メン ト しません。 表 9 に、 rx_edh_errcnt_en_in ポートのビッ トのエンコードを示します。

1111 不明

その他 予約

表 8: rx_t_rate_out のエンコード

rx_t_rate_out フレーム レート

0000 なし

0010 23.98 Hz

0011 24 Hz

0100 47.95 Hz

0101 25 Hz

0110 29.97 Hz

0111 30 Hz

1000 48 Hz

1001 50 Hz

1010 59.94 Hz

1011 60 Hz

その他 予約

表 7: rx_t_family_out のエンコード (続き)

rx_t_family 転送ビデオ フォーマッ ト アクテ ィブ ピクセル

表 9: rx_edh_errcnt_en_in のビッ ト

ビッ ト エラー

0 ANC EDH エラー

1 ANC EDA エラー

2 ANC IDH エラー

3 ANC IDA エラー

リファレンス デザインの実行手順

XAPP1290 (v1.1) 2016 年 9 月 29 日 53japan.xilinx.com

ANC エラーは補助データ パケッ ト内のエラーを示し、 FF エラーはフル フ ィールド (Full Field) CRC で検出されるエラーを示し、 AP エラーはアクティブ画像 (Active Picture) CRC で検出されるエラーを示します。 EDH パケッ ト チェッ クサム エラーは、 EDH パケッ ト内でチェッ クサム エラーが生じたこ とを示します。

ANC、 FF、 AP それぞれのエラー条件には、 5 つの個々のエラー フラグがあ り ます。 これらのフラグがロジッ ク High にアサート されるこ とで、 エラー条件を示します。 EDH パケッ トの EDH、 EDA、 IDH、 IDA、 および UES エラー フラグの詳細は、 SMPTE RP 165 [参照 1] の資料を参照してください。

• EDH エラー : EDH プロセッサがフ ィールドで CRC エラー (ANC パケッ トのチェッ クサム エラー ) を検出する と、 このエラー条件が生じます。 たとえば、 FF EDH エラー フラグは、 フル フ ィールド CRC でエラーが検出したこ とを示します。

• EDA エラー : 受信した EDH パケッ トの EDA または EDH フラグがアサート される と、 このエラー条件が生じます。

• IDH エラー : このエラー条件は、 RX EDH プロセッサでサポート されていません。

• IDA エラー : 受信した EDH パケッ トの IDA または IDH フラグがアサート される と、 このエラー条件が生じます。

• UES エラー : 受信した EDH パケッ トの UES フラグがアサート される と、 このエラー条件が生じます。

エラー カウンターが有効の場合、 これらがカウン ト される と同時に、 検出された ANC EDH、 AP EDH、 および FF EDH エラーも rx_edh_anc_out、 rx_edh_ap_out、 および rx_edh_ff_out ポートのそれぞれのアサートによって示されます。 つまり、 rx_edh_anc ポートは、 補助データ パケッ トにチェッ クサム エラーが検出される とアサート されます。 rx_edh_ap ポートは、 計算されたアクティブ画像 CRC が EDH パケッ ト内の AP CRC と一致していない場合にアサート されます。rx_edh_ff_out ポートは、計算されたフル フ ィールド CRC が EDH パケッ ト内の FF CRC と一致していない場合にアサートされます。

RX EDH プロセッサも、 EDH パケッ トからの ANC、 AP、 および FF エラー フラグを rx_edh_anc_flags_out、rx_edh_ap_flags_out、および rx_edh_ff_flags_out ポートにそれぞれ出力します。 これらの出力ポートでは、 後に受信した EDH パケッ トで生じたフラグを正確に反映します。 したがって、 これらは、 エラー カウンターをインク リ メン トするための検出されたエラーや rx_edh_anc_out、 rx_edh_ap_out、 および rx_edh_ff_out ポートの出力とは異なり ます。 たとえば、rx_edh_ap_flags_out ポートの EDH フラグ (ビッ ト 0) は、 後に受信した EDH パケッ トで AP EDH フラグがセッ ト されたこ とを示しますが、 rx_edh_ap_out ポートは、 EDH プロセッサでローカルに計算されたアクティブ画像 CRC が EDH パケッ トの AP CRC と一致していないこ とを示します。

rx_edh_anc_flags_out、 rx_edh_ap_flags_out、 および rx_edh_ff_flags_out ポートは、 それぞれ 5 ビッ ト幅です。 これら 3 つすべてのポートのエンコードは同じです (表 10 参照)。

4 ANC UES エラー

5 FF EDH エラー

6 FF EDA エラー

7 FF IDH エラー

8 FF IDA エラー

9 FF UES エラー

10 AP EDH エラー

11 AP EDA エラー

12 AP IDH エラー

13 AP IDA エラー

14 AP UES エラー

15 EDH パケッ ト チェッ クサム エラー

表 10: ANC、 AP、 および FF エラー フラグのポート エンコード

ビッ ト エラー

0 EDH

1 EDA

表 9: rx_edh_errcnt_en_in のビッ ト (続き)

ビッ ト エラー

リファレンス デザインの実行手順

XAPP1290 (v1.1) 2016 年 9 月 29 日 54japan.xilinx.com

また、 RX EDH プロセッサは、 EDH パケッ トのフォーマッ トやコンテンツに応じて 4 つのエラー フラグを生成します。これらのエラー フラグは、 rx_edh_packet_flags_out ポートに出力されます。 表 11 に、 このポートのエンコードを示します。

GTH の初期化と リセッ ト、 および変更シーケンスのエラー コード

GTH RX の初期化シーケンスまたはリセッ ト シーケンス、 あるいは RX SDI モードの動的変更を実行中にエラーが発生する と、 rx_change_fail_out ポートがロジッ ク High にアサート されてエラー コードが rx_change_fail_code_out ポートに出力されます。 各シーケンスは、 リ ト ラ イ カウンターで許容される 大回数まで再実行された後にのみ、 エラーで終了します。 リ ト ラ イ可能な 大回数は、 RX_RETRY_CNTR_MSB パラ メーターまたは SDI ラ ッパー モジュールの kugth_3gsdi_control.v ジェネ リ ッ クで指定した リ ト ラ イ カウンターのビッ ト幅で制御されます。 リ ト ラ イの回数は次の式で求められます。

リ ト ラ イ = 2 RX_RETRY_CNTR_MSB - 1

表 12 に、 rx_change_fail_out ポートのエンコードを示します。

2 IDH

3 IDA

4 UES

表 11: rx_edh_packet_flags ポートのエンコード

ビッ ト エラー

0 EDH パケッ ト を確認できない

1 EDH パケッ トのユーザー データ ワードにパリティ エラーがある

2 EDH パケッ トにチェッ クサム エラーがある

3 EDH パケッ トにフォーマッ ト エラーがある (無効なデータ数など)

表 12: rx_change_fail_code_out ポートのエンコード

コード 説明

0 予約

1

GTH ト ランシーバーの RXCDR_CFG2 属性を変更するこ とが必要となる RX SDI モードの変更が要求される と、 kugth_3gsdi_control モジュールがこの属性を変更するために DRP 書き込みサイクルを実行しよ う とします。 thekugth_3gsdi_drp_control 制御モジュールが、 書き込まれた RXCDR_CFG2 値と リ ト ラ イ後の実際のコンテンツとの間に不一致を検出する と、 このコードでシーケンスにエラーが発生します。

2

GTH ト ランシーバーの RXOUT_DIV 属性を変更するこ とが必要となる RX SDI モードの変更が要求されると、 kugth_3gsdi_drp_control モジュールがこの属性を変更するために DRP 書き込みサイクルを実行しよ うと します。 kugth_3gsdi_drp_control 制御モジュールが、 書き込まれた RXOUT_DIV 値と リ ト ラ イ後の実際のコンテンツとの間に不一致を検出する と、 このコードでシーケンスにエラーが発生します。

3

動的変更を実行中に DRP および GTH ポートに対する一連のシーケンスが完了した後、 GTH RX 部分を リセッ トするために GTH Wizard IP の gtwiz_reset_rx_datapath_in ポートがアサート されます。 リ ト ラ イ後に GTH Wizard IP の gtwiz_reset_rx_done_out ポートがアサート されない場合、 このコードでシーケンスにエラーが発生します。

4

GTH ト ランシーバーの RXDATA_WIDTH 属性を変更するこ とが必要となる RX SDI モードの変更が要求される と、 kugth_3gsdi_control モジュールがこの属性を変更するために DRP 書き込みサイクルを実行しよ うと します。 kugth_3gsdi_drp_control 制御モジュールが、 書き込まれた RXDATA_WIDTH 値と リ ト ラ イ後の実際のコンテンツとの間に不一致を検出する と、 このコードでシーケンスにエラーが発生します。

5

GTH ト ランシーバーの RXINT_DATAWIDTH 属性を変更するこ とが必要となる RX SDI モードの変更が要求される と、 kugth_3gsdi_control モジュールがこの属性を変更するために DRP 書き込みサイクルを実行しよ う と します。 kugth_3gsdi_drp_control 制御モジュールが、 書き込まれた RXINT_DATAWIDTH 値と リ ト ライ後の実際のコンテンツとの間に不一致を検出する と、 このコードでシーケンスにエラーが発生します。

表 10: ANC、 AP、 および FF エラー フラグのポート エンコード (続き)

ビッ ト エラー

リファレンス デザインの実行手順

XAPP1290 (v1.1) 2016 年 9 月 29 日 55japan.xilinx.com

rx_change_fail_out ポートがロジッ ク High に遷移するすべてのシーケンス エラーでは、 SDI ラ ッパーの GTH RX 制御ロジッ クがエラー状態で停止するこ とばあ り ます。 GTH RX はそのまま SDI 信号を受信できますが、 通常実行する SDI モードの動的変更は行いません。 rx_change_fail_out のロジッ ク High 遷移で示されるエラーが生じた場合には、 rx_rst_in および gth_wiz_reset_rx_pll_and_datapath_in を使用して GTH RX 全体を リセッ トする必要があ り ます。この出力は gth_drpclk_in に同期します。 エラーが繰り返される場合は、 アプリ ケーシ ョ ンの設計に問題がある と考えられます。

GTH TX の初期化シーケンスまたはリセッ ト シーケンス、 あるいは TX SDI モードの動的変更を実行中にエラーが発生する と、 tx_change_fail_out ポートがロジッ ク High にアサート されてエラー コードが tx_change_fail_code_out ポートに出力されます。 各シーケンスは、 リ ト ラ イ カウンターで許容される 大回数まで再実行された後にのみ、 エラーで終了します。 リ ト ラ イ可能な 大回数は、 TX_RETRY_CNTR_MSB パラ メーターまたは SDI ラ ッパー モジュールの kugth_3gsdi_control.v ジェネ リ ッ クで指定した リ ト ラ イ カウンターのビッ ト幅で制御されます。

リ ト ラ イの回数は次の式で求められます。

リ ト ラ イ = 2 TX_RETRY_CNTR_MSB - 1

表 13 に、 tx_change_fail_code ポートのエンコードを示します。

タイ ミング制約の適用

SDI ラ ッパーおよび SDI コアについては、 こ こに示すクロ ッ クの周期にのみ制約を適用する必要があ り ます。

• SDI ラ ッパー内の clk ポートに適用されるクロ ッ ク

• SDI ラ ッパー内の drpclk ポートに適用されるク ロ ッ ク

• SDI ラ ッパー内の tx_outclk 信号

• SDI ラ ッパー内の rx_outclk 信号

6 予約

7 予約

表 13: tx_change_fail_code_out ポートのエンコード

コード 説明

0 予約

1 GTH ト ランシーバーの TXDATA_WIDTH 属性を変更するこ とが必要となる TX SDI モードの変更が要求される と、 kugth_3gsdi_control モジュールがこの属性を変更するために DRP 書き込みサイクルを実行しよ うと します。 kugth_3gsdi_drp_control 制御モジュールが、 書き込まれた TXDATA_WIDTH 値と リ ト ラ イ後の実際のコンテンツとの間に不一致を検出する と、 このコードでシーケンスにエラーが発生します。

2 GTH ト ランシーバーの TXINT_DATAWIDTH 属性を変更するこ とが必要となる TX SDI モードの変更が要求される と、 kugth_3gsdi_control モジュールがこの属性を変更するために DRP 書き込みサイクルを実行しよ う と します。 vkugth_3gsdi_drp_control 制御モジュールが、 書き込まれた TXINT_DATAWIDTH 値と リ トラ イ後の実際のコンテンツとの間に不一致を検出する と、 このコードでシーケンスにエラーが発生します。

3 GTH ト ランシーバーの TXOUT_DIV 属性を変更するこ とが必要となる TX SDI モードの変更が要求されると、 kugth_3gsdi_control モジュールがこの属性を変更するために DRP 書き込みサイクルを実行しよ う と します。 kugth_3gsdi_drp_control 制御モジュールが、 書き込まれた TXOUT_DIV 値と リ ト ラ イ後の実際のコンテンツとの間に不一致を検出する と、 このコードでシーケンスにエラーが発生します。

4 動的変更を実行中に DRP および GTH ポートに対する一連のシーケンスが完了した後、 GTH Wizard IP の gtwiz_reset_tx_datapath_in ポートがアサート されて、GTH TX 部分がリセッ ト されます。 リ ト ラ イ後に GTH Wizard IP の gtwiz_reset_tx_done_out ポートがアサート されない場合、 このコードでシーケンスにエラーが発生します。

5 予約

6 予約

7 予約

表 12: rx_change_fail_code_out ポートのエンコード (続き)

コード 説明

リファレンス デザインの実行手順

XAPP1290 (v1.1) 2016 年 9 月 29 日 56japan.xilinx.com

tx_outclk および rx_outclk ク ロ ッ クは一般に、 148.5 MHz に制約され、 場合によっては 150 MHz に切り上げられます。

示されない限り、 Vivado ツールはすべてのクロ ッ クが関連する と見なします。 通常、 SDI ラ ッパーのさまざまなク ロ ッ クは関連していないため、 関連していないこ とを示すには制約が必要です。

SDI サンプル デザインで提供する SDI デモンス ト レーシ ョ ン例のタイ ミ ング制約ファイルを参照してください。

SDI デモンスト レーシ ョ ンのコンパイル

リ ファレンス デザインのコンパイルは 4 つの手順で実行し、 約 30 分で完了します。 次の手順に従ってコンパイルを開始します。

1. xapp1290-smpte-3gsdi-with-kintex-us-gth-trans.zip ファ イルを解凍します。

2. Vivado Design Suite (2016.1 以降) を開きます。

3. Vivado の Tcl コンソールに次を入力します。

4. cd <unzip_dir>\xapp1290

5. all.tcl を source コマンドで実行します。

6. プロジェク トのコンパイルが完了するまで待機します。

Tcl スク リプ トは、 6 つの手順でビッ ト ス ト リーム生成を完了します。

1. プロジェク ト を作成します。

2. RTL ソースをインポート します。

3. デザインの制約ファイルを追加します。

4. ザイ リ ンクス IP を生成します。

° tx_vio

° rx_vio

° rx_ila

5. x0y16 用の GT Wizard IP (v_smpte_sdi_gtwiz_x0y16)

6. inrevium 社製 12G-SDI FMC カードの制御用に IP インテグレーター サブシステムを構築します。

7. コンパイルを実行します。

重要: ES デバイスの場合、 Vivado で不完全な LUT RAM がデザインに配線されないよ う特別な PROHIBIT 制約が必要です。 詳細は、 販売代理店にお問い合わせください。

FMC コン ト ローラーの SDK プロジェク トの再コンパイル

all.tcl スク リプ トの完了後、 プロジェク トのハード ウェア情報をエクスポート し、 SDK ソース コードをインポート して SDK 環境を準備します。

1. Vivado Design Suite で、 [File] → [Export] → [Export Hardware] をク リ ッ ク します。

2. [Export Hardware] ウ ィンド ウで次を実行します。

a. [Include bitstream option] をオンにします。

b. [Export to] に<unzip_dir>\xapp1290\srcs\fidus_fmc_ctlr\SW を指定します。

3. [File] → [Launch SDK] をク リ ッ ク して SDK 統合設計環境 (IDE) を起動します。

a. [Exported Location] および [Workspace] に <unzip_dir>\xapp1290\srcs\fidus_fmc_ctlr\SW を指定します。

b. ボード サポート パッケージ ウ ィンド ウで、 [File] → [New] → [Board Support Package] をク リ ッ ク します。

c. [Project name] に 「fidus_fmc_ctlr_bsp」 と入力し、 [Finish] をク リ ッ ク します。

d. [Board Support Package Settings] で [OK] をク リ ッ ク します。

4. SDK IDE で、 [File] → [Import] をク リ ッ ク します。

5. [Import] ポップアップ ウ ィンド ウで、 次を実行します。

リファレンス デザインの実行手順

XAPP1290 (v1.1) 2016 年 9 月 29 日 57japan.xilinx.com

a. [General]→ [Existing Projects] をク リ ッ ク します。

b. [Next] をク リ ッ ク します。

c. [Browse] をク リ ッ ク し、 対応するフォルダーを参照しているこ とを確認します。<unzip_dir>\xapp1290\srcs\fidus_fmc_ctlr\SW を指定します。

d. [OK] をク リ ッ ク します。

e. [fidus_fmc_ctlr] がオンになっているこ とを確認します。

f. [Finish] をク リ ッ ク します。

6. fidus_fmc_ctlr に fidus_fmc_ctlr_bsp を割り当てます。

a. SDK で、 [fidus_fmc_ctlr] フォルダーを右ク リ ッ ク します。

b. [Change Referenced BSP] をク リ ッ ク します。

c. [ f idus_fmc_ctlr_bsp] を選択して [OK] をク リ ッ ク します。

結果

この文書では、 SMPTE SD/HD/3G-SDI LogiCORE IP コアと Kintex UltraScale FPGA に搭載されている GTH ト ランシーバーを使用して、 SMPTE SD-SDI、 HD-SDI および 3G-SDI 規格に準拠した SDI インターフェイスを実装する方法について説明しています。 このアプリ ケーシ ョ ン ノートで提供している SDI サンプル デザインには、 SDI アプリ ケーシ ョ ンに GTH トランシーバーを実装する際に欠かせないデバイス特有の制御ロジッ クが含まれています。 また 2 つの SDI デモ アプリケーシ ョ ンを用いて Kintex UltraScale FPGA デザインに使用する SDI インプリ メンテーシ ョ ンについて詳し く説明しています。

FPGA のリソース使用率

表 14 に、Kintex UltraScale GTH ト ランシーバーと接続する SDI インターフェイスに必要な FPGA リ ソースを示しています。

リ ソース使用数には、 SDI ラ ッパー サポート インスタンスの中に含まれるインターフェイスの実装に必要なすべてのモジュールが含まれます。 こ こには、 一般的なコンフ ィギュレーシ ョ ンのリ ソース使用数を示します。 こ こに示す結果は、Vivado Design Suite 2016.1 を使用して得た値です。

SDI レシーバーおよびト ランス ミ ッ ター インターフェイス デザインでは、 MMCM ( ミ ッ クス ド モード ク ロ ッ ク マネージャー )、 ブロ ッ ク RAM、 または DSP ブロ ッ クを使用しません。

通常、 SDI TX と SDI RX それぞれにグローバル ク ロ ッ クまたはリージ ョナル ク ロ ッ クが 1 つ必要です。 SDI ラ ッパーにタイ ミ ング用と して固定周波数のグローバル ク ロ ッ クが 1 つ必要です。 このク ロ ッ クは、 通常 GTH DRP ク ロ ッ ク と しても使用されます。 FPGA に実装された SDI インターフェイスの数にかかわらず、 このよ うな固定周波数のグローバル クロ ッ クは 1 つしか必要あ り ません。

制約

制約ファイルの例は、 リ ファレンス デザインと共に提供されており、 SDI インターフェイスに必要なタイ ミ ングおよび配置の制約例と して使用できます。 タイ ミ ングに関して一般に必要となるのは、 GTH ト ランシーバーの基準クロッ ク IOB ピンの周期制約と、 DRPCLK および SDI ラ ッパーの rx_fxdclk_in ポートで使用される固定周波数クロッ クの周期制約です。GTH 基準クロッ クの制約では、 各クロッ クの周期を 148.5 MHz (通常、 150 MHz に切り上げる) に指定する必要があり ます。GTH ト ランシーバーの I/O 配置およびクロッ クの制約は、 各 GTH Wizard IP 内ですでに指定されています。

表 14: Kintex UltraScale GTH SDI インターフェイスに必要な FPGA リソース数

SDI IP およびラッパーのコンフ ィギュレーシ ョ ンFF LUT メモリ LUT BUFG

最大ライン レート UHD-SDI コア

3G-SDI RX (EDH プロセッサあ り ) 2,910 3,072 31 2

RX (EDH プロセッサなし ) 2,539 2,672 29 2

用語解説

XAPP1290 (v1.1) 2016 年 9 月 29 日 58japan.xilinx.com

用語解説

表 15: 用語の定義

用語 説明

3G-SDI

SMPTE ST 424 規格の一般的な総称で、 3 Gb/s シ リ アル デジタル インターフェイスの略です。 3G-SDI は、 ST 425-1 で定義された 3 つのマッピング モード (3G-SDI レベル A、 レベル B-DL、 および B-DS) をサポート しています。 これらのマッピング モードの詳細は、 ST 425-1 を参照してください。

データ ス ト リームの補助 (ANC) データ

SDI インターフェイスを通る実際のデータを指します。 データ ス ト リームは、 SDI インターフェイスを通過する際、 転送データ構造に従ってフォーマッ トする必要があ り ます。 アクティブ画像データに使用されない SDI データ ス ト リームに組込まれたビデオ以外のデータに使用されます。 ANC データ と して一般的ものと しては、 エンベデッ ド オーディオがあ り ます。 ANC データは、 SMPTE ST 291-1 の定義に基づいて、 補助データ パケッ トにフォーマッ トする必要があ り ます。

EDH データ ス ト リーム

SMPTE RP 165 で定義されている SD-SDI 用のエラー訂正およびハンド リ ング プロ トコルです。 SDI インターフェイスを通る実際のデータをサポート します。 データ スト リームは、 SDI インターフェイスを通過する際、 転送データ構造に従ってフォーマッ トする必要があ り ます。

EAV (アクティブ ビデオの終了) EDH

SMPTE RP 165 規格の一般的な総称で、 SD-SDI 用のエラー訂正およびハンド リ ング プロ ト コルです。 SDI 準拠のデータ ス ト リームの場合、 EAV はデータ ス ト リームに特有な連続する 4 ワードであ り、 ラ インのアクティブ部分の終了や垂直帰線区間の開始をマーキングします。 各ビデオ ラ インは、 EAV の 初のワードで開始する と考えられています。

HD-SDI EAV (アクティブ ビデオの終了)

SMPTE ST 292-1 規格の一般的な総称で、 1.5 Gb/s シ リ アル デジタル インターフェイスの略です。 HD-SDI 準拠のデータ ス ト リームの場合、 EAV はデータ ス ト リームに特有な連続する 4 ワードであ り、 ラ インのアクティブ部分の終了や垂直帰線区間の開始をマーキングします。 各ビデオ ラ インは、 EAV の 初のワードで開始する と考えられています。

インターレース HD-SDI

SMPTE ST 292-1 規格の一般的な総称で、 1.5 Gb/s シ リ アル デジタル インターフェイスの略です。 ビデオ フレームが 2 つのシーケンシャル フ ィールドに分割された映像の走査方式です。 フ ィールド 1 には奇数ラインが含まれ、 フ ィールド 2 には偶数ラインが含まれます。 フ ィールド 2 は、 フ ィールド 1 の奇数ラインの間に表示されます。 2 つのフ ィールドは、 半分のフレーム タイム差で異なる画を示します。

リ ンク インターレース

画像の帯域幅がシ リ アル デジタル インターフェイスの 大帯域幅を超える場合は、シ リ アル デジタル インターフェイスを 2 つまたは 3 つ結合して画像を転送するために帯域幅を拡大できます。 複数リ ンク セッ トの各シ リ アル デジタル インターフェイスを リ ンク と呼びます。 SMPTE ST 372 では、 2 つの HD-SDI リ ンクを使用してより広帯域幅のビデオ フォーマッ ト を転送する方法を定義しています。 ST 425-x ファミ リのマルチリ ンク 3G-SDI 規格は、 現在 SMPTE が開発中です。 3G-SDI レベル B-DL 転送では、 1 つの 3G-SDI インターフェイス上のデュアル リ ンク HD-SDI (ST 372) ペアを両方転送します。 3G-SDI レベル B-DL で伝搬される 2 つのそれぞれの HD-SDI 信号に関しても依然と して リ ンク と呼びます。 リ ンク インターレースは、ビデオ フレームが 2 つのシーケンシャル フ ィールドに分割された映像の走査方式についても示します。 フ ィールド 1 には奇数ラインが含まれ、 フ ィールド 2 には偶数ラインが含まれます。 フ ィールド 2 は、 フ ィールド 1 の奇数ラインの間に表示されます。 2 つのフ ィールドは、 半分のフレーム タイム差で異なる画を示します。

ペイロード ID リ ンク

ビデオ ペイロード ID (VPID) と も呼ばれるペイロード ID は、 SMPTE の 『Payload Identifier Codes for Serial Digital Interfaces』 (ST 352) [参照 1] で定義される補助データ パケッ トです。 4 つのデータ ワードを持つ ST 352 ペイロード ID パケッ トは、 ビデオ画像の特性 (ビデオ フォーマッ ト、 フレーム レート、 走査構造、 色空間など) およびそのペイロードの転送に使用される SDI インターフェイスの種類を識別します。マルチリ ンク インターフェイスの場合、 ペイロード ID には個々のリ ンクを区別するビッ ト も含まれます。

用語解説

XAPP1290 (v1.1) 2016 年 9 月 29 日 59japan.xilinx.com

プログレッシブ ペイロード ID

ノンインターレース映像走査方式です。 プログレッシブ フレームのすべてのラインは、 同じ画に属します。 ビデオ ペイロード ID (VPID) と も呼ばれるペイロード ID は、 SMPTE ST 352で定義される補助データ パケッ トです。 4 つのデータ ワードを持つ ST 352 ペイロード ID パケッ トは、 ビデオ画像の特性 (ビデオ フォーマッ ト、 フレーム レート、 走査構造、 色空間など) およびそのペイロードの転送に使用される SDI インターフェイスの種類を識別します。 マルチリ ンク インターフェイスの場合、ペイロード ID には個々のリ ンクを区別するビッ ト も含まれます。

Serial Digital Interface (SDI) プログレッシブ

元来は、 標準解像度のシ リ アル デジタル インターフェイス、 SMPTE ST 259 規格を指します。 HD-SDI や 3G-SDI の登場によ り、 混乱を避けるために SD-SDI と呼ばれるよ うになり ました。 こ こでは、 SD-SDI、 HD-SDI、 および 3G-SDI の言及には総称的な SDI を用いていますが、 具体的に ST 259 について言及する場合は常に SD-SDI を使用しています。 ノンインターレース映像走査方式です。 プログレッシブ フレームのすべてのラインは、 同じ画に属します。

SD-SDI (Serial Digital Interface)

SMTPE ST 259 規格の一般的な総称で、 標準精細のシ リ アル デジタル インターフェイスの略です。 元来は、 標準解像度のシ リ アル デジタル インターフェイス、SMPTE ST 259 規格を指します。 HD-SDI や 3G-SDI の登場によ り、 混乱を避けるために SD-SDI と呼ばれるよ うにな り ました。 こ こでは、 SD-SDI、 HD-SDI、 および 3G-SDI の言及には総称的な SDI を用いていますが、 具体的に ST 259 について言及する場合は常に SD-SDI を使用しています。

SMPTE SD-SDI SMTPE (Society of Motion Picture and Television Engineers) ST 259 規格の一般的な総称で、 標準精細のシ リ アル デジタル インターフェイスの略です。

SAV (アクティブ ビデオの開始) SMPTE

SDI 準拠のデータ ス ト リームの場合、 SAV はデータ ス ト リームに固有の連続する 4 ワードであ り、 垂直帰線区間の終了やラインのアクティブ部分の開始をマーキングします。通常サンプル 0 と呼ばれる、 ラインの 初のアクティブ ビデオ サンプルは SAV のすぐ後に続きます。

同期スイ ッチング(ポイン ト、 インターバル、ライン)SAV (アクティブ ビデオの開始)

SMPTE RP 168 では、 同期ビデオ ソースの切り換えが可能なビデオ フレームのポイン トが定義されています。 これは通常、 同期スイ ッチング ポイン ト と呼ばれていますが、 実際はライン上の正確なポイン ト という よ り、 区間やラインの一部と して定義されます。 同期スイ ッチング区間を含むラインを、 同期スイ ッチング ラインと呼ぶ場合があ り ます。 SDI 準拠のデータ ス ト リームの場合、 SAV はデータ ス ト リームに固有の連続する 4 ワードであ り、 垂直帰線区間の終了やラインのアクティブ部分の開始をマーキングします。 通常サンプル 0 と呼ばれる、 ラインの 初のアクティブ ビデオ サンプルは SAV のすぐ後に続きます。

伝送同期スイ ッチング(ポイン ト、 インターバル、 ライン)

インターフェイス データ ス ト リームのデータ組織です。 ト ランスポート データ層では、 ビデオ タイ ミ ング情報の伝送に使用される EAV や SAV を定義します。SMPTE RP 168 では、 同期ビデオ ソースの切り換えが可能なビデオ フレームのポイン トが定義されています。 これは通常、 同期スイ ッチング ポイン ト と呼ばれていますが、 実際はライン上の正確なポイン ト という よ り、 区間やラインの一部と して定義されます。 同期スイ ッチング区間を含むラインを、 同期スイ ッチング ラインと呼ぶ場合があ り ます。

タイ ミ ング リ ファレンス信号 (TRS) 伝送

EAV シーケンス と SAV シーケンスの両方について言及する際に使用する一般的な用語です。 インターフェイス データ ス ト リームのデータ組織です。 ト ランスポート データ層では、 ビデオ タイ ミ ング情報の伝送に使用される EAV や SAV を定義します。

XYZ タイ ミ ング リ ファレンス信号 (TRS)

EAV と SAV の 4 番目のワードを XYZ ワード と呼びます。 このワードには、 ビデオ タイ ミ ングを示す水平 (H) ビッ ト とフ ィールド (F) ビッ トが含まれます。 XYZ ワードには、 XYZ ワードのエラーを検出できる保護ビッ ト も含まれています。 EAV シーケンス と SAV シーケンスの両方について言及する際に使用する一般的な用語と しても使用されます。

XYZEAV と SAV の 4 番目のワードを XYZ ワード と呼びます。 このワードには、 ビデオ タイ ミ ングを示す水平 (H) ビッ ト とフ ィールド (F) ビッ トが含まれます。 XYZ ワードには、 XYZ ワードのエラーを検出できる保護ビッ ト も含まれています。

表 15: 用語の定義 (続き)

用語 説明

参考資料

XAPP1290 (v1.1) 2016 年 9 月 29 日 60japan.xilinx.com

参考資料

注記: 日本語版のバージ ョ ンは、 英語版よ り古い場合があ り ます。

1. 次の資料は、 SMPTE (Society of Motion Picture and Television Engineers) のサイ ト (www.smpte.org) から入手できます。

『Error Detection Checkwords and Status Flags for Use in Bit-Serial Digital Interfaces for Television』 (RP 165)

『Definition of Vertical Switching Point for Synchronous Video Switching』 (RP 168)

『Television - SDTV Digital Signal/Data - Serial Digital Interface』 (ST 259)

『Television - Ancillary Data Packet and Space Formatting』 (ST 291-1)

『1.5 Gb/s Signal/Data Serial Interface』 (ST 292-1)

『Television - 540 Mb/s Serial Digital Interface』 (ST 344)

『Payload Identifier Codes for Serial Digital Interfaces』 (ST 352)

『Dual Link 1.5 Gb/s Digital Interface for 1920 x 1080 and 2048 x 1080 Picture Formats』 (ST 372)

『Television - 3 Gb/s Signal/Data Serial Interface』 (ST 424)

『Source Image Format and Ancillary Data Mapping for the 3Gb/s Serial Interface』 (ST 425-1)

2. 『Kintex UltraScale FPGA データシート : DC 特性および AC スイ ッチ特性』 (DS892: 英語版、 日本語版)

3. 『SMPTE SD/HD/3G-SDI 3.0 LogiCORE IP 製品ガイ ド』 (PG071: 英語版、 日本語版)

4. 『UltraScale FPGAs Transceivers Wizard LogiCORE IP 製品ガイ ド』 (PG182: 英語版、 日本語版)

5. 『UltraScale アーキテクチャ GTH ト ランシーバー Advance 仕様ユーザー ガイ ド』 (UG576: 英語版、 日本語版)

6. 『7 シ リーズ FPGA GTP ト ランシーバー ユーザー ガイ ド』 (UG482: 英語版、 日本語版)

7. 『高速シ リ アル I/O 向けのダイナミ ッ ク プログラマブル DRU』 (XAPP875)

8. KCU105 評価 キッ ト (www.xilinx.com/kcu105)

9. 『KCU105 ボード ユーザー ガイ ド』 (UG917)

10. Fidus inrevium 6G/12G SDI FPGA メザニン カード

° ザイ リ ンクス ウェブページ: japan.xilinx.com/products/boards-and-kits/1-5ky5ij.html)

° Fidus Systems 社のウェブページ: www.fidus.com

11. 『Tera Term ターミナル エミ ュレーター インス トール ガイ ド』 (UG1036)

12. 『Silicon Labs CP210x USB-to-UART インス トール ガイ ド』 (UG1033)

13. 『Vivado Design Suite チュート リ アル: プログラムおよびデバッグ』 (UG936)

14. PHABRIX 社の SxE Eye and Jitter (ビデオ テス ト ジェネレーター、モニター、およびアナライザー ) (www.phabrix.com) など

改訂履歴

XAPP1290 (v1.1) 2016 年 9 月 29 日 61japan.xilinx.com

改訂履歴

次の表に、 この文書の改訂履歴を示します。

法的通知本通知に基づいて貴殿または貴社 (本通知の被通知者が個人の場合には 「貴殿」、 法人その他の団体の場合には 「貴社」。 以下同じ ) に開

示される情報 (以下 「本情報」 といいます) は、 ザイ リ ンクスの製品を選択および使用するこ とのためにのみ提供されます。 適用される

法律が許容する 大限の範囲で、 (1) 本情報は 「現状有姿」、 およびすべて受領者の責任で (with all faults) とい う状態で提供され、 ザイ リ

ンクスは、 本通知をもって、 明示、 黙示、 法定を問わず (商品性、 非侵害、 特定目的適合性の保証を含みますがこれらに限られません)、すべての保証および条件を負わない (否認する ) ものと します。 また、 (2) ザイ リ ンクスは、 本情報 (貴殿または貴社による本情報の使用

を含む) に関係し、 起因し、 関連する、 いかなる種類 ・ 性質の損失または損害についても、 責任を負わない (契約上、 不法行為上 (過失の

場合を含む)、 その他のいかなる責任の法理によるかを問わない) ものと し、 当該損失または損害には、 直接、 間接、 特別、 付随的、 結

果的な損失または損害 (第三者が起こした行為の結果被った、 データ、 利益、 業務上の信用の損失、 その他あらゆる種類の損失や損害を

含みます) が含まれるものと し、 それは、 たとえ当該損害や損失が合理的に予見可能であったり、 ザイ リ ンクスがそれらの可能性につい

て助言を受けていた場合であったと しても同様です。 ザイ リ ンクスは、 本情報に含まれるいかなる誤り も訂正する義務を負わず、 本情

報または製品仕様のアップデート を貴殿または貴社に知らせる義務も負いません。 事前の書面による同意のない限り、 貴殿または貴社

は本情報を再生産、 変更、 頒布、 または公に展示してはなり ません。 一定の製品は、 ザイ リ ンクスの限定的保証の諸条件に従う こ と と

なるので、 http://japan.xilinx.com/legal.htm#tos で見られるザイ リ ンクスの販売条件を参照して ください。 IP コアは、 ザイ リ ンクスが貴殿

または貴社に付与したライセンスに含まれる保証と補助的条件に従う こ とにな り ます。 ザイ リ ンクスの製品は、 フェイルセーフと して、

または、 フェイルセーフの動作を要求するアプリ ケーシ ョ ンに使用するために、 設計されたり意図されたり していません。 そのよ うな

重大なアプリ ケーシ ョ ンにザイ リ ンクスの製品を使用する場合のリ スク と責任は、 貴殿または貴社が単独で負う ものです。

http://japan.xilinx.com/legal.htm#tos で見られるザイ リ ンクスの販売条件を参照してください。

自動車用のアプリ ケーシ ョ ンの免責条項

オートモーティブ製品 (製品番号に 「XA」 が含まれる ) は、 ISO 26262 自動車用機能安全規格に従った安全コンセプ ト または余剰性の機

能 ( 「セーフティ設計」 ) がない限り、 エアバッグの展開における使用または車両の制御に影響するアプリ ケーシ ョ ン ( 「セーフティ アプリ ケーシ ョ ン」 ) における使用は保証されていません。 顧客は、 製品を組み込むすべてのシステムについて、 その使用前または提供前

に安全を目的と して十分なテス ト を行う ものと します。 セーフティ設計なしにセーフティ アプリ ケーシ ョ ンで製品を使用する リ スクは

すべて顧客が負い、 製品責任の制限を規定する適用法令および規則にのみ従う ものと します。

© Copyright 2016 Xilinx, Inc. Xilinx、 Xilinx のロゴ、 Artix、 ISE、 Kintex、 Spartan、 Virtex、 Vivado、 Zynq、 およびこの文書に含まれるその

他の指定されたブランドは、 米国およびその他各国のザイ リ ンクス社の商標です。 すべてのその他の商標は、 それぞれの保有者に帰属

します。

この資料に関するフ ィードバッ クおよびリ ンクなどの問題につきましては、 [email protected] まで、 または各ページの右下

にある [フ ィードバッ ク送信] ボタンをク リ ッ クする と表示されるフォームからお知らせください。 フ ィードバッ クは日本語で入力可能

です。 いただきましたご意見を参考に早急に対応させていただきます。 なお、 このメール アドレスへのお問い合わせは受け付けており

ません。 あらかじめご了承ください。

日付 バージョ ン 内容

2016 年 9 月 29 日 1.1 コア バージ ョ ン 1.1 に更新。

2016 年 6 月 24 日 1.0 初版