12
DS815 2012 1 18 japan .xilinx.com 1 製品概要 © Copyright 2011 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Zynq, and other designated brands included herein are trademarks of Xilinx in the United States and other countries. All other trademarks are the property of their respective owners. 概要 LogiCORE™ IP Aurora 64B/66B コアは AMBA ® プロトコ ルの AXI4-Stream ユーザー インターフェイスをサポートし ま す。 対 象 と な る Virtex®-6 LXTSXT、および HXTKintex™-7、および Virtex-7 デバイスで高速シリアル GTX/GTH ト ランシーバーを使用して Aurora 64B/66B プロ ト コルを実装します。 600Mbps から 194Gbps を超えるス ループ ッ ト で、 低コ ス ト 、 汎用のデー タ チャネルを提供する ため、 サポート されているライン レートで実行している場 合、 Virtex-6Kintex-7、または Virtex-7 FPGA 16 個まで GTX ト ラ ンシーバー、 Virtex-6 HXT デバイスで 12 個ま での GTH ト ランシーバーをサポート できます。 Aurora 64B/66B は、 スケーラブルで軽量、 高速データ レー ト の高速シ リ アル通信用 リ ン ク レイヤー プロ ト コルです。プ ロ ト コルはオープンでザイ リ ン ク ス FPGA テクノロジを使 用してインプリメントすることができます。 Aurora 64B/66B コアのソース コードは CORE Generator™ ソフト ウェアで生成されます。 コアはシンプレックスまたは フル デュプレックスで、 2 つのシンプル ユーザー インター フェイスのいずれかを選択し、 オプシ ョ ンのフロー制御を使 用できます。 Aurora 64B/66B コ アは自動化シ ミ ュ レーシ ョ ン テストを使 用してプロ ト コルに準拠しているかどうかが検証されます。 機能 600Mbps から 194Gbps を超え る スループ ッ ト の汎用 データ チャネル 16 個までの GTX ト ランシーバーまたは 12 個までの GHT ト ランシーバーをサポート Aurora 64B/66B プロ ト コル仕様 v1.2 準拠 (64B/66B ンコーディング) 伝送オーバーヘッ ド が非常に低い (3%) ためリ ソース スト が低い 使いやすい AXI4-Stream ( フレーミング) またはス ト リーミング インターフェイス、およびオプションのフ ロ ー制御 チャネルの自動初期化および管理 フル デュプレックスまたはシンプレックス LogiCORE IP Aurora 64B/66B v6.2 DS815 2012 1 18 製品概要 LogiCORE IP に関する情報 コアの内容 サポート される デバ イ ス ファミリ (1) Virtex-7Kintex-7 (2) Virtex-6 LXT/SXT/HXT (3) サポート される ユーザー イン ターフェイス AXI4-Stream リソース (4) 周波数 LUT フリ ップ フロ ップ DSP スラ イス ブロック RAM 最大周波数 (5) コンフィギュ レーシ ョ ン (6) 4757 7798 0 16 325MHz コアに含まれるもの 資料 製品仕様 ユーザー ガイド デザイン ファイル Verilog および VHDL サンプル デザイン Verilog および VHDL テストベンチ Verilog および VHDL 制約ファイル ザイリンクス XST 制約ファイル シミュレーショ モデル なし テスト済みデザイン ツール デザイン入力 ツール CORE Generator ツール シミュレー ション (5) Mentor Graphics 社の ModelSim ザイリンクス社の ISim Cadence 社の Incisive Enterprise Simulator (IES) 合成ツール XST 13.4 Synopsys 社の Synplify PRO (5) サポー ト japan.xilinx.com/support で提供 注記 : 1. サポー ト デバイスのリス トは、 このコアの リ リース ノート を参照して ください。 2. 詳細は、 DS180 の『7 シリーズ FPGA 概要』 を参照してください。 3. 詳細は、 DS150 Virtex-6 ファ ミ リ概要』 を参照してください。 4. デバイス パフォーマンスのデータについては、 4 から 7 を参照し てください。 5. パフォーマンス データ全体については、10 ページの「パフォーマンス」 を参照してください。 6. Virtex-6 XC6LX240T-FF1156 -2 デバイスで 325MHz で実行。 Config1 はストリーミング インターフェイス、 6.6Gbps のライン レートをター ゲットにしたデュプレックス データ フローの 16 レーン Aurora 64B/66B コアです。 サポー ト されている ツールのバージ ョ ンは ISE Design Suite 13. 4 リ リース ノート ガイド』 を参照してください。

LogiCORE IP Aurora 64B/66B v6 - XilinxDS815 2012 年 1 月 18 日 japan.xilinx.com 2製品概要 LogiCORE IP Aurora 64B/66B v6.2 機能の概要 Aurora 64B/66B はマルチギガビット

  • Upload
    others

  • View
    1

  • Download
    0

Embed Size (px)

Citation preview

Page 1: LogiCORE IP Aurora 64B/66B v6 - XilinxDS815 2012 年 1 月 18 日 japan.xilinx.com 2製品概要 LogiCORE IP Aurora 64B/66B v6.2 機能の概要 Aurora 64B/66B はマルチギガビット

DS815 2012 年 1 月 18 日 japan.xilinx.com 1製品概要

© Copyright 2011 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, Virtex, Zynq, and other designated brands included herein aretrademarks of Xilinx in the United States and other countries. All other trademarks are the property of their respective owners.

概要

LogiCORE™ IP Aurora 64B/66B コアは AMBA® プロ ト コ

ルの AXI4-Stream ユーザー インターフェイスをサポート し

ます。 対象と なる Virtex®-6 LXT、 SXT、 および HXT、Kintex™-7、 および Virtex-7 デバイ スで高速シ リ アル

GTX/GTH ト ランシーバーを使用して Aurora 64B/66B プロ

ト コルを実装し ます。 600Mbps から 194Gbps を超える ス

ループッ トで、低コス ト 、汎用のデータ チャネルを提供する

ため、 サポート されている ラ イン レー ト で実行している場

合、Virtex-6、Kintex-7、 または Virtex-7 FPGA で 16 個まで

の GTX ト ランシーバー、 Virtex-6 HXT デバイスで 12 個ま

での GTH ト ランシーバーをサポートできます。

Aurora 64B/66B は、 スケーラブルで軽量、 高速データ レー

トの高速シリ アル通信用リ ンク レイヤー プロ ト コルです。プ

ロ ト コルはオープンでザイ リ ンク ス FPGA テク ノ ロジを使

用してインプリ メン トするこ とができます。

Aurora 64B/66B コアのソース コードは CORE Generator™ソフ ト ウェアで生成されます。 コアはシンプレッ クスまたは

フル デュプレッ クスで、 2 つのシンプル ユーザー インター

フェイスのいずれかを選択し、 オプシ ョ ンのフロー制御を使

用できます。

Aurora 64B/66B コアは自動化シ ミ ュレーシ ョ ン テス ト を使

用してプロ ト コルに準拠しているかど うかが検証されます。

機能• 600Mbps から 194Gbps を超えるスループッ トの汎用

データ チャネル

• 16 個までの GTX ト ランシーバーまたは 12 個までの

GHT ト ランシーバーをサポート

• Aurora 64B/66B プロ ト コル仕様 v1.2 準拠 (64B/66B エンコーディング)

• 伝送オーバーヘッ ドが非常に低い (3%) ためリ ソース コス ト が低い

• 使いやすい AXI4-Stream (フレーミ ング) またはス ト

リー ミ ング インターフェイス、 およびオプシ ョ ンのフ

ロー制御

• チャネルの自動初期化および管理

• フル デュプレッ クスまたはシンプレッ クス

LogiCORE IP Aurora 64B/66Bv6.2

DS815 2012 年 1 月 18 日 製品概要

LogiCORE IP に関する情報

コアの内容

サポート されるデバイス ファ ミ リ (1)

Virtex-7、 Kintex-7(2)、Virtex-6 LXT/SXT/HXT (3)

サポート されるユーザー イ ンターフェイス

AXI4-Stream

リ ソース(4) 周波数

LUT

フ リ

ップ

フロ

ップ

DSP スラ

イス

ブロッ ク RAM

最大周波数(5)

コ ン フ ィ ギ ュレーシ ョ ン (6) 4757 7798 0 16 325MHz

コアに含まれるもの

資料製品仕様

ユーザー ガイ ド

デザイン ファ イル

Verilog および VHDL

サンプル デザイン

Verilog および VHDL

テス トベンチ Verilog および VHDL

制約ファ イル ザイ リ ンクス XST 制約ファ イル

シ ミ ュレーシ ョン モデル

なし

テスト済みデザイン ツール

デザ イ ン 入力ツール

CORE Generator ツール

シ ミ ュレーシ ョ ン(5)

Mentor Graphics 社の ModelSimザイ リ ンクス社の ISim

Cadence 社の Incisive Enterprise Simulator (IES)

合成ツールXST 13.4

Synopsys 社の Synplify PRO (5)

サポート

japan.xilinx.com/support で提供

注記 : 1. サポート デバイスのリ ス トは、 このコアのリ リース ノー ト を参照して

ください。2. 詳細は、 DS180 の 『7 シ リーズ FPGA 概要』 を参照して ください。3. 詳細は、 DS150 『Virtex-6 ファ ミ リ概要』 を参照して ください。4. デバイス パフォーマンスのデータについては、 表 4 から表 7 を参照し

て ください。5. パフォーマンス データ全体については、10 ページの「パフォーマンス」

を参照してください。6. Virtex-6 XC6LX240T-FF1156 -2 デバイスで 325MHz で実行。Config1

はス ト リーミ ング インターフェイス、 6.6Gbps のライン レート をターゲ ッ ト に し たデュプレ ッ ク ス データ フ ローの 16 レーン Aurora64B/66B コアです。

サポート されているツールのバージ ョ ンは 『ISE Design Suite 13.4リ リース ノー ト ガイ ド』 を参照してください。

Page 2: LogiCORE IP Aurora 64B/66B v6 - XilinxDS815 2012 年 1 月 18 日 japan.xilinx.com 2製品概要 LogiCORE IP Aurora 64B/66B v6.2 機能の概要 Aurora 64B/66B はマルチギガビット

DS815 2012 年 1 月 18 日 japan.xilinx.com 2製品概要

LogiCORE IP Aurora 64B/66B v6.2

機能の概要

Aurora 64B/66B はマルチギガビッ ト リ ンクの軽量なシ リアル通信プロ ト コルです (図 1)。 1 つまたは複数の GTX/GTH ト ラ

ンシーバーを使用してデバイス間のデータ伝送に使用します。 接続はフル デュプレッ クス (双方向データ) またはシンプレッ

クス (一方向データ) にできます。

Aurora 64B/66B がある Aurora 64B/66B チャネル パートナーに接続されている と、 このコアによ りチャネルが自動的に初期

化されます。 初期化後、 アプ リケーシ ョ ンによ り このチャネルを介してフレームまたはス ト リームと してデータが送信されま

す。 Aurora 64B/66B のフレームは任意サイズにするこ とができ、 優先リ クエス トによ り割り込むこ とができます。 ロ ッ クの

保持および過度な電磁干渉の防止のため、有効データ バイ ト間のギャ ップは自動的にアイ ドル信号で埋められます。 フロー制

御は Aurora 64B/66B ではオプシ ョ ンで、 リ ンク パートナーの送信データ レート を高めたり、チャネルで短い優先メ ッセージ

を送信するのに使用できます。

ス ト リームは Aurora 64B/66B では 1 つの無限フレームと してインプ リ メン ト されます。 データが送信されていないと きは常

に、 リ ンクを有効にしておくためアイ ドルが送信されます。 ビッ ト エラー、 接続のない状態、 または装置エラーが多発する

と、 コアがリセッ ト して新しいチャネルの初期化が試行されます。 Aurora 64B/66B コアでは、 マルチレーン チャネルの受信

側で最大 2 つのシンボル スキューをサポートするこ とができます。 Aurora 64B/66B プロ ト コルには 64B/66B エンコーディ

ングが使用されます。64B/66B エンコーディングの伝送オーバーヘッ ドは、8B/10B エンコーディングの 25% のオーバーヘッ

ド と比較する と非常に低いため (3%)、 パフォーマンスを向上させるこ とができます。

X-Ref Target - Figure 1

図 1 : Aurora 64B/66B チャネルの概要

DS528_01_042908

Page 3: LogiCORE IP Aurora 64B/66B v6 - XilinxDS815 2012 年 1 月 18 日 japan.xilinx.com 2製品概要 LogiCORE IP Aurora 64B/66B v6.2 機能の概要 Aurora 64B/66B はマルチギガビット

DS815 2012 年 1 月 18 日 japan.xilinx.com 3製品概要

LogiCORE IP Aurora 64B/66B v6.2

アプリケーシ ョ ン

低リ ソース、 スケーラブルなスループッ ト、 柔軟性の高いデータ インターフェイスが特徴の Aurora 64B/66B コアは、 幅広い

アプリ ケーシ ョ ンで使用できます。 そのアプリケーシ ョ ン例には、 次のよ う なものがあ り ます。

• チップ間のリ ンク : チップ間のパラレル接続を高速シ リ アル接続に置き換えるこ とで、PCB に必要な ト レースおよびレイ

ヤーの数を著し く抑えるこ とができます。 Aurora 64B/66B コアは、 GTX/GTH ト ランシーバーの使用に必要なロジッ ク

を最低限の FPGA リ ソース コス トで提供します。

• ボード間のリ ンクおよびバッ クプレーンのリ ンク : Aurora 64B/66B では標準 64B/66B エンコーディングが使用されま

す。 これは 10 ギガビッ ト イーサネッ ト用の優先エンコーディングで、 ケーブルおよびバッ クプレーンの既存ハードウェ

ア規格との互換性を提供します。Aurora 64B/66B はライン レートおよびチャネル幅の両方で調整可能で、廉価で古いハー

ドウェアでも新しい高パフォーマンス システムで使用するこ とができます。

• シンプレッ クス接続 (単一方向) : 高速バッ ク チャネルが不要なアプリ ケーシ ョ ンもあ り ます。 Aurora 64B/66B のシンプ

レッ クス プロ ト コルは、単一方向チャネル初期化を実行する方法を複数提供、バッ ク チャネルがない場合でも GTX/GTHト ランシーバーの使用を可能にします。 またフル デュプレッ クスでは使用されないリ ソースも出てく るため、 コス ト を抑

えるこ とができます。

• ASIC アプリ ケーシ ョ ン : Aurora 64B/66B は FPGA に限られたものではなく、プログラマブル ロジッ ク とハイ パフォー

マンス ASIC 間にスケーラブルでハイ パフォーマンスな リ ンクを作成する場合にも使用できます。 Aurora 64B/66B プロ

ト コルは単純であるため、 ASIC とFPGA の両方でリ ソース コス ト を抑えやすく、 また、自動コンプライアンス テス ト と

併用するこ とでAurora 64B/66B バス ファンクシ ョ ン モデル (BFM) などのデザイン リ ソース、 Aurora 64B/66B 接続を

確立しやすくなっています。 ASIC アプ リ ケーシ ョ ン用 Aurora ラ イセンスについては、 ザイ リ ンクス販売担当者または

[email protected] までお問い合わせください。

論理ブロック

図 2 は Aurora 64B/66B コアのインプ リ メンテーシ ョ ンのブロ ッ ク図です。 Aurora 64B/66B コアの主な論理モジュールには

次のものがあ り ます。

• レーン ロジッ ク : 各 GTX/GTH ト ランシーバーはレーン ロジッ ク モジュールのインスタンスで駆動されます。 このモ

ジュールは、各 GTX/GTH ト ランシーバーを初期化し、制御文字のエンコーディングおよびデコーディングとエラー検出

を処理します。

X-Ref Target - Figure 2

図 2 : Aurora 64B/66B コアのブロック図

DS528_02_121609

Page 4: LogiCORE IP Aurora 64B/66B v6 - XilinxDS815 2012 年 1 月 18 日 japan.xilinx.com 2製品概要 LogiCORE IP Aurora 64B/66B v6.2 機能の概要 Aurora 64B/66B はマルチギガビット

DS815 2012 年 1 月 18 日 japan.xilinx.com 4製品概要

LogiCORE IP Aurora 64B/66B v6.2

• グローバル ロジッ ク : Aurora 64B/66B コアのグローバル ロジッ ク モジュールはチャネル初期化でチャネル ボンディン

グを実行します。 チャネルが動作している間、 Aurora 64B/66B プロ ト コルで定義されている Not Ready アイ ドル文字を

記録し、 エラーがないかすべてのレーン ロジッ ク モジュールを監視します。

• RX ユーザー インターフェイス : RX (受信) ユーザー インターフェイスは、チャネルからアプ リケーシ ョ ンへとデータを

伝送します。ス ト リーミ ング データは、VALID 信号および READY 信号とデータ パスから構成される単純なス ト リーム

インターフェイスを使用して伝送されます。フレームは標準 AXI4-Stream インターフェイスを使用して伝送されます。こ

のモジュールはフロー制御機能も実行します。

• TX ユーザー インターフェイス : TX (送信) ユーザー インターフェイスは、 アプ リケーシ ョ ンからチャネルへとデータを

伝送します。ス ト リーミ ング データは、VALID 信号および READY 信号を含むス ト リーム インターフェイスを使用して

伝送されます。 データ フレームには、 標準 AXI4-Stream インターフェイスが使用されます。 このモジュールではフロー

制御機能も実行されます。 このモジュールにはクロ ッ ク補正を制御するためのインターフェイスがあ り、 接続されている

Aurora 64B/66B コア間のクロ ッ ク周波数の若干の差が原因で発生するエラーを防止するための特殊文字が定期的に送信

されます。 通常、 このインターフェイスは Aurora 64B/66B コアで提供されている標準クロ ッ ク補正管理モジュールで駆

動されますが、 オフにしたり、 カスタム ロジッ クで駆動して特殊な要件に対応するこ と もできます。

コアのパラメーター CORE Generator ツールで Aurora 64B/66B コアのパラ メーターを設定してカスタマイズできます。 パラ メーターを使用して

コアを生成した後はコアの設定を変更しないよ うにするこ とを推奨します。表 1 はカスタマイズが可能なパラ メーターの一覧

です。

表 1 : コアのパラ メーター

パラ メーター 説明 Aurora 64B/66B コアで

サポート されている値

Aurora Lanes チャネルで使用される GTX または GTH ト ランシーバーの数

デバイスの選択によ り、 1 !~ 16 個の GTX ト ランシー

バー、 または 1 ~ 12 個の

GTH ト ランシーバー

Dataflow Mode

コアが作成するチャネルの種類。 GTX または GTH ト ランシーバーを使用

して、 TX 方向でフル デュプレッ クス、 シンプレッ クス、 または RX 方向で

シンプレッ クスが可能です。

Full-Duplex

Simplex-TX

Simplex-RX

Flow Control

オプシ ョ ンの Aurora 64B/66B フロー制御をイネーブルにします。 フロー制

御には次の 2 種類があ り ます。

• ネイティブ フロー制御 (NFC) : フル デュプレッ クス レシーバーで入力

データのレート を制御します。完了モードの NFC ではフレームが完了す

る とアイ ドル信号が送信されます。 即時モードの NFC ではフロー制御

メ ッセージが到達する とすぐにアイ ドル信号が送信されます。

• ユーザー フロー制御 (UFC) : アプリケーシ ョ ンがチャネルを介して短い

優先メ ッセージを相互に送信します。

None

Immediate NFC

Completion NFC

UFC + Immediate NFC

UFC + Completion NFC

User K

Aurora 64B/66B には Aurora イン ターフェ イ スではデコード されずユー

ザーに直接渡される制御ブロ ッ クが複数含まれています。 これらのブロ ッ ク

は、アプ リケーシ ョ ン専門の制御ファンクシ ョ ンをインプ リ メン トするのに

使用できます。 使用可能なユーザー K ブロ ッ クは 9 つあ り ます。

オン/オフ

Page 5: LogiCORE IP Aurora 64B/66B v6 - XilinxDS815 2012 年 1 月 18 日 japan.xilinx.com 2製品概要 LogiCORE IP Aurora 64B/66B v6.2 機能の概要 Aurora 64B/66B はマルチギガビット

DS815 2012 年 1 月 18 日 japan.xilinx.com 5製品概要

LogiCORE IP Aurora 64B/66B v6.2

コアのインターフェイス

Aurora 64B/66B コアの生成で使用したパラ メーターによ りそのコアで使用可能なインターフェイス (6 ページの図 3) が決定

します。 Aurora 64B/66B コアには、 次の 3 つから 6 つのインターフェイスがあ り ます。

• 6 ページの 「ユーザー インターフェイス」

• 6 ページの 「ユーザー フロー制御インターフェイス」

• 7 ページの 「ネイティブ フロー制御インターフェイス」

• 7 ページの 「GTX/GTH ト ランシーバー インターフェイス」

Interface

次の 2 種類のインターフェイスのいずれかを指定できます。

• フレーミ ング : フレーミ ング ユーザー インターフェイスは AXI4-Streamに準拠しています。 初期化後、 フ レーム処理されたデータ を Aurora64B/66B チャネル上で送信できます。 フレーミ ング インターフェイスの

コアのサイズは、 ワード アライ メン トおよび制御文字削除ロジッ クのた

め、 大き くなる傾向があ り ます。

• ス ト リーミ ング : ス ト リーミ ング ユーザー インターフェイスでは、 単一

の無限フレームを開始できます。初期化後、VALID および READY 信号

を持つ単純なレジスタ形式のインターフェ イ スを使用し、 フレームに

ワードを書き込むこ とができます。 ユーザー データは 8 バイ トの倍数に

なる必要があ り ます。

Framing (AXI4-Stream)

Streaming

Line Rate

Virtex-7、 Kintex-7、 および Virtex-6 FPGA コアのライン レートは GTX トランシーバーの場合で 600Mbps ~ 12.5Gbps まで、GTH ト ランシーバーの

場合で 2.488Gbps ~ 11.18(1)Gbps までの範囲で CORE Generatorツールで

設定できます。 詳細は 『LogiCORE IP Aurora 64B/66B v6.2 ユーザー ガイ

ド』 を参照してください。

GTX ト ランシーバーの場合

600Mbps か ら 12.5Gbps までGTH ト ランシーバーの場

合 2.488Gbps から

11.18(1)Gbps まで

GT_REFCLK (MHz)

Virtex-7、Kintex-7、および Virtex-6 デバイスの基準クロ ッ ク レート を設定

できます。 詳細は 『LogiCORE IP Aurora 64B/66B v6.2 ユーザー ガイ ド』

を参照してください。

有効な レー ト は、 Virtex-7、Kintex-7、 および Virtex-6FPGA の GTX/GTH ト ラ ン

シーバーで選択されている

ラ イン レー ト および使用可

能な ク ロ ッ ク乗算器によ っ

て異なり ます。

Clock Source

GTX/GTH ト ランシーバーにはさまざまな専用および非専用ク ロ ッ ク ネッ

ト ワークから基準クロ ッ クを供給できます。アプ リケーシ ョ ンに最適な基準

クロ ッ ク ネッ ト ワークの選択方法は、 『LogiCORE IP Aurora 64B/66B v6.2ユーザー ガイ ド』 を参照して ください。

GTXQ/GTHQ

Lane Assignment

レーンを 特定の GTX/GTH ト ランシーバーに割り当てるこ とができます。

ベス ト なタイ ミ ング結果を得るための GTX/GTH ト ランシーバー配置方法

および垂直方向のク ロ ッキングの詳細については、 『LogiCORE IP Aurora64B/66B v6.2 ユーザー ガイ ド』 を参照して ください。

GTX/GTH ト ラ ンシーバー

の任意の組み合わせを選択

できます。

ト ラ ンシーバーの垂直方向

の ク ロ ッ キング条件が満た

される よ う に GTX/GTH トラ ンシーバーは選択する必

要があ り ます。

1. GTH ト ランシーバーのライン レー ト範囲は連続するものではあ り ません。サポート されているライン レー トの詳細は、『Virtex-7 FPGAデータシート : DC 特性およびスイ ッチ特性』 (DS183)、 『Kintex-7 FPGA データシート : DC 特性およびスイ ッチ特性』 (DS182)、 および 『Virtex-6 FPGA データシート : DC 特性およびスイ ッチ特性』 (DS152) を参照して ください。

表 1 : コアのパラ メーター (表の続き)

パラ メーター 説明 Aurora 64B/66B コアで

サポート されている値

Page 6: LogiCORE IP Aurora 64B/66B v6 - XilinxDS815 2012 年 1 月 18 日 japan.xilinx.com 2製品概要 LogiCORE IP Aurora 64B/66B v6.2 機能の概要 Aurora 64B/66B はマルチギガビット

DS815 2012 年 1 月 18 日 japan.xilinx.com 6製品概要

LogiCORE IP Aurora 64B/66B v6.2

• 7 ページの 「ク ロ ッ ク インターフェイス」

• 7 ページの 「ク ロ ッ ク補正インターフェイス」

ユーザー インターフェイス

Aurora 64B/66B コアに入出力されるス ト リーミ ングまたはフレーム データの読み出しおよび書き込みに必要なポートがすべ

て含まれています。 フレーミ ング インターフェイスを指定して Aurora 64B/66B コアを生成した場合は AXI4-Stream ポート

が使用されます。 ス ト リーミ ング モジュールの場合は、 インターフェイスはデータ有効および準備完了ポート を含む単純な

データ ポートから構成されます。フル デュプレッ クス コアには送信 (TX) および受信 (RX) の両方のポートが含まれ、シンプ

レッ クス コアではサポート されている方向に必要なポートのみが使用されます。すべてのインターフェイスにおいて、 コアで

使用される GTX/GTH ト ランシーバーの数によってデータ ポートの幅が異なり ます。

ユーザー フロー制御インターフェイス

ユーザー フロー制御 (UFC) を選択してコアを生成した場合、 UFC インターフェイスが作成されます。 UFC インターフェイ

スの TX 側には、 UFC メ ッセージを開始するのに使用される REQ (リ クエス ト )、 TVALID、 および TREADY ポート、 そし

X-Ref Target - Figure 3

図 3 : 最上位インターフェイス

制御ユーザー

インターフェイス

Aurora 64B/66B モジュール

ステータス

RX データTX データ

Page 7: LogiCORE IP Aurora 64B/66B v6 - XilinxDS815 2012 年 1 月 18 日 japan.xilinx.com 2製品概要 LogiCORE IP Aurora 64B/66B v6.2 機能の概要 Aurora 64B/66B はマルチギガビット

DS815 2012 年 1 月 18 日 japan.xilinx.com 7製品概要

LogiCORE IP Aurora 64B/66B v6.2

てメ ッセージの長さを指定するためのポート (MS) があ り ます。 UFC インターフェイスの TVALID および TREADY ポート

によ り変わり ますが、UFC リ クエス ト直後に UFC の DATA ポートにメ ッセージ データが送信されます。これを受けて、ユー

ザー インターフェイスの READY ポートがディアサート され、コアが標準データを受け付けなくなり ます。これで、UFC デー

タが UFC データ ポートに書き込み可能になり ます。

UFC インターフェイスの RX 側には、 UFC メ ッセージをフレームと して読み出すこ とができる AXI4-Stream ポート セッ ト

があ り ます。フル デュプレッ クス モジュールには TX および RX 両方の UFC ポートがあ り ますが、シンプレッ クス モジュー

ルには、 サポート される方向にデータ送信するために必要なインターフェイスのみが含まれています。

ネイテ ィブ フロー制御インターフェイス

ネイティブ フロー制御 (NFC) をイネーブルにしてコアを生成した場合、 NFC インターフェイスが作成されます。 このイン

ターフェイスには REQ ( リ クエス ト ) ポートおよび VALID ポートが含まれています。 これらのポートは、 NFC メ ッセージ、

送信を停止するためのアサート時に XOFF コードをレーン パートナーに送信する NFC XOFF ビッ ト 、NFC PAUSE カウン ト

( リ クエス ト されたアイ ドル サイクル数) を指定するための 16 ビッ ト ポート、 および NFC XOFF を送信するために使用され

ます。

注記 : NFC 完了モードはス ト リーミ ング デザインには使用できません。

ユーザー K ブロック インターフェイス

ユーザー K ブロッ クをオンにしてコアを生成する と、 ユーザー K ブロ ッ ク インターフェイスが作成されます。 ユーザー K ブロ ッ クは、 制御ブロ ッ クを含む特殊シングル ブロ ッ ク コードで、 Aurora インターフェイスではデコード されず、 ユーザーに

直接渡されます。 これらのブロ ッ クは、 アプ リケーシ ョ ン特定の制御ファンクシ ョ ンをインプ リ メン トするために使用できま

す。 TX 側にはユーザー K ブロ ッ ク送信を開始するのに使用する VALID および READY ポート と、 9 つあるユーザー K ブロ ッ クのう ち送信する必要のあるものを示すためのブロ ッ ク番号ポート (DATA) があ り ます。ユーザー K データは、ユーザー

K ブロ ッ ク インターフェイスで READY がアサートーと された後に送信されます。 また、 これは標準データを受け付けなく

なったこ とをユーザー インターフェイスに示し、ユーザー K データのユーザー K データ ポートへの書き込みが可能になり ま

す。 ユーザー K ブロ ッ クはシングル ブロ ッ ク コードです。

ユーザー K ブロッ ク インターフェイスの RX 側には、ユーザー K ブロ ッ クの受信を示す RX 有効信号があ り ます。フル デュ

プレッ クス モジュールには TX および RX 両方のユーザー K ポートがあ り ますが、 シンプレッ クス モジュールには、 サポー

ト される方向にデータ送信するために必要なインターフェイスのみが含まれています。

GTX/GTH ト ランシーバー インターフェイス

GTX/GTH ト ランシーバーのシ リアル I/O ポートおよび Aurora 64B/66B コアの制御ポートおよびステータス ポートが含まれ

ています。 このインターフェイスは、 リセッ ト 、 ループバッ ク、 パワーダウンなどの制御ファンクシ ョ ンへのユーザー アクセ

スを提供します。

クロック インターフェイス

Aurora 64B/66B コアを正し く動作させるのに最も重要なインターフェイスです。ク ロ ッ ク インターフェイスには、GTX/GTHト ランシーバーを駆動する基準クロ ッ ク用のポート、 Aurora 64B/66B コアがアプリケーシ ョ ン ロジッ ク と共有するパラレル

ク ロ ッ ク用のポート があ り ます。 ク ロ ッ ク インターフェイスの詳細は、 『LogiCORE IP Aurora 64B/66B v6.2 ユーザー ガイ

ド』 を参照してください。

クロック補正インターフェイス

データを送信するモジュールに含まれていて、 ク ロ ッ ク補正の管理に使用されます。 DO_CC ポートが High に駆動されるた

びに、コアはデータのフローおよびフロー制御メ ッセージを停止し、その後にクロ ッ ク補正シーケンスを送信します。各 Aurora64B/66B コアにはクロ ッ ク補正管理モジュールがあ り、 『Aurora 64B/66B プロ ト コル仕様』 に沿ってク ロ ッ ク補正インター

フェイスを駆動するのに使用されます。同じ物理クロ ッ クがチャネルの両サイ ドで使用される と きは、DO_CC を Low に接続

Page 8: LogiCORE IP Aurora 64B/66B v6 - XilinxDS815 2012 年 1 月 18 日 japan.xilinx.com 2製品概要 LogiCORE IP Aurora 64B/66B v6.2 機能の概要 Aurora 64B/66B はマルチギガビット

DS815 2012 年 1 月 18 日 japan.xilinx.com 8製品概要

LogiCORE IP Aurora 64B/66B v6.2

する必要があ り ます。 ク ロ ッ ク補正管理インターフェイスの詳細は、 『LogiCORE IP Aurora 64B/66B v6.2 ユーザー ガイ ド』

を参照してください。

リ ソース使用

表 2 から10 ページの表 7までは、各デバイス ファ ミ リの GTX または GTH ト ランシーバーで Aurora 64B/66B のフレーミ ン

グまたはス ト リー ミ ングに使用されるルッ クアップ テーブル (LUT) およびフ リ ップフロ ップ (FF) の数を示しています。

Aurora 64B/66B は、 これらの表にはないコンフ ィギュレーシ ョ ンでも使用可能です。 これらの表にはフロー制御に使用され

る追加リ ソースは含まれていません。

表 2 : Virtex-7 ファ ミ リ GTX ト ランシーバーのフレーミング用リソース

Virtex-7 ファ ミ リ

GTX ト ランシーバー

フレーミング

デュプレックス シンプレックス

レーン リソース タイプフル

デュプレックスTX のみ RX のみ

1LUT 282 180 218

フ リ ップフロ ップ 447 221 316

2LUT 523 234 424

フ リ ップフロ ップ 763 332 629

4LUT 904 396 788

フ リ ップフロ ップ 1334 564 1195

8LUT 1700 496 1612

フ リ ップフロ ップ 2466 917 2327

16LUT 3308 897 3190

フ リ ップフロ ップ 4737 1799 4591

表 3 : Virtex-7 ファ ミ リ GTX ト ランシーバーのスト リーミング用リソース

Virtex-7 ファ ミ リ

GTX ト ランシーバー

フレーミング

デュプレックス シンプレックス

レーン リソース タイプフル

デュプレックスTX のみ RX のみ

1LUT 276 179 200

フ リ ップフロ ップ 447 221 316

2LUT 494 233 435

フ リ ップフロ ップ 761 341 629

4LUT 880 394 800

フ リ ップフロ ップ 1330 575 1195

8LUT 1653 602 1558

フ リ ップフロ ップ 2466 1060 2327

16LUT 3220 1100 3092

フ リ ップフロ ップ 4738 2012 4591

Page 9: LogiCORE IP Aurora 64B/66B v6 - XilinxDS815 2012 年 1 月 18 日 japan.xilinx.com 2製品概要 LogiCORE IP Aurora 64B/66B v6.2 機能の概要 Aurora 64B/66B はマルチギガビット

DS815 2012 年 1 月 18 日 japan.xilinx.com 9製品概要

LogiCORE IP Aurora 64B/66B v6.2

表 4 : Virtex-6 LXT/SXT/HXT ファ ミ リ GTX ト ランシーバーのフレーミング用リソース

Virtex-6 LXT/SXT/HXT ファ ミ リ

GTX ト ランシーバー

フレーミング

デュプレックス シンプレックス

レーンリソース

タイプ

フル デュプレックス

TX のみ RX のみ

1LUT 355 143 277

フ リ ップフロ ップ 567 199 421

2LUT 677 194 556

フ リ ップフロ ップ 1078 302 834

4LUT 1264 314 1044

フ リ ップフロ ップ 2038 508 1598

8LUT 2437 467 2068

フ リ ップフロ ップ 3958 920 3125

16LUT 4748 835 4027

フ リ ップフロ ップ 7798 1744 6182

表 5 : Virtex-6 LXT/SXT ファ ミ リ GTX ト ランシーバーのスト リーミング用リソース

Virtex-6 LXT/SXT/HXT ファ ミ リ

GTX ト ランシーバー

スト リーミング

デュプレックス シンプレックス

レーンリソース

タイプ

フル デュプレックス

TX のみ RX のみ

1LUT 354 151 275

フ リ ップフロ ップ 567 210 421

2LUT 684 204 550

フ リ ップフロ ップ 1078 324 834

4LUT 1257 333 1034

フ リ ップフロ ップ 2038 552 1598

8LUT 2423 528 2038

フ リ ップフロ ップ 3958 1008 3125

16LUT 4757 966 4000

フ リ ップフロ ップ 7798 1920 6182

表 6 : Virtex-6 HXT ファ ミ リ GTH ト ランシーバーのフレーミング用リソース

Virtex-6 HXT ファ ミ リ

GTH ト ランシーバー

フレーミング

デュプレックス シンプレックス

レーンリソース

タイプ

フル デュプレックス

TX のみ RX のみ

1LUT 2698 1691 1066

フ リ ップフロ ップ 1320 571 909

Page 10: LogiCORE IP Aurora 64B/66B v6 - XilinxDS815 2012 年 1 月 18 日 japan.xilinx.com 2製品概要 LogiCORE IP Aurora 64B/66B v6.2 機能の概要 Aurora 64B/66B はマルチギガビット

DS815 2012 年 1 月 18 日 japan.xilinx.com 10製品概要

LogiCORE IP Aurora 64B/66B v6.2

パフォーマンス

9 ページの表 4 から10 ページの表 7までリ ス ト されている Aurora 64B/66B コアは、スピード グレードが -1 から -3 のデバイ

スで 156.25MHz で実行されています。 コアのパフォーマンスの詳細は、 『LogiCORE IP Aurora 64B/66B v6.2 ユーザー ガイ

ド』 を参照してください。

検証

Aurora 64B/66B コアは Aurora 64B/66B BFM (バス ファンクシ ョ ン モデル) およびカスタム テス トベンチを使用して検証さ

れています。 Aurora 64B/66B BFM では、 インターフェイス レベルのチェッ クおよびエラー シナリオに加えて、 プロ ト コル

準拠も検証されます。自動テス ト システムによ り、 ランダムに選択された、一般に広く使用されているデザイン コンフ ィギュ

レーシ ョ ンで一連のシ ミ ュレーシ ョ ン テス トが実行されます。 また、 Aurora 64B/66B コアは、 ザイ リ ンクスの GTX/GTH トランシーバーのデモ ボードを使用して、 機能、 パフォーマンス、 信頼性に関して、 ハード ウェアでテス ト されています。 すべ

てのモジュールを対象とする Aurora 64B/66B 検証テス トは、 個々のモジュールのパラ メーターすべてをテス ト できるよ う、

常に変更されています。

2LUT 4946 3187 2035

フ リ ップフロ ップ 2485 967 1705

4LUT 9637 6051 3927

フ リ ップフロ ップ 4773 1780 3237

8LUT 19254 12099 7859

フ リ ップフロ ップ 9481 3550 6430

表 7 : Virtex-6 HXT ファ ミ リ GTH ト ランシーバーのスト リーミング用リソース

Virtex-6 HXT ファ ミ リ

GTH ト ランシーバー

スト リーミング

デュプレックス シンプレックス

レーンリソース

タイプ

フル デュプレックス

TX のみ RX のみ

1LUT 2703 1690 1137

フ リ ップフロ ップ 1311 585 909

2LUT 4993 3126 2019

フ リ ップフロ ップ 2485 996 1705

4LUT 9589 6009 3886

フ リ ップフロ ップ 4773 1827 3237

8LUT 18836 12675 7729

フ リ ップフロ ップ 9482 3500 6430

表 6 : Virtex-6 HXT ファ ミ リ GTH ト ランシーバーのフレーミング用リソース (表の続き)

Virtex-6 HXT ファ ミ リ

GTH ト ランシーバー

フレーミング

デュプレックス シンプレックス

レーンリソース

タイプ

フル デュプレックス

TX のみ RX のみ

Page 11: LogiCORE IP Aurora 64B/66B v6 - XilinxDS815 2012 年 1 月 18 日 japan.xilinx.com 2製品概要 LogiCORE IP Aurora 64B/66B v6.2 機能の概要 Aurora 64B/66B はマルチギガビット

DS815 2012 年 1 月 18 日 japan.xilinx.com 11製品概要

LogiCORE IP Aurora 64B/66B v6.2

サポート

ザイ リ ンクスは、製品マニュアルに記述されているよ うに、 この LogiCORE IP 製品のテクニカルサポート を提供しています。

マニュアルで定義されていないデバイスにインプ リ メン ト した り、 製品マニュアルで記述されている範囲を超えてカスタマイ

ズした り、 「DO NOT MODIFY」 と記述されているセクシ ョ ンに変更を加えたり した場合、 タイ ミ ング、 機能、 製品サポート

は保証されません。

注文情報

このザイ リ ンクス LogiCORE IP モジュールは、 ザイ リ ンクス ISE® Design Suite で無償配布されており、 またザイ リ ンクス

エンド ユーザー ラ イセンス規約に従って提供されています。 コアは、 ザイ リ ンクス ISE ソフ ト ウェアの標準コンポーネン ト

である CORE Generator ソフ ト ウェアを使用して生成されます。 詳細は、 Aurora64B/66B 製品ページを参照して ください。

その他の LogiCORE IP モジュールについての情報は、 ザイ リ ンクス IP ページをご覧ください。 価格および入手については、

ザイ リ ンクス販売代理店までご連絡ください。

参考資料1. ザイ リ ンクス Aurora ウェブ サイ ト : japan.xilinx.com/aurora

• UG775 『LogiCORE IP Aurora 64B/66B v6.2 ユーザー ガイ ド』

• SP011 『Aurora 64B/66B プロ ト コル仕様』

2. 『AMBA AXI4-Stream プロ ト コル仕様』

3. これらのザイ リ ンクスの資料は、 ザイ リ ンクス サポート ウェブ サイ トからご覧いただけます。

• UG761 『AXI リ ファレンス ガイ ド』

• UG366 『Virtex-6 FPGA GTX ト ランシーバー ユーザー ガイ ド』

• UG371 Virtex-6 FPGA GTH ト ランシーバー ユーザー ガイ ド

• UG476 『7 Series FPGAs GTX ト ランシーバー ユーザー ガイ ド』

• DS152 『Virtex-6 FPGA データシート : DC 特性およびスイ ッチ特性』

• DS182 『Kintex-7 FPGA データシート : DC 特性およびスイ ッチ特性』

• DS183 『Virtex-7 FPGA データシート : DC 特性およびスイ ッチ特性』

改訂履歴

次の表に、 この文書の改訂履歴を示します。

表 8 : 検証に使用されるボード

テス ト ボード

ML623

日付 バージョ ン 改訂内容

2010 年 12 月 14 日 1.0 AXI イ ン ターフェ イ スがサポー ト されたコアの初版。 この資料の前 リ リ ースは

DS528。

2011 年 6 月 22 日 2.0ISE 13.2 でのコア v6.1 リ リ ース。 Virtex-5 デバイ スを削除し、 Virtex-7 および

Kintex-7 デバイ スのサポー ト を追加。 GTH ト ラ ンシーバーの数を 12 に拡張。

Simplex Both の記述を削除

2012 年 1 月 18 日 2.1 ISE 13.4 でのコア v6.2 リ リース

Page 12: LogiCORE IP Aurora 64B/66B v6 - XilinxDS815 2012 年 1 月 18 日 japan.xilinx.com 2製品概要 LogiCORE IP Aurora 64B/66B v6.2 機能の概要 Aurora 64B/66B はマルチギガビット

DS815 2012 年 1 月 18 日 japan.xilinx.com 12製品概要

LogiCORE IP Aurora 64B/66B v6.2

免責事項The information disclosed to you hereunder (the “Materials”) is provided solely for the selection and use of Xilinx products.Tothe maximum extent permitted by applicable law:(1) Materials are made available “AS IS” and with all faults, Xilinx herebyDISCLAIMS ALL WARRANTIES AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING BUT NOTLIMITED TO WARRANTIES OF MERCHANTABILITY, NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULARPURPOSE; and (2) Xilinx shall not be liable (whether in contract or tort, including negligence, or under any other theory ofliability) for any loss or damage of any kind or nature related to, arising under, or in connection with, the Materials (includingyour use of the Materials), including for any direct, indirect, special, incidental, or consequential loss or damage (including lossof data, profits, goodwill, or any type of loss or damage suffered as a result of any action brought by a third party) even if suchdamage or loss was reasonably foreseeable or Xilinx had been advised of the possibility of the same.Xilinx assumes noobligation to correct any errors contained in the Materials or to notify you of updates to the Materials or to productspecifications.You may not reproduce, modify, distribute, or publicly display the Materials without prior written consent.Certainproducts are subject to the terms and conditions of the Limited Warranties which can be viewed athttp://www.xilinx.com/warranty.htm; IP cores may be subject to warranty and support terms contained in a license issued to youby Xilinx.Xilinx products are not designed or intended to be fail-safe or for use in any application requiring fail-safeperformance; you assume sole risk and liability for use of Xilinx products in CriticalApplications:http://www.xilinx.com/warranty.htm#critapps.

本資料は英語版 (v6.2) を翻訳したもので、 内容に相違が生じる場合には原文を優先します。

資料によっては英語版の更新に対応していないものがあ り ます。

日本語版は参考用と してご使用の上、 最新情報につきましては、 必ず最新英語版をご参照ください。

この資料に関するフ ィードバッ クおよびリ ンクなどの問題につきましては、 [email protected] までお知らせく

ださい。 いただきましたご意見を参考に早急に対応させていただきます。 なお、 このメール アドレスへのお問い合わせは受け

付けており ません。 あらかじめご了承ください。