Upload
salomon-rom
View
228
Download
0
Embed Size (px)
Citation preview
7/21/2019 Nexys 2, Bays 2 , registros de corrimiento
1/13
1
Reporte Practica 5
Integrantes
1.Acosta Tolentino Alan
2.-Mondragon Romn Salomon Alberto
UPIITA -IPN
Grupo 2MV5
Figura 1.-Visualizacion y logotipo de la Baasys 2
RESUMEN
En el desarrollo de este proyecto implementamos la teora de los
registros a la practica, tomando en cuenta el registro universal y los
llamados,PIPO(entrada paralela salida paralela),PISO(entradaparalela salida serial),SISO(entrada serial salida
serial),SIPO(entrada serial salida paralela).
Para la implementacin usamos una Basys 2, para la
implementacin de la antena parablica se uso un motor a pasos
unipolar y su respectiva etapa de potencia.
ABSTRACT
In developing this project we implement theory into practice
records, taking into account the universal registration and called,
PIPO (parallel input parallel output), PISO (parallel input serial
output), SISO (serial input serial output) SIPO (serial input parallel
output).
For the implementation we use a Basys 2 for the implementation of
the satellite dish using a motor unipolar steps and their respective
power stage.
RSUM
Dans l'laboration de ce projet, nous appliquons la thorie dans lesdossiers de pratique, en tenant compte de l'enregistrement universel
et appels, PIPO (sortie parallle d'entre parallle), sol (sortie srie
d'entre parallle), SISO (sortie srie d'entre de srie) SIPO (sortie
parallle d'entre srie).
Pour la mise en uvre, nous utilisons un Basys 2 pour la mise en
uvre de l'antenne satellite en utilisant un moteur tapes unipolaires
et leur stade de puissance respective.
INTRODUCCION
Un registro de desplazamiento es uncircuito digital secuencial (es
decir, que los valores de sus salidas dependen de sus entradas y de
los valores anteriores) consistente en una serie de biestables,
generalmente de tipo D, conectados en cascada, que basculan de
forma sincrnica con la misma seal de reloj. Segn las conexiones
entre los biestables, se tiene un desplazamiento a la izquierda o a la
derecha de la informacin almacenada. Existen registros de
desplazamiento bidireccionales, que pueden funcionar en ambos
http://es.wikipedia.org/wiki/Circuito_digitalhttp://es.wikipedia.org/wiki/Biestablehttp://es.wikipedia.org/wiki/Biestablehttp://es.wikipedia.org/wiki/Circuito_digital7/21/2019 Nexys 2, Bays 2 , registros de corrimiento
2/13
2
sentidos. Los registros universales, adems de bidireccionales
permiten la carga en paralelo.
Figura A.Registro de corrimiento de 4 bits.
Los motores a paso estn constituidos normalmente por un rotor
sobre el que van aplicados distintos imanes permanentes y por un
cierto nmero de bobinas excitadoras bobinadas en su estator.Existen dos tipos de motores a paso, los bipolares (requieren del
cambio de direccin del flujo de corriente a travs de las bobinas enla secuencia apropiada para realizar un movimiento) y los
unipolares (tienen 6 o 5 cables de salida, dependiendo de suconexin interna, y sus bobinas estn unidas en un polo).
Figura B. Motor a paso unipolar.
Desarrollo
1.-Simular el circuito de corrimiento universal de 8 bits para cada
funcin permitida, reportar las imgenes de los diagramas de
tiempo con texto explicativo.
Para su realizacin se introduce el siguiente programa en VHDL el
cual nos mostrara cada una de las funciones deseadas.
7/21/2019 Nexys 2, Bays 2 , registros de corrimiento
3/13
3
Figuras 1.1.-Simulacion de un contador Johnnson
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;use IEEE.STD_LOGIC_ARITH.ALL;
entity cu is
port(clk, reset :in std_logic;--reloj 50MHzesd, esi : in std_logic;--entradas seriales
modir : in std_logic_vector(2 downto 0);--selector de
corrimientoent : in std_logic_vector(7 downto 0);--entrada paralela
sal : out std_logic_vector(7 downto 0));--salida 8 bitsend cu;
architecture Behavioral of cu is
signal aux:std_logic_vector(7 downto 0);beginsal
7/21/2019 Nexys 2, Bays 2 , registros de corrimiento
4/13
4
when "010"=>--corrimiento a
la derechaaux(7)
7/21/2019 Nexys 2, Bays 2 , registros de corrimiento
5/13
5
ARCHITECTUREbehavioral OFjhonson_anillo_countersIS
SIGNALq1: std_logic_vector(7 DOWNTO 0);
SIGNALtemporal: std_logic;
SIGNALcontador: integer range 0 to 49999999 := 0;
BEGIN
--divisor frec
divisor_frecuencia:PROCESS(ckin)
BEGIN
IFrising_edge(ckin) THEN
if (contador = 49999999)THEN
temporal
7/21/2019 Nexys 2, Bays 2 , registros de corrimiento
6/13
6
END IF;
END IF;
ELSE--anillo
IFck'event ANDck='1'THEN
q(0) --MULTIPLICACION FACTOR 2
aux(4)
7/21/2019 Nexys 2, Bays 2 , registros de corrimiento
7/13
7
aux(2)
7/21/2019 Nexys 2, Bays 2 , registros de corrimiento
8/13
8
Figura 3.2.-5*2
Figura 3.3.-5/2
Figura 3.4.-12/4
Figura 3.5.-12/2
7/21/2019 Nexys 2, Bays 2 , registros de corrimiento
9/13
9
4.-Disear y construir con circuitos de corrimiento un control para
antena parablica empleando un motor a pasos unipolar .
Figura 4.1.-Etapa de potencia de coneccion del motor a pasos unipolar
usando un ULM2803.
-Programacin 4
LIBRARYieee;
USEieee.std_logic_1164.ALL;
ENTITYjhonson_anillo_counters ISPORT( ckin : IN std_logic;--entrada b8
ck :INOUT
std_logic;--reloj con divisor de frec. 1hz
reset: INstd_logic;--resetear programa
hold: INstd_logic;--mantener estatico
carga: INstd_logic;--determinar si corrimiento con 1 o 2 bits
rotd: INstd_logic;--cambiar sentido de giro
d: IN std_logic_vector(3 DOWNTO0);--entradas para "carga"
q : INOUT std_logic_vector (3 DOWNTO0));--salidas a motor
ENDjhonson_anillo_counters;
ARCHITECTUREbehavioral OFjhonson_anillo_counters IS
SIGNALtemporal: std_logic;--seal para frec. de 1hz
SIGNALcontador: integer range 0 to 49999999 := 0;--contar para divisor frec.
BEGIN
divisor_frecuencia: PROCESS(ckin) --divisor frec
BEGIN
IFrising_edge(ckin)THEN--entra pulso b8 a 50mhz
IF(contador = 49999999)THEN
temporal
7/21/2019 Nexys 2, Bays 2 , registros de corrimiento
10/13
10
q(3)
7/21/2019 Nexys 2, Bays 2 , registros de corrimiento
11/13
11
Figura 4.3.-Implementacion de la practica en la Basys 2 Figura 4.4.-Motor a pasos con la antena instalada.
7/21/2019 Nexys 2, Bays 2 , registros de corrimiento
12/13
12
Conclusiones:
Conclusin Acosta T. A.
Concluyo que por medio de los circuitos logicos secuenciales se
puede llevar a acabo aplicaciones diversas, como son la
automatizacion de giro de motores, calculadoras o drivers entre
comunicacion serial y paralela. Asi mismo, se sabe que la
complejidad de cada proyecto depende del diseo y de las
caracteristicas que se quieran sustentar, aunque el diseo en FPGA
da mucha potencia al momento de hacer nuestras aplicaciones.
Conclusin Mondragon R. S. A.
En conclusin los registros de corrimiento con una iuncreible
herraminta dentro de los circuitros lgicos secuenciales que por
permite guardar datos y usarlos de forma paralela o serial,dentro de
un proyecto me resultara interesante aplicarlo por ejemplo en la
creacin de un display que muestre datos en movimiento.
Conclusin general
Se concluye que los registros de corrimiento son herramientas
verstiles dentro de los circuitos lgicos secuenciales, y que nospermitirn trabajar datos de forma serial y paralela tanto de entrada
como de salida.
Figura 4.5.-Otra perspectiva.
7/21/2019 Nexys 2, Bays 2 , registros de corrimiento
13/13
13
Bibliografa
Pardo F., Boluda J. A. (2004) VHDL, lenguajes para sntesis ymodelado de circuitos (2da edicin). Mxico: Alfaomega
Software de desarrollo, varias compaas, (ISE)www.xilinx.com,
(QuartusII)www.altera.com,(Libero) www.actel.com
http://www.xilinx.com/http://www.xilinx.com/http://www.xilinx.com/http://www.altera.com/http://www.altera.com/http://www.altera.com/http://www.altera.com/http://www.xilinx.com/