30
REGISTRE LOGICE SECVENŢIALE

registre

Embed Size (px)

DESCRIPTION

proiect

Citation preview

Cap

REGISTRE LOGICE SECVENIALE

CUPRINS

Cap.I ARGUMENT.................................................................2Cap.II REGISTRE LOGICE...4II.1. Generalitati..4

II.2. Registrele paralel si serie....7II.2.1. Registrele serie-paralel..7II.2.2. Registre de tip paralel....8

II.2.3. Registre de tip serie...10II.2.4. Registre cu inscriere paralel si citire paralel.11II.2.5. Registre cu inscriere paralel si citire serie.11II.3. Registre de deplasare...12II.3.1. Registre cu inscriere serie si citire serie.13II.3.2. Registre cu inscriere serie si citire paralel....................................................................14II.3.3. Montaj cu registru de deplasare...15II.4. Registre statice si dinamice....16II.4.1. Registre statice..16II.4.2. Registre dinamice....16Cap.III NORME DE PROTECTIE A MUNCII18BIBLIOGRAFIE...20Cap.I ARGUMENT

Lucrarea este intitulata Registre logice secventiale si este structurata pe doua capitole.

In primul capitol al lucrarii am prezentat Argumentul. Acest capitol cuprinde structura proiectului pe scurt.

In al doilea capitol am definit registrele si le-am clasificat dupa mai multe criterii, am prezentat registrele de deplasare, dar si un montaj cu acest tip de registre, registrele statice si registrele dinamice.

Circuitele basculante bistabile au proprietatea de a memora o anumita stare logica. Uneori este nevoie de mai multe celule care sa memoreze mai multe stari logice. Aceste stari logice (numite si date) pot fi disponibile simultan (paralel) sau succesiv (serie). Pentru a fi comandate mai usor, celulele basculante bistabile sunt interconectate intre ele in mod logic, formand asa-zisele registre.

Registrele sunt circuite electronice care primesc, stocheaza si transfe-

ra informatii in cod binar, la dorinta utilizatorului, prin simpla actionare a unei comenzi speciale.

Dupa modul de primire si inscriere a datelor, registrele se impart in: registre serie; registre paralel; registre serie-paralel.

Dupa modul de inscriere/extragere a informatiei, patru tipuri de registre: registre cu inscriere serie si citire serie (registre de deplasare-SHIFT REGISTER); registre cu inscriere serie si citire paralel; registre cu inscriere paralel si citire serie; registre cu inscriere paralel si citire paralel. Acestea, la randul lor, pot fi sincrone sau asincrone, functie de organizarea blocului din care fac parte (logic, aritmetic etc.) sau functie de tipul sistemului de calcul.

Registrele serie-paralel imbina doua moduri de lucru. Din aceasta categorie face parte si circuitul integrat CDB 495.

Registrul paralel se caracterizeaza prin aceea ca poseda atatea intrari cate circuite basculante contine. Uneori sunt disponibile toate iesirile tuturor bistabilelor daca datele se transmit mai departe paralel. In caz contrar dispune de o iesire serie pentru extragerea datelor.

Registrul serie prezinta o singura intrare de date. La aceasta intrare se perinda in timp nivelele logice ce trebuiesc stocate sau deplasate. In majoritatea cazurilor poseda o iesire serie, iar uneori sunt accesibile si iesirile bistabilelor. Acest din urma lucru este posibil numai in cazul unui numar mic de celule (biti).

Un registru de deplasare este format dintr-un numar de CBB conectate in serie. El poate inmagazina informatia si o poate transfera de la un bistabil la altul.

Registru cu inscriere serie si citire paralel are rolul de a transforma informatiile din mod serie, in mod paralel. Registru cu inscriere paralel si citire paralel contine un numar de bistabili egal cu numarul de pozitii binare (biti), ce urmeaza a fi memorat.

Inscrierea paralela se efectueaza prin inscrierea simultana a tuturor informatiilor prezente la portile de intrare a bistabililor respectivi, pe durata unui singur impuls de tact sau comanda de inscriere. Odata inscrierea efectuata, informatia continuta in registru, poate ramane aici o perioada nedefinita de timp (cu exceptia unei defectiuni).

Structura registrului cu inscriere paralel si citire serie este asemanatoare cu cea a registrului S/P. Datele sunt introduse simultan in registru prin circuitele SI (AND) si sunt extrase, apoi, bit cu bit la iesirea serie, la comanda impulsurilor de tact.

Cu registrul de deplasare CDB 495 E se poate realiza un montaj simplu de lumina dinamica. Montajul poate fi folosit cu succes la aranjamentele scenice, pentru pomul de iarna.

Tehnologia MOS permite realizarea unor registre cu un numar foarte mare de celule de memorie (de ordinul zecilor). In registrele statice elementele de baza sunt tot bistabilele. Acest tip de bistabil contine un numar mare de tranzistoare MOS. Acest dezavantaj se elimina in cazul registrelor dinamice.

In celula bistabila dinamica informatia este memorata sub forma de sarcina electrica pe capacitatea de grila a tranzistoarelor MOS. In cazul registrelor statice este necesar un semnal de tact bifazic a carui frecventa poate lua orice valoare intre zero si frecventa maxima de lucru permisa tehnologic (de obicei 1 Mhz). Contrar registrului static, registrul dinamic poate functiona numai cu un tact cu 4 faze, iar frecventa nu poate lua valori sub o limita stabilita tehnologic.

In finalul lucrarii am prezentat Bibliografia ce contine manualele din care am extras materialul pentru aceasta lucrare de specialitate.

Cap.II REGISTRE LOGICEII.1. Generalitati

Circuitele basculante bistabile au proprietatea de a memora o anumita stare logica. Uneori este nevoie de mai multe celule care sa memoreze mai multe stari logice. Aceste stari logice (numite si date) pot fi disponibile simultan (paralel) sau succesiv (serie). Pentru a fi comandate mai usor, celulele basculante bistabile sunt interconectate intre ele in mod logic, formand asa-zisele registre.

Registrele sunt circuite electronice care primesc, stocheaza si transfera informatii in cod binar, la dorinta utilizatorului, prin simpla actionare a unei comenzi speciale.

Dupa modul de primire si inscriere a datelor, registrele se impart in:

registre serie;

registre paralel;

registre serie-paralel;Dupa modul de mentinere a informatiei, un registru poate fi static sau dinamic. Pentru a putea scrie o informatie cu n biti intr-un registru, acesta trebuie sa cuprinda n CBB, cate unul pentru fiecare bit. In acest caz, registrul are capacitatea de n biti.

Daca informatia pe care o detine un registru nu poate fi mentinuta decat daca se improspateaza cu o cadenta oarecare, fara de care ar dispa-rea, registrul este considerat dinamic.

Daca informatia stocata in diversele celule nu dispare la oprirea actiunii de comanda, registrul este considerat static (informatia este conservata).

Registrul reprezinta, in cadrul unui calculator numeric, o memorie tampon rapida de mica capacitate (in general un cuvant sau un caracter). El se realizeaza fizic ca un ansamblu de celule binare si este capabil sa memoreze informatia aplicata la intrare sub forma serie (rang cu rang) sau paralel (toate rangurile simultan). Operatia de inregistrare a informatiei intr-un registru se numeste scriere, iar operatia de transfer a continutului unui registru se numeste citire. Continutul unui registru la un moment dat poate fi considerat ca un vector cu n componente. Componentele vectorului pot lua numai valorile 0 si 1, iar dimensiunea vectorului este egala cu numarul de elemente bistabile ale registrului (capacitatea acestuia). Pentru a exemplifica operatia de inscriere si citire a unui registru sa examinam figura 1, in care se prezinta un registru tip paralel format din trei ranguri. Cele trei celule binare sunt circuite bistabile de tip RS. Pentru a putea realiza introducerea fidela a unei anumite succesiuni binare intr-un registru, trebuie ca inainte de a se face inscierea, toate bistabilele ce reprezinta ranguri binare ale cuvantului sa se afle in aceeasi pozitie initiala. Aceasta operatiune se numeste stergerea registrului si se realizeaza in general prin trecerea bistabilelor in starea 0.

Fig.1 Schema de principiu a citirii si scrierii intr-un registru paralel

In exemplul din figura 1 avem o functionare secventiala a dispozitivului, conditionata de prezenta celor patru semnale, T1, T2, T3 si T4, ce vin esalonate in timp in ordinea indicilor.

Prezenta semnalului T1, la momentul t1, are ca efect trecerea celor trei celule in starea 0. Aceasta este etapa de stergere a registrului. La momentul t2 se aplica semnalul T2, care, prin portile SI de la intrare, autorizeaza inscrierea lui x1, x2 si x3 in cele trei celule binare. Deci, la t2 se realizeaza scrierea registrului. Continutul registrului poate fi citit sub forma directa sau inversa, dupa cum se aplica semnalele T3 sau T4.

Prin citire se intelege prelucrarea continutului unui registru. Pentru a se evita pierderea informatiei este necesar ca stergerea unui registru sa fie precedata totdeauna de citirea acestuia, tot asa cum scrierea trebuie sa fie precedata de stergere. Cele trei operatiuni descriu o ordine secventiala ciclica.

In figura 1 citirea se face cu ajutorul portilor comandate de niveluri de tensiune (iesirea bistabilelor) si de semnalele de sincronizare (T3 sau T4). Daca se folosesc pentru iesire circuite de derivare RC cu diode, care sesizeaza numai variatiile de un anumit sens ale potentialelor de iesire a bistabilelor, se poate realiza citirea simultan cu stergerea. Aceasta deoarece in momentul stergerii celulelor binare ce inregistrau cifra 1 basculeaza din 1 in 0, deci potentialul punctului de iesire al bistabilului are o variatie (in sensul cresterii sau al scaderii), variatia ce este preluata de catre circuitul RC, materializand cifra 1 care era inscrisa in celula. Pentru celulele care se gaseau in starea 0, impulsul de stergere le va lasa tot in 0, deci nu are loc basculare si nici o variatie de potential la iesirea lor, iar circuitul RC nu va sesiza nimic.

De asemenea, in cazul scrierii, unele celule vor trece din 0 in 1, dar aceste variatii nu sunt prelucrate de catre circuitul de iesire, datorita efectului de blocare a diodei.

Dupa cum s-a mai amintit, scrierea sau citirea informatiei unui regitru se poate face in serie (bit cu bit) sau paralel (toate rangurile binare deodata). In functie de aceasta rezulta patru tipuri de registre.

In figura 2, este reprezentat simbolic un registru de tip serie cu capacitatea de n ranguri binare. Se observa ca registrul are o singura intrare si o singura iesire, transmiterea semnalelor corespunzatoare cifrelor binare facandu-se pe un singur canal.

Fig.2 Reprezentarea simbolica a Fig.3 Reprezentarea simbolica a

registrului serie registrului paralel

Semnalele aplicate succesiv la intrare se propaga in cadrul registrului de la o pozitie la alta, astfel ca semnalul corespunzator bitului x1 va parcurge la scriere toate cele n pozitii, iar cel corespunzator bitului xn numai o pozitie. La citire, bitul xn va parcurge n pozitii, iar x1 numai o pozitie. Memorarea informatiei in registrul de tip serie are un caracter secvential. Scrierea bit dupa bit in registru se face intr-o anumita cadenta. Intervalul de timp intre doua impulsuri succesive se numeste tact, iar numarul de tacturi echivalent lungimii registrului se numeste ciclu. In figura 3, se prezinta registrul de tip paralel, avand n intrari si n iesiri, deci o constructie mai complicata, dar un timp de citire sau scriere mult mai mic. In cazul registrului paralel operatia de inregistrare sau citire se realizeaza intr-un singur tact, fata de cele n tacturi necesare registrului de tip serie. In figurile 4 si 5 se prezinta doua variante de registre combinate, la care una din operatiuni se face serie, iar cealalta paralel.

Fig.4 Reprezentarea simbolica a Fig.5 Reprezentarea simbolica a

registrului serie registrului paralel-serie

In proiectarea logica a dispozitivelor numerice de calcul este convenabila folosirea anumitor notatii si simboluri privind registrele, continutul lor, transferul de informatie intre registre sau intre elementele unui registru.

Registrele se noteaza cu litere mari ale alfabetului latin: X, Z etc. Bistabilul i din registrul X va fi notat Xi. Continutul registrului X se va reprezenta prin (X), iar continutul celulei Xi prin (Xi). Transferul informatiei din registrul X in registrul Z poate fi scris sub forma concisa (X) TY.

Deplasarea informatiei din celula Xi in celula Xi+1 a aceluiasi registru este descrisa de:(Xi) ( Xi+1Se pot distinge, dupa modul de inscriere/extragere a informatiei, patru tipuri de registre:

a) Registre cu inscriere serie si citire serie (registre de deplasare-SHIFT REGISTER);

b) Registre cu inscriere serie si citire paralel;

c) Registre cu inscriere paralel si citire serie;

d) Registre cu inscriere paralel si citire paralel;

Acestea, la randul lor, pot fi sincrone sau asincrone, functie de organizarea blocului din care fac parte (logic, aritmetic etc.) sau functie de tipul sistemului de calcul.

II.2. Registrele paralel si serieII.2.1. Registrele serie-paralelDin aceasta categorie face parte si circuitul integrat CDB 495 ce va fi prezentat. S-a remarcat faptul ca datele (nivele logice 1 sau 0) pot fi deplasate din bistabil in bistabil de la stanga la dreapta.

Sunt cazuri cand este nevoie ca deplasarea sa se faca si de la dreapta la stanga. Un asemenea caz poate fi cel in care s-a gresit ordinea de introducere a datelor. In acest mod este necesara o deplasare inapoi cu un pas (sau mai multi) a datelor si introducerea nivelului logic corect. Un asemenea circuit este continut de capsula CDB 495E (figura 6). Este un registru de deplasare de 4 biti (notiunea de bit este atasata unei celule ce poate memora un nivel logic 0 sau 1). Pe langa faptul ca poseda ambele moduri de deplasare, poate fi incarcat si paralel. Bineinteles ca are si posibilitatea introducerii in serie a datelor. Sa remarcam faptul ca sunt accesibile toate iesirile Q ale celor 4 circuite basculante bistabile de tip R-S-T.

Realizarea operatiei de deplasare-dreapta sau deplasare-stanga depinde de starea logica in care se afla intrarea control in mod (pin 6). Cand intrarea control-mod se afla in starea logica 0, iesirea fiecarui bistabil este conectata la intrarea urmatorului. Comanda de deplasare dreapta se da prin intrarea de tact 1. Informatia se transfera pe frontul negativ al impulsului de tact.

Fig.6 Registre serie-paralel CDB 495E

Deplasarea stanga este conditionata atat de starea intrarii control de mod, cat si de conexiunile efectuate de utilizator. Astfel, iesirea QD (pin 10) se leaga la intrarea C (pin 4), iesirea QC (pin 11) la intrarea B (pin 3), iesirea QB (pin 12), la A (pin 2), iar QA (pin 13) la intrarea serie (pin 1). Aplicand un nivel logic 1 la intrarea control-mod, datele vor fi deplasate spre stanga pe frontul negativ al impulsului de tact de la intrarea Tact 2 (pin 8). In acest caz, intrarea se face in serie pe la intrarea D (pin 5).

Acest registru poate fi utilizat ca registru de memorie cu acces paralel, memorie tampon la afisaje. Ca divertisment se poate utiliza in diverse circuite de lumina dinamica pentru pomul de iarna, jocuri logice, reclame.

II.2.2. Registre de tip paralelRegistrul paralel se caracterizeaza prin aceea ca poseda atatea intrari cate circuite basculante contine. Uneori sunt disponibile toate iesirile Q ale tuturor bistabilelor daca datele se transmit mai departe paralel. In caz contrar dispune de o iesire serie pentru extragerea datelor.

Fig.7 Modul de lucru al registrului paralelIn figura 7 se explica modul de lucru al registrului paralel. Aceleasi date ca si in exemplul precedent trebuiesc introduse intr-un registru tot cu 4 celule. Se observa ca la momentul t1 toate datele au fost transferate, operatia durand de 4 ori mai putin decat cea din cazul registrului serie. Se vor arata cateva metode de inscriere a informatiei in registrul paralel (operatia se cunoaste si sub denumirea de incarcare a registrului).

Pentru exemplificare se considera o portiune de registru formata din celulele binare Xi-1, Xi si Xi+1. Aceasta simplificare nu reduce cu nimic generalitatea functionarii, intrucat restul de celule lucreaza absolut identic.

Fig.8 Registru de tip paralel cu inregistrare in doua impulsuri de tact

In figura 8 se afla un registru paralel, la care operatiunile de inscriere se realizeaza in doua tacturi. In primul tact, T1, toate bistabilele sunt trecute in starea 0, iar in cel de-al doilea tact semnalul T2 autorizeaza inscrierea cifrelor binare bi-1, bi si bi+1, in celulele Xi-1, Xi, Xi+1.

Bitii bi-1, bi, bi+1, care urmeaza sa fie scrisi in registrul X, provin de la un alt registru, de la un dispozitiv aritmetic, de la un dispozitiv logic sau de la un dispozitiv de memorie. Pentru ca inscrierea in registrul X sa decurga normal trebuie ca sursele ce furnizeaza bitii bi, enumerate mai sus, sa fie de tip paralel.

Fig.9 Registru de tip paralel cu scriere in doua tacturi

Registrul paralel din figura 9 realizeaza scrierea tot in doua tacturi, dar de data aceasta la aplicarea tactului T1 se inscrie 1 in toti bistabilii registrului. In cel de-al doilea tact actioneaza semnalul T2, care, in conjunctie cu semnalele corespunzatoare bitilor 0 din cuvant, trece bistabilele respective in starea 0. Celelalte bistabile raman in starea 1, deci, in final, registrul va contine cuvantul ce trebuie memorat.

Figurile 8 si 9 prezinta doua variante ale aceleiasi metode de incarcare a unui registru paralel, metoda caracterizata prin aceea ca foloseste doua tacturi: unul pentru aducerea tuturor celulelor binare ale registrului in aceeasi pozitie (0 sau 1) si celalalt pentru inscrierea propriu-zisa. Deci in primul tact se face distrugerea continutului anterior al registrului sau stergerea, iar in cel de-al doilea inregistrarea noului continut.

Fig.10 Registru de tip paralel cu scrierea intr-un singur tactIn figura 10 se prezinta o metoda de scriere a unui registru paralel intr-un singur tact. In acest caz nu este necesara aducerea tuturor bistabilelor in aceeasi stare initiala, inregistrarea facandu-se peste vechiul continut al registrului.

Prezenta sau absenta semnalului pe una din intrarile bi va actiona asupra bistabilului Xi prin intrarile S sau R, trecandu-l in 1 sau 0, indiferentde continutul anterior.

II.2.3. Registre de tip serieRegistrul serie prezinta o singura intrare de date. La aceasta intrare se perinda in timp nivelele logice ce trebuiesc stocate sau deplasate. In majoritatea cazurilor poseda o iesire serie, iar uneori sunt accesibile si iesirile Q ale bistabilelor. Acest din urma lucru este posibil numai in cazul unui numar mic de celule (biti).

Pentru a intelege functionarea unui astfel de registru sa urmarim schema din figura 11. S-a luat un exemplu in care registrul are numai 4 biti si in care se doreste stocarea unui sir format din 1001. Sub influenta unui tact care se desfasoara in timp la intervalele t1, , t4 are loc stocarea bit cu bit (nivel cu nivel). Dupa impulsul de tact t4 aplicat pe o intrare comuna tuturor bistabilelor se ajunge in ultima situatie cand datele sub forma binara se afla introduse complet in registru.

Fig.11 Modul de lucru al registrului serieII.2.4. Registre cu inscriere paralel si citire paralel

Un astfel de registru contine un numar de bistabili egal cu numarul de pozitii binare (biti), ce urmeaza a fi memorat.

Inscrierea paralela se efectueaza prin inscrierea simultana a tuturor informatiilor prezente la portile de intrare a bistabililor respectivi, pe durata unui singur impuls de tact sau comanda de inscriere.

Odata inscrierea efectuata, informatia continuta in registru, poate ramane aici o perioada nedefinita de timp (cu exceptia unei defectiuni).

Citirea se efectueaza tot pe durata unui singur impuls de tact sau semnal de citire, care deschide portile SI (AND) conectate la iesirile bistabililor. Schema de principiu a unui astfel de registru este in figura 12.

Fig.12 Registru cu inscriere paralel si citire paralelII.2.5. Registre cu inscriere paralel si citire serie

Structura acestui registru este asemanatoare cu cea a registrului S/P.

Datele sunt introduse simultan in registru prin circuitele SI (AND) si sunt extrase, apoi, bit cu bit la iesirea serie, la comanda impulsurilor de tact.

Fig.13 Registre cu inscriere paralel si citire serie Tabel 1

Diagrama de stari ce reda functionarea unui astfel de registru, pentru un cuvant de 4 biti, a, b, c, d, va fi cea din tabelul 1.

II.3. Registre de deplasareLa momentul t0 continutul registrului este cel din figura 14. La momentul t1 are loc deplasarea informatiei spre dreapta cu o pozitie, asa cum se arata in figura 15.

Fig.14Continutul unui registru de tip Fig.15Continutul unui registru de tip

serie la momentul t1 serie la momentul t0Acest lucru se descrie in general prin ecuatiile: X1(t+1) = 0, sau ceea ce se introduce din afara; X2(t+1) = X1(t); X3(t+1) = X2(t); X4(t+1) = X3(t); X4(t) se pierde.

Deplasarea informatiei celula cu celula trebuie sa se realizeze intr-un sincronism perfect, adica in momentul in care (X1)(X2 sa aiba loc si (X2)(X3. Daca (X1) a trecut in X2 inainte ca (X2) sa fie trecut in X3, inseamna ca in X3 trece tot (X1) si, prin urmare, s-a produs o alterare a informatiei.

Deoarece in practica este greu de realizat simultaneitatea transferului intre celulele registrului, se recurge la solutia deplasarii continutului in mai multe etape, cu folosirea unor celule binare auxiliare.

In acest caz deplasarea cu o pozitie comporta patru faze, dupa cum se vede in figura 16.

X1, X2, X3 si X4 sunt celulele principale ale registrului, iar X1, X2 si X3 sunt celule auxiliare.

Fig.16 Deplasarea in patru faze a continutului registrului serie

In faza 0 se prezinta continutul initial al registrelor X si X. In faza 1 (X) ( X, iar in faza 4 se face stergerea celulelor auxiliare, putandu-se lansa un nou subciclu de patru faze pentru deplasarea cu inca o pozitie la dreapta. Pozitia X1 a registrului poate ramane libera (0) sau poate sa memoreze o cifra binara introdusa din exterior.

De asemenea, daca X4 a fost ultima pozitie din dreapta a registrului, in urma deplasarii se pierde cifra binara care era memorata initial in X4. Schema ce realizeaza functionarea descrisa mai sus este prezentata in figura 17.

Fig.17 Schema deplasarii in patru faze a continutului registrului serie

Schema din figura 17 poseda o siguranta in functionarea sporita, dar prezinta dezavantajul unei durate mari de efectuare a operatiei de deplasare (un subciclu comporta patru timpi).

II.3.1. Registre cu inscriere serie si citire serieUn registru de deplasare este format dintr-un numar de CBB conectate in serie. El poate inmagazina informatia si o poate transfera de la un bistabil la altul.

Fig.18 Registru de deplasare cu bistabili de tip DFigura 18 reprezinta un registru de deplasare cu bistabili de tip D, acestia gasindu-se, initial, in starea 0.

Fiecare impuls de tact va deplasa starea 1, ce apare la intrarea primului bistabil, cu cate o celula spre dreapta, pana ce este scoasa din registru.

Un registru de deplasare spre stanga, este reprezentat in figura 19. In acest caz, starea 1, care apare la intrarea D a CBB3, este deplasata cu o celula spre stanga, la fiecare impuls de ceas.

Fig.19 Registru de deplasare spre stanga

II.3.2. Registre cu inscriere serie si citire paralel

Acest registru are rolul de a transforma informatiile din mod serie, in mod paralel. Schema de principiu a unui astfel de registru este prezentata in figura 20.

Fig.20 Registru cu inscriere si citire paralelFunctionarea acestui registru pentru un cuvant de 4 biti a, b, c, d, in care literele pot avea valorile 0 sau 1.

Tabel 2

Cuvantul este introdus in serie, bit cu bit, in registrul de deplasare, la fiecare impuls de tact (care trebuie sa fie sincronizat cu viteza de sosire a datelor). Cand registrul a fost complet incarcat, se da comanda de CITIRE si, prin cela patru circuite SI (AND), datele sunt livrate in paralel. Citirea in paralel a unui registru nu este distructiva (nu se sterge informatia continuta). Utilizarea registrului pentru o noua informatie se face dupa punerea lui pe zero (stergere).

II.3.3. Montaj cu registru de deplasare

Cu registrul de deplasare CDB 495 E se poate realiza un montaj simplu de lumina dinamica. Montajul poate fi folosit cu succes la aranjamentele scenice, pentru pomul de iarna.

Schema electrica (figura 21) contine un generator de semnale dreptunghiulare realizat cu o jumatate din capsula integrata CDB 413 E. Perioada de oscilatie este dictata de constanta R1C1.

Valorile acestor componente se pot modifica in limite destul de largi pentru a obtine frecventa dorita (totusi R1 nu se va micsora sub 200). Circuitul CDB 495 E este un registru de deplasare conectat in schema din figura 21, astfel incat sa realizeze o deplasare spre drepta a informatiei aflate la intrarea seriala.

Functionarea montajului se bazeaza pe recircularea unui 1 logic (+5V) de catre registrul de deplasare CDB 495 E. Prin trecerea lui 1 logic pe la fiecare iesire a registrului de deplasare, fiecare tiristor este adus pe rand in conductie, in acest fel comandandu-se aprinderea secventiala a becurilor, dand impresia de miscare a luminii.

Viteza de miscare a luminii se regleaza din potentiometrul de 100. Becurile vor fi de 26 V/0,3 A si se vor lega 9 becuri in serie la anodul fiecarui tiristor. Tiristoarele sunt de tipul T1N4 (1 A/400 V). Sigurantele S sunt de 0,5 A. In cazul in care se doreste sa se comande becuri de 220 V, tiristoarele vor fi inlocuite cu altele corespunzatoare, in functie de numarul de becuri comandate.

Fig.21 Lumina dinamica cu CDB 495EII.4. Registre statice si dinamiceII.4.1. Registre staticeTehnologia MOS permite realizarea unor registre cu un numar foarte mare de celule de memorie (de ordinul zecilor). In registrele statice elementele de baza sunt tot bistabilele. Schema tipica a unui astfel de bistabil este cea din figura 22. Pentru transferul datelor dintr-un etaj in urmatorul sunt necesare doua semnale de tact, 1 respectiv 2.

Presupunem ca se intra pe A cu un semnal de felul celui din figura 23. In timpul perioadei t1 a semnalului de tact 2, portile tranzistoarelor T3 si T4 sunt la potentialul masei. Fiind blocate, informatia este stocata in capacitatile grilelor C1 si C2 care raman incarcate. In timpul t2 tactul 1 ia o valoare negativa, care are ca efect deschiderea tranzistorului T5 si transferarea informatiei in B. Nivelul logic 1 (logica negativa) prezent in A se regaseste in B, capacitorul C1 se incarca negativ, tranzistorul T1 devine conductor si potentialul din C trece in zero. In timpul t3, 1revine la zero, blocand T5 si izoleaza intrarea lui T1, C1 conserva sarcina sa, iar punctul C ramane la nivelul 0.

Fig.22 Bistabil Fig.23 Semnalul intr-un bistabil

Daca in timpul t4, 2 devine negativ, ceea ce ar deschide T3 si T4, potentialul din C se aplica in D. Tranzistorul T2 se blocheaza, iar bistabilul trece in starea T1 conduce si T2 blocat.

Dupa cum se observa, acest tip de bistabil contine un numar mare de tranzistoare MOS. Acest dezavantaj se elimina in cazul registrelor dinamice.

II.4.2. Registre dinamiceIn celula bistabila dinamica informatia este memorata sub forma de sarcina electrica pe capacitatea de grila a tranzistoarelor MOS. In cazul registrelor statice este necesar un semnal de tact bifazic a carui fracventa poate lua orice valoare intre zero si frecventa maxima de lucru permisa tehnologic (de obicei 1 Mhz).

Contrar registrului static, registrul dinamic poate functiona numai cu un tact cu 4 faze, iar frecventa nu poate lua valori sub o limita stabilita tehnologic.

In figura 24 este prezentata schema de baza a bistabilului dintr-un registru dinamic. Daca pe intrarea A apare un nivel logic 1 (negativ), tranzistorul T3 primeste pe grila sa o tensiune negativa. La momentul t1 intrarile de tact 1 si 2 iau o valoare de 26V. T3 este blocat si C1 se incarca prin T1 care conduce. In timpul t2 , 1 revine la 0, dar 2 ramane la 26V.

Fig.24 Bistabil-registru dinamic Fig.25 Semnal bistabil

Tranzistorul T1 este mentinut blocat in timp ce T2 si T3 sunt conductoare si C1 poate sa se descarce. In timpul t3, 3 si 4 iau o valoare negativa (-26V), T5 si T6 sunt blocate in timp ce t4 conduce si permite lui C2 sa se incarce negativ. In momentul t4 grila lui T6 (punctul B) este la zero (tranzistor blocat) si permite pastrarea informatiei in capacitatea C2 Se observa ca la finele ciclului de tact (t1+t2+t3+t4) nivelul negativ (1 logic) aplicat in A se regaseste in C (figura 25). Pe urmatorul ciclu de tact informatia este transferata in urmatorul bistabil.

La C.C.S.I.T.S. (Microelectronica) se fabrica circuitul ROM 03. Este un registru de deplasare (dinamic) cu capacitatea de 64 biti realizat in tehnologie MOS cu canal P si poarta de aluminiu.

Cap.III NORME DE PROTECTIE A MUNCIISe interzice folosirea instalatiilor electrice provizorii, cu exceptia alimentarii cu energie electrica la locurile de munca unde se executa reparatii.La tablourile de distributie a energiei electrice se vor folosi numai sigurante calibrate.Aparatele si echipamentul electric n stare defecta se vor deconecta imediat de la reteaua electrica.Utilajul electric, dupa terminarea lucrului, se scoate de sub tensiune.Conectarea la reteaua electrica a diferitelor aparate si/sau montaje electrice se va face numai dupa verificarea de catre conducatorul lucrarii de laborator a montajului efectuat.Deoarece aparatura electrica de masurare este sensibila la socuri mecanice si/sau electrice, nu trebuie trntita pe masa si nu se va lucra la valori mai mari dect cele nominale. Orice defect functional al aparaturii trebuie semnalat personalului de conducere a lucrarii. Aparatele de masura se aseaza pe masa astfel nct sa fie accesibile manevrei sau astfel ca citirea sa se faca n conditii normale de lucru.Dupa ce a fost hotart locul aparatelor, se trece la executarea legaturilor electrice conform schemei de montaj cu ajutorul cordoanelor de legatura.Montajul se executa fara a se porni sursa de energie electrica.Dupa executarea montajului, se verifica pozitia de ncepere a experimentarilor pentru aparatura de masura si control (de exemplu: pozitia cursoarelor reostatelor si autotransformatoarelor, pozitia comutatoarelor aparatelor cu scari de masura multiple etc.).n momentul conectarii stecherelor n prize, degetele nu trebuie sa atinga partile metalice ale stecherelor.nainte de a ncepe executarea lucrarii, montajul va fi controlat de cadrul didactic; nimeni nu are voie sa faca punerea sub tensiune nainte de acest control. Este interzis a se umbla la alte butoane ale aparatelor electronice, n afara celor cu care se lucreaza si care vor fi indicate de cadrul didactic.n timpul experimentarilor este interzisA plimbarea de la o masa la alta, de a circula prin laborator sau a ntretine discutii n afara temei de studiat.Persoanele care deservesc instalatiile electrice trebuie sa ndeplineasca urmatoarele conditii:a)sa fie sanatoase din punct de vedere psihic;b)sa posede cunostinte profesionale si de tehnica securitatii muncii corespunzatoare;c)sa cunoasca procedeele de scoatere de sub tensiune a persoanelor electrocutate si de acordare a primului ajutor.n timpul desfasurarii experimentului nu se vor atinge piesele conductoare aflate sub tensiune.Desfacerea montajului experimental se va face numai dupa decuplarea alimentarii tuturor aparatelor.Daca se observa un defect ce ar avea drept consecinta distrugerea unui aparat sau a unui element din schema, se scoate imediat montajul de sub tensiune.Este interzisa abandonarea instalatiei aflate sub tensiune si lasarea ei nesupravegheata.Pe mesele de lucru nu se vor pune alte obiecte si se vor ndeparta conductoarele de prisos.Lucrarile care urmeaza a se efectua trebuie studiate cu atentie pentru a se cunoaste punctele din montaj cu tensiune ridicata, precum si modul de lucru corect cu fiecare montaj realizat.Datorita nerespectarii acestor norme de protectia muncii se pot produce doua feluri de accidente:a)electrocutarea prin atingerea directa a partilor conductoare aflate sub tensiune, sau prin atingeri indirecte, ca urmare a defectarii izolatiei;b)defectarea sau distrugerea aparatelor din lucrare.BIBLIOGRAFIE

C. Bulucea - (Circuite integrate liniare(, Editura Tehnica, Bucuresti, 1976

K. F. Ibrahim - (Introducere in electronica(, Editura Teora, Bucuresti, 2002

E. Nicolau - (Manualul inginerului electronist(, Editura Tehnica, Bucuresti, 1975

Barry Wilkinson - (Electronica digitala Bazele proiectarii(, Editura Teora, Bucuresti, 2002

11