3
フィジカル設計を考慮したECOにより タイミング収束までの期間を短縮 10 マルチシナリオにもスケーラブルに対応 フィジカル設計を考慮した ECO 高い結果品質を短時間で 最新技術情報 Technology Update シノプシス シニア・プロダクト・マーケティング・マネージャ Sunil Walia これまで長年にわたって、ECOを処理してタイミングを収束する作業は、設 計チームにとって最も頭の痛い問題でした。伝統的に、タイミングと消費電 力の仕様を満たす作業は設計プロセスで最も時間のかかるタスクの1つであ り、レイアウトにいくつかの変更を加えただけでテープアウトのスケジュール がまったく予測できなくなることもあります。 この問題に対処するには、サインオフSTA(Static Timing Analysis)とイン プリメンテーション・ツールを緊密に統合し、短期間で予測性の高いデザイン 収束を達成できるECOフローが求められます。特に最先端のデザインでは機 能の増加とセルの利用率向上が進んでおり、タイミング解析ツールがフィジカ ル設計を完全に考慮し、複数のシナリオにおいてDRC、セットアップ、ホール ドの違反を効率的かつ効果的に解決することが重要になっています(図1)。 シノプシスは先ごろ、フィジカル設計を考慮したECO タイミング・フローを すべてのシノプシス・ユーザー向けにリリースしました。IC Compiler、 StarRC、PrimeTimeで構成されるこのECOフローは、サインオフ・ドリブ ンのタイミング収束を最短期間で達成します。 半導体プロセスの世代が進むにつれてタイミング収束はますます難しくなっ ていますが、フィジカル設計を考慮した ECO は、成熟したプロセス・ノードの デザインにも効果があります。Galaxy ECO タイミング・フローは、どのよう なプロセス・ノードであっても、1回のツール実行でタイミングと消費電力を すばやく収束し、高い予測性をもたらす独自のテクノロジを搭載しています。 これまでの ECOツールは、複雑なマルチシナリオへの対応が十分ではありま ECO(Engineering Change Order)を処理してタイミングを収束する作業は、設計フローの中でも特に時間のかかる重要な工程です。こ の期間を短縮するために、先ごろシノプシスの Galaxyプラットフォームに追加されたフィジカル設計考慮のタイミング ECOツールについて、 シノプシスのシニア・プロダクト・マーケティング・マネージャ、Sunil Walia がご説明します。 図 1. サインオフ ドリブンのタイミング収束メソドロジ せんでした。複雑なシナリオの場合、ECO 処理をしてもそれで問題が解決す るとは限らず、TAT(ターンアラウンド・タイム)を短縮するのは困難でした。 よほど注意しないと、1つの違反修正によって別の違反が発生する「モグラた たき」の状態となり、適切な期間内にデザインを収束することが絶望的となっ てしまうためです。その原因の1つは、これまでのECOツールのほとんどが サインオフ・ツールから提供されるタイミング・データのスナップショットを 利用しており、ごく簡単な推定に基づいて「修正箇所」を提案していたことに あります。 特許アルゴリズムを採用したPrimeTime ECO タイミング・フロー(図2)で は、複数のシナリオを使用した場合でもTAT を犠牲にすることなく業界トッ プレベルの結果品質を達成できます。 PrimeTime ECOガイダンスは、IC Compiler の最適化機能と緊密に連携し ます。シノプシスは、PrimeTime から生成されるすべての ECO ガイダンスを、 IC Compiler がフィジカル設計への影響を最小限に抑えてインプリメントで きるようにPrimeTime の推定機能を開発しました。このアプローチを基盤 とすることで、予測性の高いデザイン収束が可能なECOフローを実現してい ます。 フィジカル設計を考慮した ECOフローを開発するに当たり、シノプシスは最先 端の複雑なデザインにも対応できる容量を確保しつつ実行時間を短縮できるよ う、PrimeTime に搭載されるECO エンジンのアーキテクチャを刷新しました。 PrimeTime ECOに追加された重要なテクノロジの1つに、合成グラフ・ビュー があります。他のタイミング解析ソリューションではシナリオごとに別々のグ ラフを読み込む必要があり、マルチシナリオ解析では容量不足が問題となっ 図 2. Galaxyプラットフォームに含まれるサインオフ・ドリブンの PrimeTime ECO ガイダンス インプリメンテーション クリティカルなシナリオに対する タイミング・ドリブンの最適化 サインオフ・ドリブンの ECO フィジカル設計を 考慮してすべての シナリオに サインオフ精度の ECO ガイダンスを提供 配置配線の最適化 Galaxyプラットフォームの ECO IC Compiler 最適化 StarRC 寄生素子抽出 PrimeTime サインオフSTA / ECO

Technology Update - Synopsys · 2020. 7. 30. · Technology Update シノプシス シニア・プロダクト・マーケティング・マネージャ Sunil Walia これまで長年にわたって、ECOを処理してタイミングを収束する作業は、設

  • Upload
    others

  • View
    0

  • Download
    0

Embed Size (px)

Citation preview

  • フィジカル設計を考慮したECOによりタイミング収束までの期間を短縮

    10

    マルチシナリオにもスケーラブルに対応

    フィジカル設計を考慮したECO

    高い結果品質を短時間で

    最 新 技 術 情 報Technology Update

    シノプシス シニア・プロダクト・マーケティング・マネージャ Sunil Walia

    これまで長年にわたって、ECOを処理してタイミングを収束する作業は、設

    計チームにとって最も頭の痛い問題でした。伝統的に、タイミングと消費電

    力の仕様を満たす作業は設計プロセスで最も時間のかかるタスクの1つであ

    り、レイアウトにいくつかの変更を加えただけでテープアウトのスケジュール

    がまったく予測できなくなることもあります。

    この問題に対処するには、サインオフSTA(Static Timing Analysis)とイン

    プリメンテーション・ツールを緊密に統合し、短期間で予測性の高いデザイン

    収束を達成できるECOフローが求められます。特に最先端のデザインでは機

    能の増加とセルの利用率向上が進んでおり、タイミング解析ツールがフィジカ

    ル設計を完全に考慮し、複数のシナリオにおいてDRC、セットアップ、ホール

    ドの違反を効率的かつ効果的に解決することが重要になっています(図1)。

    シノプシスは先ごろ、フィジカル設計を考慮したECOタイミング・フローを

    すべてのシノプシス・ユーザー向けにリリースしました。IC Compiler、

    StarRC、PrimeTimeで構成されるこのECOフローは、サインオフ・ドリブ

    ンのタイミング収束を最短期間で達成します。

    半導体プロセスの世代が進むにつれてタイミング収束はますます難しくなっ

    ていますが、フィジカル設計を考慮したECOは、成熟したプロセス・ノードの

    デザインにも効果があります。Galaxy ECOタイミング・フローは、どのよう

    なプロセス・ノードであっても、1回のツール実行でタイミングと消費電力を

    すばやく収束し、高い予測性をもたらす独自のテクノロジを搭載しています。

    これまでのECOツールは、複雑なマルチシナリオへの対応が十分ではありま

    ECO(Engineering Change Order)を処理してタイミングを収束する作業は、設計フローの中でも特に時間のかかる重要な工程です。この期間を短縮するために、先ごろシノプシスのGalaxyプラットフォームに追加されたフィジカル設計考慮のタイミングECOツールについて、シノプシスのシニア・プロダクト・マーケティング・マネージャ、Sunil Waliaがご説明します。

    図1. サインオフ ドリブンのタイミング収束メソドロジ

    せんでした。複雑なシナリオの場合、ECO処理をしてもそれで問題が解決す

    るとは限らず、TAT(ターンアラウンド・タイム)を短縮するのは困難でした。

    よほど注意しないと、1つの違反修正によって別の違反が発生する「モグラた

    たき」の状態となり、適切な期間内にデザインを収束することが絶望的となっ

    てしまうためです。その原因の1つは、これまでのECOツールのほとんどが

    サインオフ・ツールから提供されるタイミング・データのスナップショットを

    利用しており、ごく簡単な推定に基づいて「修正箇所」を提案していたことに

    あります。

    特許アルゴリズムを採用したPrimeTime ECOタイミング・フロー(図2)で

    は、複数のシナリオを使用した場合でもTATを犠牲にすることなく業界トッ

    プレベルの結果品質を達成できます。

    PrimeTime ECOガイダンスは、IC Compilerの最適化機能と緊密に連携し

    ます。シノプシスは、PrimeTimeから生成されるすべてのECOガイダンスを、

    IC Compilerがフィジカル設計への影響を最小限に抑えてインプリメントで

    きるようにPrimeTimeの推定機能を開発しました。このアプローチを基盤

    とすることで、予測性の高いデザイン収束が可能なECOフローを実現してい

    ます。

    フィジカル設計を考慮したECOフローを開発するに当たり、シノプシスは最先

    端の複雑なデザインにも対応できる容量を確保しつつ実行時間を短縮できるよ

    う、PrimeTimeに搭載されるECOエンジンのアーキテクチャを刷新しました。

    PrimeTime ECOに追加された重要なテクノロジの1つに、合成グラフ・ビュー

    があります。他のタイミング解析ソリューションではシナリオごとに別々のグ

    ラフを読み込む必要があり、マルチシナリオ解析では容量不足が問題となっ

    図2. Galaxyプラットフォームに含まれるサインオフ・ドリブンのPrimeTime ECOガイダンス

    インプリメンテーション

    クリティカルなシナリオに対するタイミング・ドリブンの最適化

    サインオフ・ドリブンのECO

    フィジカル設計を考慮してすべての

    シナリオにサインオフ精度の

    ECOガイダンスを提供

    配置配線の最適化

    GalaxyプラットフォームのECO

    IC Compiler最適化

    StarRC 寄生素子抽出

    PrimeTimeサインオフSTA / ECO

  • 11

    リソースの利用効率が向上

    配置配線を考慮したECO

    ていました。また、シナリオの数に比例して実行時間と必要なメモリー容量

    が増加する傾向があり、性能の低下とTATの長期化という問題もありました。

    PrimeTime ECOの新しい合成グラフ・ビューは、すべてのシナリオを1つの

    グローバルなグラフで表現します。このアプローチにより、PrimeTime

    ECOテクノロジでは複数のシナリオを解析しても実行時間の伸びはそれほど

    大きくありません。実際にシノプシス・ユーザーのデザインから得られた結

    果を見ても、シナリオ数の増加に対して実行時間の増加は最小限に抑えられ

    ています(図3)。

    一般に、最先端のSoCプロジェクトではTATを最短化するために多くの台数

    のマシンを利用しています。デザインがそれほど複雑でない場合はコン

    ピュータの台数もそれほど多くはありませんが、それでもタイミング収束をな

    るべく短期間で達成したいのは同じことです。

    PrimeTime ECOソリューションはどのような規模のコンピューティング・イ

    ンフラストラクチャでも性能を最大限に引き出すことができるため、設計チー

    ムはタスクの複雑さ、利用可能なリソース量、実行するシナリオの数に応じて

    リソース量を柔軟に選択してタイミング収束を達成できます。

    効率的なリソースの利用を可能したPrimeTimeでは、数千万インスタンスの

    大規模なデザインでも一晩でタイミング収束が可能です。翌朝には解析が完

    了しているため、始業時間からすぐに次の工程にとりかかることができます。

    このフローは、ネットワーク、通信、無線アプリケーションなど、さまざまな

    プロセス・ノードおよび設計スタイルにおいて実行時間の短縮に効果がある

    ことが実証されています。

    図4に示すように、CPUの数を63コアから9コアに減らしてもPrimeTime

    ECOの実行時間(グラフの緑線)はほとんど増えておらず、結果品質にも違

    いはありません。使用するコアの数にかかわらず、1回のツール実行で96%

    のホールド違反が修正されています。

    特に最先端のプロセス・ノードでは、小さい面積に多くのロジックを詰め込

    むことになるため、配線混雑への対処がますます難しくなります。成熟した

    プロセス・ノードの場合を含め、利用可能なスペースを最大限に有効利用し

    てECOセルを挿入するのは常に困難な作業となります。

    PrimeTime ECOはフィジカル設計を考慮しており、どのようなテクノロジ・

    ノードであっても既存の配線への最適なバッファ挿入場所をECOガイダンス

    として指摘してくれるため、結果品質が大きく向上します。

    一般的なECOツールは寄生素子やネットの最適な分割場所を考慮せず、違反

    ピンの周囲にバッファを追加します。これに対し、PrimeTime ECOはネット・

    トポロジに生じる寄生素子を考慮して最適なバッファ挿入場所を見つけます。

    PrimeTime ECOのもう1つの画期的な機能として、単なる空きスペースを

    考慮するだけでなく、ターゲット・パス途中の配置密度が最も低い場所を考

    慮してECOガイダンスを生成する機能があります(図5)。

    図3. シナリオ数の増加にもスケーラブルに対応

    図4. 高い結果品質を維持したままコンピューティング リソースを効率的に利用

    図5. 配置密度を考慮したECOにより、配線混雑への対処が改善

    実行時間

    出典:シノプシス・ユーザーのデータ使用するCPUコアの数

    コンピューティング・リソースを減らした場合のPrimeTime ECOの結果

    シナリオをパイプライン処理した場合の実行時間

    63 32 16 9

    0 2 4 6 8 10

    シナリオ数とECO実行時間の関係

    実行時間

    出典:シノプシス・ユーザーのデータシナリオ数

    スクリプトを使用した場合

    PrimeTimeのECOガイダンス

    バッファ挿入前 バッファ挿入後

    最大遷移遅延違反 違反を修正

    IC Compilerがフィジカル設計への

    影響を最小限に抑えてECO 処理を確定

    配置密度が最も低いエリアで最適な

    バッファ挿入位置を見つける

    Support Q

    &A

    検証

    編S

    upport Q&

    Aフ

    ィジ

    カル

    編S

    upport Q&

    A論

    理合

    成編

    What's N

    ewin D

    esignWare IP?

    Technology Update

    最新

    技術

    情報

    New

    s Release

    ニュ

    ース

    リリ

    ース

    Partner H

    ighlightIP

    Accelerated

    イニ

    シャ

    ティ

    ブ特

    集スタ

    ティック

    / フォーマル検

    証特

  • 12

    使いやすく、導入も容易

    消費電力の改善

    このように配置密度を考慮してPrimeTime ECOからIC Compilerに指示

    を出すことにより、レイアウトやタイミングにほとんど影響を与えずに既存

    の構造を移動してバッファ挿入のためのスペースを確保できるようにしていま

    す(フィジカル・インパクト最小化機能)。

    フィジカル設計を考慮したこれらのテクノロジを組み合わせることで、フィジ

    カル・レイアウトへの影響は最小限に抑えられます。このアプローチでは、

    1つの修正が別の違反を引き起こす可能性が大幅に低下し、1回の修正で

    ECO処理が完了する確率が高まります。この結果、短期間で予測性の高い

    デザイン収束が可能になります。

    デザインを収束するには、タイミング制約を満たすだけでなく、あらゆるプロ

    セス・ノードにおいてシステム消費電力の目標を達成することも必要です。

    IC Compilerと PrimeTime を併用してリーク・パワーを削減すると、

    IC Compiler のみを使用した場合に比べ、消費電力が平均で10% 改善

    します(図6)。PrimeTime ECOガイダンスは、リーク・パワー削減のため

    のネットリスト変更箇所を正値のタイミング・スラックに基づいて決定してお

    り、新しいタイミング違反の発生を防いでいます。

    フィジカル設計を考慮したECOタイミング・テクノロジは、すでに多くの設

    計チームが導入している標準的なPrimeTimeフローをそのまま利用していま

    す。このため、既存のフローを変更する必要や長時間かけてツール認証を行

    う必要もなく、ただちにこの新しいテクノロジに切り替えて高品質な修正結

    果を手にすることができます。もちろん、ECOタイミング・テクノロジは

    PrimeTimeの定評ある使いやすさも引き継いでいます。

    PrimeTimeはフィジカル設計を考慮して正確な推定に基づいてECOガイダ

    ンスを生成するため、IC Compilerが高い確率でECOをインプリメントでき

    るという安心感があります。このアプローチにより、修正を何回も繰り返さ

    なくても1回でECO処理が完了する確率が高まります。こうして予測性の高

    いタイミング収束と正確なサインオフが可能となり、テープアウトまでの期

    間が数週間短縮されます。

    図6. タイミング収束後のリーク パワー削減

    フィジカル設計を考慮したECOによりタイミング収束までの期間を短縮

    詳細情報• PrimeTime ECOガイダンス・テクノロジ http://www.synopsys.com/JP2/Tools/Implementation/SignOff/PrimeTime/Pages/eco-guidance.aspx

    著者紹介Sunil Walia:シノプシスのフラッグシップ製品の1つ、PrimeTime STA担当のシニア・プロダクト・マーケティング・マネージャ。半導体業界で14年の経 験 が あり、5年 前 か らシノプシスに 勤 務。それ 以 前はMagma Design Automation社 の サインオフ・マー ケ ティン グ・チ ームに 所 属。Sun Microsystems社ではシニア・デザイン・エンジニアとしてSPARCプロセッサを担当。アイオワ大学にて電気工学の修士号を取得。

    出典:シノプシス ユーザーのデータ

    80

    70

    60

    50

    40

    30

    20

    10

    0

    リーク・パワー削減率(%)

    IC CompilerとPrimeTime

    IC Compilerのみ

    Technology Update

    101112.pdf