138
Universit` a degli Studi di Firenze Facolt` a di Scienze Matematiche Fisiche e Naturali Tesi di Laurea in Fisica di Cristiano Marchettini Anno Accademico 2000/01 23 Aprile 2002 Studio dell’elettronica di lettura e realizzazione di una stazione di qualifica per i rivelatori a microstrisce di silicio dell’esperimento CMS Candidato: C. Marchettini Relatore: Dott. M. Meschini Correlatore: Prof. E. Focardi

Universit`a degli Studi di Firenze Facolt`a di Scienze ...hep.fi.infn.it/CMS/tesi_marchettini.pdf · 3.2.9 L’impulso di trigger e l’impulso di calibrazione . . . . . . . .

Embed Size (px)

Citation preview

Universita degli Studi di Firenze

Facolta di Scienze Matematiche Fisiche e Naturali

Tesi di Laurea in Fisica

di

Cristiano Marchettini

Anno Accademico 2000/01

23 Aprile 2002

Studio dell’elettronica di lettura e

realizzazione di una stazione di qualifica

per i rivelatori a microstrisce di silicio

dell’esperimento CMS

Candidato: C. Marchettini

Relatore: Dott. M. Meschini

Correlatore: Prof. E. Focardi

“Preferivo il bianco”, dissi“Bianco!”, sogghigno. “Serve come base.

Il tessuto bianco puo essere tinto.La pagina bianca ricoperta di scrittura,

e la luce bianca decomposta”.‘Nel qual caso non sara piu bianca”, dissi.

“E colui che rompe un oggetto per scoprire cos’e,ha abbandonato il sentiero della saggezza”.

– J. R. R. TolkienLa Compagnia dell’Anello

Indice

Introduzione 1

1 L’acceleratore LHC 3

1.1 Gli esperimenti ad LHC . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7

1.1.1 ALICE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7

1.1.2 LHCb . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8

1.1.3 ATLAS e CMS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9

2 L’esperimento CMS ad LHC 13

2.1 Il magnete superconduttore . . . . . . . . . . . . . . . . . . . . . . . . . . 14

2.2 Il sistema tracciante . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15

2.2.1 I rivelatori di silicio . . . . . . . . . . . . . . . . . . . . . . . . . . . 16

2.2.2 Struttura del sistema tracciante a microstrip di silicio . . . . . . . . 21

2.2.3 I moduli di rivelatori . . . . . . . . . . . . . . . . . . . . . . . . . . 27

2.3 I calorimetri . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30

2.4 Il rivelatore per muoni . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32

2.5 La fisica a CMS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33

2.5.1 Sezione d’urto e luminosita . . . . . . . . . . . . . . . . . . . . . . . 33

2.5.2 Il bosone di Higgs . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35

2.5.3 La fisica dei B . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40

2.5.4 La supersimmetria . . . . . . . . . . . . . . . . . . . . . . . . . . . 43

i

3 Il sistema di acquisizione 47

3.1 La catena di acquisizione . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48

3.2 L’APV-25 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49

3.2.1 Il protocollo I2C . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52

3.2.2 I registri dell’APV-25 . . . . . . . . . . . . . . . . . . . . . . . . . . 53

3.2.3 Il registro di comando . . . . . . . . . . . . . . . . . . . . . . . . . 54

3.2.4 Il registro di errore . . . . . . . . . . . . . . . . . . . . . . . . . . . 54

3.2.5 Il registro di modalita . . . . . . . . . . . . . . . . . . . . . . . . . 56

3.2.6 Il registro di latenza . . . . . . . . . . . . . . . . . . . . . . . . . . 58

3.2.7 I registri per il controllo della calibrazione . . . . . . . . . . . . . . 59

3.2.8 Il reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60

3.2.9 L’impulso di trigger e l’impulso di calibrazione . . . . . . . . . . . . 61

3.2.10 Il formato dei dati in uscita . . . . . . . . . . . . . . . . . . . . . . 61

3.2.11 Il preamplificatore e lo shaper . . . . . . . . . . . . . . . . . . . . . 63

3.2.12 Valutazione del rumore . . . . . . . . . . . . . . . . . . . . . . . . . 64

3.2.13 Il filtro APSP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67

3.3 Il sequencer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68

3.4 Il software di controllo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69

4 L’analisi dei dati 73

4.1 Acquisizione dei dati . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73

4.2 Le prime analisi dei risultati . . . . . . . . . . . . . . . . . . . . . . . . . . 75

4.3 Alto flusso di particelle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78

4.4 Risultati in modalita picco . . . . . . . . . . . . . . . . . . . . . . . . . . . 81

4.5 Risultati in modalita deconvoluzione . . . . . . . . . . . . . . . . . . . . . 89

4.6 Conclusione . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 92

ii

5 Il sistema di qualificazione dei moduli 95

5.1 La stazione di test . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96

5.1.1 L’hardware . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96

5.2 Il software . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 102

5.3 L’acquisizione dei dati . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 104

5.4 L’analisi dei dati . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 108

5.5 Conclusioni . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 114

Bibliografia 117

A Guida all’installazione e all’uso del software di qualificazione dei moduli a

A.1 I file necessari . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . a

A.2 Driver . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . e

A.3 Caricamento dei moduli del kernel . . . . . . . . . . . . . . . . . . . . . . . g

A.4 Dim . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . h

A.5 Acquisition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . h

A.6 Gui . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . i

A.7 Esecuzione . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . i

iii

iv

Introduzione

Gli acceleratori di particelle sono sempre stati di fondamentale importanza nello studio

della fisica delle alte energie. Infatti, grazie a loro si sono scoperte molte delle particelle

attualmente conosciute (fino alle piu recenti scoperte del quark top e dei bosoni W± e

Z0), si sono verificati risultati gia noti e se ne e migliorata la precisione, e si sono aperti

campi di ricerca del tutto nuovi.

Per tutti questi motivi, e necessario aggiornare sempre le loro strutture, per renderli

in grado di raggiungere energie piu elevate e di produrre un maggior numero di collisioni,

in modo da studiare anche eventi particolarmente rari.

In quest’ottica si inquadra la nascita del nuovo acceleratore di particelle al CERN (Cen-

tro Europeo per la Ricerca Nucleare) di Ginevra, in Svizzera: il Large Hadron Collider,

LHC.

In questa tesi espongo il mio contributo alla realizzazione di uno dei 4 esperimenti che

saranno installati sull’anello di LHC: il Compact Muon Solenoid, CMS.

Questo rivelatore e equipaggiato con un sistema tracciante molto evoluto, in grado

di riconoscere con estrema precisione i punti di passaggio delle particelle prodotte nelle

collisioni tra protoni. Per questo scopo, e dotato di oltre 12 milioni di canali di rivela-

zione. L’acquisizione dei dati di ciascuno di questi canali e fatta dal circuito di lettura

APV-25 progettato e disegnato dal Rutherford Appleton Laboratory e realizzato dall’IBM

espressamente per CMS.

E dunque di fondamentale importanza comprendere al meglio il funzionamento di

1

questo chip, al fine di ottimizzarne le prestazioni, sia in laboratorio che in un ambiente

piu simile possibile a quello nel quale si trovera ad operare durante i 10 anni di durata

previsti per l’esperimento.

La tesi e suddivisa nel modo seguente.

Nel Capitolo 1 descrivo la realizzazione ed il funzionamento del nuovo acceleratore di

particelle, e gli obiettivi dei 4 esperimenti che verranno installati al suo interno.

Nel secondo Capitolo concentro la mia attenzione sul rivelatore CMS, ed in partico-

lare sul funzionamento del suo sistema tracciante a microstrisce di silicio. Questa parte

permette di chiarire sia le finalita che i requisiti del sistema stesso. Do anche una breve

descrizione dei fenomeni fisici che sara possibile studiare, e dei risultati attesi.

Nel Capitolo 3 e descritto in modo approfondito il funzionamento del circuito di lettura

e della stazione di misura che ho realizzato per la sua analisi: della sua realizzazione ed

ottimizzazione mi sono occupato sia per quanto riguarda la parte hardware che quella

software.

Nel Capitolo 4 analizzo i risultati ottenuti dallo studio del circuito APV-25, in diverse

situazioni, con particolare attenzione allo studio del suo comportamento in condizioni di

alto flusso di particelle; discuto inoltre le possibilita di ottimizzazione del circuito stesso.

Nell’ultimo capitolo tratto la realizzazione della prima stazione italiana di test del

sistema rivelatore di silicio–circuito di lettura. Queste stazioni di test serviranno per

qualificare tutti i moduli prodotti al fine di accertarne la funzionalita prima dell’utilizzo

all’interno dell’esperimento. Descrivo inoltre le analisi che ho effettuato, utilizzando la

stazione realizzata, su due dei primi moduli prodotti.

2

Capitolo 1

L’acceleratore LHC

Nel 2007 entrera in funzione al CERN di Ginevra il Large Hadron Collider (LHC),

un acceleratore protone–protone che e attualmente in costruzione all’interno dell’anello di

27 km di circonferenza che ha ospitato il LEP (Large Electron Positron Collider) [1]. Il

progetto, il cui studio e iniziato nel 1984, ha portato nel novembre del 2000 alla chiusura

di LEP e ad un progressivo aggiornamento delle strutture sia di superficie (figura 1.1) che

sotterranee (figura 1.2) del CERN.

Figura 1.1: Il complesso di edifici di superficie del CERN e i siti dei vari esperimenti.

3

Figura 1.2: Il complesso sotterraneo e i siti degli esperimenti.

Questo nuovo acceleratore permettera di produrre collisioni protone–protone, con una

energia nel centro di massa pari a 14 TeV1 e luminosita istantanea di 1034 cm−2 s−1.

I due fasci di protoni, iniettati nell’anello dall’acceleratore SPS con una energia di

450 GeV (vedi figura 1.3), verranno accelerati con l’ausilio di cavita superconduttrici a

radiofrequenza (figura 1.4 (a)), in grado di fornire ai protoni dei fasci una energia di 485

keV ad ogni giro; in questo modo, in circa 20 minuti i due fasci arriveranno all’energia

di regime (7 TeV). Da questo momento in poi la funzione delle cavita a radiofrequenza

sara semplicemente quella di compensare la perdita di energia dovuta alla radiazione di

sincrotrone, circa 7 keV per giro per protone.

I fasci viaggeranno in due tubi paralleli sotto vuoto molto spinto (10−9 ÷ 10−10 torr),

guidati da 1238 dipoli magnetici e focalizzati da un sistema formato da 386 quadrupoli,

360 sestupoli e 360 ottupoli magnetici generati da magneti superconduttori (figura 1.4

(b)).

1Nel seguito faro sempre uso del “sistema naturale” di unita di misura. Questo consiste nel porre

c = = 1, permettendo dunque di esprimere masse ed impulsi in unita di energia.

4

Figura 1.3: Schema del sistema di produzione e di accelerazione dei protoni e degli ioni

di Pb (che verranno utilizzati in una seconda fase) ad LHC.

Sia le cavita risonanti che i magneti superconduttori saranno costantemente mantenuti

ad una temperatura di 1.9 K, per mezzo di un sistema di raffreddamento criogenico ad

elio liquido.

Un ulteriore sistema magnetico permettera di incrociare i fasci nei quattro punti di

interazione, corrispondenti ai settori 1, 2, 5 e 8 dell’anello. In questi punti saranno

installati i quattro esperimenti previsiti: rispettivamente ATLAS, ALICE, CMS e LHCb.

(a) (b)

Figura 1.4: (a) Cavita risonante a radiofrequenza; (b) Segmento lineare dell’anello con i

magneti di focalizzazione.

5

A causa delle alte energie in gioco e delle basse sezioni d’urto previste per i processi fisici

interessanti, al fine di ottenere risultati significativi sara necessaria una elevata luminosita.

Il numero di eventi prodotti in un secondo (N) per un processo di sezione d’urto σ ad

una luminosita L e dato da

N = σ L. (1.1)

A partire da questa relazione, utilizzando un processo fisico del quale si conosce gia la

sezione d’urto, si riesce a misurare la luminosita del collider.

L’attivita ad LHC sara divisa in due fasi: una prima fase nella quale il sistema operera

a bassa luminosita, in cui quest’ultima sara di 2 × 1033 cm−2 s−1; in seguito una fase ad

alta luminosita, nella quale si raggiungeranno i 1034 cm−2 s−1.

Per ottenere questi valori, i fasci avranno dimensioni trasversali di 15.9 µm nei punti di

interazione, e saranno divisi in 2835 pacchetti, ognuno contenente circa 1.1×1011 protoni;

il tempo di rivoluzione di un pacchetto sara di circa 90 µs, col risultato di avere una

interazione ogni 25 ns, corrispondente ad una frequenza di 40 MHz.

La massima luminosita sara pero raggiunta solo nei punti di interazione 1 e 5 (cor-

rispondenti agli esperimenti ATLAS e CMS); gli altri due avranno luminosita piu basse

e saranno dedicati allo studio della fisica dei quark b (LHCb) e allo studio di interazioni

con ioni pesanti (ALICE).

L’alta luminosita della macchina produrra un elevato numero di collisioni protone–

protone per ogni incrocio dei fasci: cio costituira un fondo continuo sovrapposto agli

eventi interessanti, il cosiddetto fondo di minimum bias. Con questo termine si intendono

tutti gli eventi che vengono rivelati, senza alcun tipo di selezione sulle caratteristiche

dell’evento stesso: quindi tutti gli eventi, indipendentemente dal fatto che ci sia stata o

meno produzione di particelle “interessanti” (Z0, W±, etc.). Nella fase ad alta luminosita

sono previsti circa 17 eventi di questo tipo per ogni interazione.

E dunque necessario l’utilizzo di una elettronica di lettura estremamente veloce per

6

evitare la sovrapposizione di dati provenienti da interazioni in incroci successivi dei fasci

(pile-up), oltre che una buona resistenza alle radiazioni sia per le componenti elettroniche

che per i rivelatori.

Una ulteriore complicazione e data dal fatto che la velocita massima di scrittura degli

eventi su disco per le analisi successive e di soli 100 Hz; e quindi necessaria una procedura

che permetta di selezionare in modo veloce ed efficiente soltanto gli eventi fisici interessanti

(trigger). Tale procedura dovra essere in grado di operare una selezione di 1 : 107 eventi

in tempo reale.

In seguito, sara possibile sostituire i fasci di protoni con fasci di ioni di piombo (208Pb),

con energia di 2.76 TeV per nucleone, per dar luogo a collisioni con energia 1100 TeV nel

centro di massa. In questa fase la luminosita prevista e di 1027 cm−2 s−1.

1.1 Gli esperimenti ad LHC

In corrispondenza delle quattro zone di interazione dei fasci di protoni saranno siste-

mati quattro esperimenti: ATLAS e CMS nei due punti ad alta luminosita e ALICE e

LHCb nei due a bassa luminosita.

1.1.1 ALICE

Nella regione di interazione corrispondente al settore 2 verra installato il rivelatore

ALICE (A Large Ion Collider Experiment, figura 1.5), ideato per studiare l’eventuale for-

mazione di un plasma di quark e gluoni (Quark–Gluon Plasma, QGP), previsto dalla cro-

modinamica quantistica (Quantum Cromo Dynamic, QCD), in condizioni di temperature

e densita della materia nucleare molto elevate [2].

Questo studio sara di importanza fondamentale per comprendere a fondo i meccanismi

della QCD, come ad esempio il confinamento del colore. Questo rivelatore e progettato

7

Figura 1.5: Il rivelatore ALICE.

per sfruttare a pieno le potenzialita delle collisioni tra ioni pesanti ad energie cosı elevate

come quelle raggiungibili ad LHC.

Particolare attenzione e stata dedicata alla capacita di riconoscimento delle tracce

delle numerose particelle cariche prodotte in ogni collisione, riconoscimento fondamentale

per comprendere le caratteristiche del plasma di quark e gluoni.

1.1.2 LHCb

LHCb (LHC beauty experiment) nasce per studiare nel dettaglio la fisica dei quark

bottom e la violazione della simmetria CP (coniugazione di Carica-Parita) nel decadimento

dei mesoni B [3]. La sua caratteristica principale e la forte asimmetria del rivelatore,

come risulta evidente anche in figura 1.6: infatti lo studio sara focalizzato sull’analisi

delle particelle prodotte in una sola direzione, molto vicino alla direzione di uno dei due

fasci.

Gli elementi principali che costituiscono questo esperimento sono il rivelatore di vertice

per il riconoscimento delle traiettorie delle particelle cariche ed il RICH (Ring Imaging

CHerenkov), che garantisce una precisa identificazione delle particelle su un ampio spettro

8

Figura 1.6: Il rivelatore di particelle di LHCb.

di impulso.

I rivelatori RICH si basano sul fatto che quando una particella attraversa un mezzo

con una velocita maggiore di quella della luce in quel materiale, questa emette radiazione

in un cono centrato lungo la direzione del moto, con apertura proporzionale alla velocita

della particella stessa (la cosiddetta luce Cherenkov). Questa luce e dunque rilevata come

un cerchio. Dalle informazioni sul raggio del cerchio si ricava la velocita della particella;

misurandone in un altro modo l’impulso dunque si riesce a risalire alla sua massa.

Dalle simulazioni effettuate risulta che la maggior parte degli eventi che interessano

la fisica dei mesoni B0 avverranno ad angoli molto piccoli rispetto alla direzione dei fasci:

per questo LHCb e progettato per concentrare la ricerca in quelle regioni.

1.1.3 ATLAS e CMS

A differenza dei precedenti esperimenti, ATLAS (A Toroidal LHC ApparatuS, figura

1.7) [4] e CMS (Compact Muon Solenoid, figura 1.8) [5] sono due esperimenti piu generali,

9

Figura 1.7: Il rivelatore ATLAS.

pensati per analizzare le interazioni fondamentali della materia alle energie permesse da

LHC.

Il campo di indagine principale sara la verifica del Modello Standard per le particelle

elementari e di alcune sue estensioni: in particolare la ricerca del bosone di Higgs, lo

studio della violazione di CP nei mesoni B0 e la ricerca di particelle supersimmetriche.

Figura 1.8: Il rivelatore CMS.

10

La struttura dei due rivelatori e molto simile: partendo dal punto di interazione e

procedendo verso l’esterno si incontrano un rivelatore al silicio per la ricostruzione delle

tracce, due sistemi di calorimetri, uno elettromagnetico ed uno adronico per la misura

dell’energia delle particelle, e infine un sistema di camere a muoni nella zona piu esterna.

Una delle differenze principali sta invece nella diversa scelta dei campi magnetici: per

CMS e stato scelto un campo magnetico solenoidale mentre per ATLAS uno toroidale,

entrambi prodotti attraverso dei magneti superconduttori. Questa diversa scelta influenza

anche le dimensioni dei due: il primo rivelatore risulta infatti estremamente piu compatto

del secondo.

11

12

Capitolo 2

L’esperimento CMS ad LHC

L’esperimento CMS (Compact Muon Solenoid, figura 2.1) [5] sara uno dei primi ad

entrare in funzione sull’anello di LHC, ed e quello cui collabora il gruppo con il quale ho

effettuato il mio lavoro di tesi. Una delle sue caratteristiche principali e la possibilita di

misurare con una precisione migliore dell’1% le energie di e, µ, γ, ad energie di ∼ 100

GeV [6].

Seguendo lo schema costruttivo tipico di molti rivelatori di particelle di alte energie, i

suoi apparati di misura si trovano all’interno di un campo magnetico, che in questo caso e

pari a 4 T: il campo magnetico costringe una particella carica a percorrere una traiettoria

elicoidale (la particella viene sottoposta alla forza di Lorentz ~F = q~v ∧ ~B, dove q e la

carica della particella, ~v la sua velocita e ~B il campo magnetico nel quale e immersa [7]).

Questo permette di ottenere informazioni sul segno della carica e sull’impulso della

particella stessa, conoscendo la sua direzione del moto, a partire dal raggio di curvatura

della traiettoria ricostruita.

Successivamente i calorimetri assorbono le particelle che interagiscono con essi e for-

niscono cosı informazioni sulla loro energia.

Soltanto neutrini e muoni riescono ad arrivare allo strato piu esterno, dove questi

ultimi sono rivelati da apposite camere per muoni, mentre i neutrini non vengono rivelati

a causa della sezione d’urto di interazione estremamente bassa.

13

MUON BARREL

CALORIMETERS

Silicon MicrostripsPixels

ECALScintillating

PbWO4 crystals

Cathode Strip Chambers (CSC)Resistive Plate Chambers (RPC)

Drift TubeChambers (DT)

Resistive PlateChambers (RPC)

SUPERCONDUCTINGCOIL

IRON YOKE

TRACKER

MUONENDCAPS

Total weight : 12,500 tOverall diameter : 15 mOverall length : 21.6 mMagnetic field : 4 Tesla

HCALPlastic scintillator/brasssandwich

Figura 2.1: Schema del rivelatore CMS.

A causa della geometria del rivelatore, non e possibile rivelare particelle emesse ad

angoli piccoli (. 8o) rispetto alla direzione del fascio. Questo rende impossibile misurare

l’energia totale di un evento; le quantita globali che sono effettivamente misurabili sono

soltanto l’impulso e la proiezione del flusso di energia ortogonali alla direzione del fascio,

comunemente indicate con ~pt (impulso trasverso) e Et (energia trasversa).

2.1 Il magnete superconduttore

La caratteristica principale del rivelatore e il magnete che circonda il sistema tracciante

e i calorimetri [8]. La scelta e caduta su un solenoide superconduttore, lungo 13 m e con

diametro interno di 5.9 m, che produce un campo magnetico di 4 T parallelo alla direzione

dei fasci.

Il campo magnetico si richiude su uno strato di ferro dello spessore di 1.8 m: e in

14

questa zona che trovano posto le camere a muoni. Inoltre, poiche il ferro di ritorno

del magnete e l’elemento principale di CMS in termini di peso (oltre 11000 tonnellate),

dimensioni e rigidita, esso costituisce l’elemento strutturale deputato a sostenere tutte le

altre componenti del rivelatore.

2.2 Il sistema tracciante

La ricostruzione delle tracce delle particelle e dei vertici di decadimento secondari,

effettuata con rivelatori che identifichino i punti di passaggio delle particelle cariche con

alta precisione ed efficienza, e fondamentale in un esperimento di questo tipo. Per questo,

il sistema tracciante (tracker) dovra soddisfare in particolare i seguenti criteri:

Risoluzione dell’impulso delle particelle cariche. E necessaria una buona risoluzione

nella misura dell’impulso dei leptoni energetici, per la comprensione degli eventi di

nuova fisica, spesso caratterizzati dal decadimento dei bosoni di gauge in leptoni;

come ad esempio nei decadimenti H0 → Z0 Z0 → ` ` j j oppure H0 → Z0 Z0 → 4 `±,

dove con ` si indica uno qualsiasi dei tre leptoni (e, µ, τ) e con j un jet adronico.

Individuazione e riconoscimento dei jet b. I jet generati da quark bottom sono

importanti per molti tipi di eventi che CMS si propone di studiare, in particolare

per lo studio della fisica del quark top e delle nuove particelle. Questo richiede una

buona capacita sia di distinguere due tracce vicine sul rivelatore che di ricostruire

le traiettorie delle particelle a partire dai punti di passaggio per riconoscere i vertici

secondari dei decadimenti.

Gli eventi di nuova fisica interessanti saranno ottenibili principalmente alle massi-

me luminosita raggiungibili (1034 cm−2 s−1), pertanto queste richieste dovranno essere

soddisfatte anche a tali livelli.

In questi casi, in associazione con i 17 eventi di minimum bias, saranno prodotte nel

tracker circa 500 tracce cariche. Affinche queste non nascondano gli eventi interessanti

15

sara dunque necessario lavorare con l’occupazione minima possibile su ciascun canale e

con il numero maggiore possibile di punti per traccia, compatibilmente con le esigenze

di gestione dei costi e della complessita dell’intero apparato: in particolare per ottenere

questo scopo saranno necessari rivelatori con alta granularita ed un buon numero di piani

di misura.

La distribuzione delle particelle cariche nel tracker e influenzata dalla presenza di un

campo magnetico molto intenso, che confina in traiettorie elicoidali di piccolo raggio le

particelle con basso impulso trasverso; il raggio dell’orbita infatti e legato all’intensita del

campo magnetico dalla relazione

R =pt

Z e B(2.1)

dove pt e l’impulso trasverso, Z e la carica della particella e B il campo magnetico.

Il basso impulso trasverso e una delle caratteristiche principali degli eventi di minimum

bias, che rimangono cosı concentrati nelle regioni piu interne del sistema tracciante, come

si puo ricavare dalla eq. 2.1.

La densita di particelle diminuisce dunque rapidamente all’aumentare della distanza

dal punto di interazione. Questo ha permesso di scegliere due diverse tecnologie nella

costruzione del tracker, in base alle loro proprieta di risoluzione e granularita: nella regione

piu interna verranno utilizzati rivelatori a pixel di silicio, mentre in quella piu esterna dei

rivelatori a microstrisce (microstrip) di silicio [9, 10].

2.2.1 I rivelatori di silicio

Il funzionamento di un rivelatore di silicio puo essere schematizzato come quello di un

insieme di diodi contropolarizzati posti uno accanto all’altro; un diodo contropolarizzato

e una giunzione pn alla quale e applicata una tensione (bias) che permette di svuotare la

regione di contatto tra p e n dalle cariche di conduzione presenti.

Quando un particella carica attraversa il diodo, perde parte della sua energia per

16

Microstrip silicon detector

Implant,p -type+

SiO2

t

S

EBulk,n-type

Pre-amplifiers/Shapers

Strip pitch, P

(typ

. 300

um)

electrons

holes

Particle

Backplane, n - type silicon++ Bias Voltage

Metalisation

Implant width, W

Principles of operation

Alessandro Buffini - Firenze Limassol, June 19-24, 2000 6

Figura 2.2: Modello di un rivelatore a microstrip di silicio attraversato da una particella

carica.

ionizzazione: questo fa sı che alcuni elettroni della banda di valenza acquistino l’ener-

gia necessaria (per un silicio “puro” questa e pari a 1.14 eV) per passare alla banda di

conduzione, producendo dunque coppie elettrone–lacuna (hole).

La presenza del campo elettrico impedisce che gli elettroni e le lacune prodotti in

questo modo si ricombinino rapidamente, e li costringe a migrare verso i capi del diodo.

In figura 2.2 e riportato un esempio del funzionamento di un rivelatore a microstrisce

di silicio, attraversato da una particella carica: il rivelatore e formato da un substrato

(detto bulk) drogato n, sul quale da una parte sono impiantate delle strisce drogate p+

(lato giunzione) e dall’altra e realizzato uno strato drogato n+; in entrambi i lati le zone

ad alto drogaggio sono ricoperte da una metallizzazione in alluminio. Il campo elettrico

permette di svuotare tutto il bulk consentendo di riconoscere il passaggio di una particella.

17

Figura 2.3: Il rivelatore a pixel.

Le lacune prodotte dal passaggio della particella convergono verso il lato giunzione e

vengono raccolte dalle strisce p+: questo permette di ricavare informazioni sul punto di

passaggio della particella.

I rivelatori a pixel di silicio

Il cuore del rivelatore di tracce di CMS e il sistema tracciante a pixel (vedi figura 2.3).

Questo sara costituito da due strati di rivelatori disposti secondo una simmetria cilindrica

lungo l’asse del fascio nella zona centrale del rivelatore (detta barrel) e da due dischi in

ciascuna delle due zone esterne, disposti ortogonalmente al fascio (detti end disk).

Nel primo periodo di operativita, quello a bassa luminosita, sono previsti nel barrel

2 strati di rivelatori, posti rispettivamente ad una distanza di 4 cm e 7 cm dal punto di

interazione. Nella fase ad alta luminosita, lo strato piu interno sara sostituito da un terzo

cilindro di rivelatori, di 11.5 cm di raggio. La lunghezza del barrel di pixel sara di 93 cm.

E prevista, nei dieci anni di durata dell’esperimento, almeno una sostituzione degli strati

piu interni del barrel, a causa del danneggiamento da radiazioni.

18

I due end disk saranno posizionati a |z| = 32.5 cm e |z| = 46.5 cm (z indica la

coordinata lungo il fascio, con l’origine fissata nel punto di interazione). Anche per questi

e prevista la sostituzione dopo sei anni di operativita.

I rivelatori a pixel sono costituiti da un piano sensibile segmentato dello spessore di

circa 250 µm; i pixel sono quadrati con dimensione 150 µm × 150 µm, e sono ottenuti

impiantando zone di tipo n+ su un substrato di tipo n.

Tutto questo garantisce una risoluzione intrinseca del punto di impatto della particella

di 10− 15 µm nel barrel e di 15− 20 µm negli end disk.

I rivelatori a microstrip di silicio

A causa delle difficolta costruttive e dei costi di produzione dei rivelatori a pixel, si

e scelto di utilizzarli soltanto nella parte piu interna del tracker. Per la parte esterna

la scelta e caduta su rivelatori a microstrisce, che comunque garantiscono una sufficiente

risoluzione spaziale e temporale e una adeguata capacita di resistenza alle radiazioni.

, Discs

"!"#$&%('*),+

-./012435

Figura 2.4: Schema del sistema tracciante a microstrip di silicio di CMS.

19

Il sistema tracciante a microstrip di silicio di CMS (SST, Silicon Strip Tracker, figura

2.4) e lungo circa 5.6 m lungo l’asse z e copre la regione di pseudorapidita |η| < 2.5,

fornendo un’area attiva di silicio di oltre 230 m2.

La pseudorapidita e un parametro che viene spesso utilizzato nella fisica delle particelle:

e un numero puro definito da

η = −ln

(tan

2

)), (2.2)

dove ϑ e l’angolo che la particella forma con il fascio. La comodita di questo parametro

e legata al fatto che e possibile dimostrare che la distribuzione di particelle prodotte

in una interazione rispetto ad η e circa uniforme, mentre non lo e affatto se misurata

semplicemente rispetto all’angolo ϑ.

I rivelatori a microstrip saranno installati leggermente sovrapposti tra loro in tutte

le direzioni, in modo da eliminare le zone morte e permetterne un miglior allineamento.

Inoltre la geometria del sistema tracciante e stata sviluppata per avere il maggior numero

di punti di misura possibile: data la disposizione scelta per i moduli, sono previsti tra i

10 e i 14 punti per particelle con pseudorapidita |η| ≤ 2.5.

Per permettere una buona ricostruzione delle tracce a partire dai punti di passaggio

delle particelle in questa zona del tracciatore sono richieste risoluzioni di almeno 50 µm.

Nell’attuale disegno del sistema tracciante il passo delle strip di silicio varia da 80 µm

a 183 µm nella regione cilindrica e da 81 µm a 205 µm nei dischi. In questo modo si

ottiene una risoluzione che va dai 15 µm per i sensori con strip di passo piu piccolo ai 50

µm per gli altri.

Per mantenere l’occupazione dei canali e il rumore ad un livello accettabile le strip

dovranno essere lunghe al massimo 12 cm nella regione interna e 18 cm in quella esterna.

20

Figura 2.5: Profilo di un quarto del tracker di silicio di CMS. In viola gli strati di rivelatori

a pixel ; in rosso i rivelatori a strip a faccia singola e in blu quelli a doppia faccia. Le due

scale in basso e a sinistra sono in mm, la scala in alto a destra e la pseudorapidita.

2.2.2 Struttura del sistema tracciante a microstrip di silicio

In figura 2.5 e riportato in dettaglio lo schema costruttivo di un quarto della sezione

longitudinale del SST. Come si vede, esso e suddiviso in quattro parti:

TIB (Tracker Inner Barrel)

TID (Tracker Inner Disks)

TOB (Tracker Outer Barrel)

TEC (Tracker End Caps)

Tutti i moduli che compongono il rivelatore sono formati da sensori di silicio ricavati

da wafer da 6”, di due spessori diversi, 320 µm e 500 µm. I moduli segnati in rosso

in figura 2.5 sono a singola faccia, mentre quelli segnati in blu sono a doppia faccia; i

primi permettono di misurare due coordinate del punto di passaggio della particella, una

data dalla posizione della strip sul modulo e l’altra dalla posizione del modulo stesso sulle

21

(a) (b)

Figura 2.6: (a) Un prototipo del modulo di supporto del TIB con alcuni rivelatori mon-

tati; (b) Schema di montaggio dei moduli del TIB. I moduli si sovrappongono leggermente

per non lasciare zone cieche.

strutture di supporto; i secondi permettono invece di misurare tutte e tre le coordinate,

due date dalla posizione del punto di impatto sul modulo e la terza di nuovo dalla posizione

del modulo.

Inoltre, a seconda del numero di strip presenti, i moduli sono equipaggiati con 4 o 6

circuiti di lettura APV-25 (vedi Capitolo 3).

Ciascun modulo di silicio e installato, insieme alla sua elettronica di lettura, su un sup-

porto in fibra di carbonio, ricoperto da un isolante in kapton che porta anche, attraverso

piste metallizzate, le tensioni di polarizzazione per il silicio stesso.

L’elettronica per la lettura del segnale dal silicio e collocata su un circuito realizzato

in allumina spesso circa 400 µm, detto “ibrido” perche composto sia da circuiti integrati

che da componenti discrete. Questo ibrido e connesso al silicio tramite un pitch adapter,

un’interfaccia che permette di adattare tutti i diversi passi delle strip dei sensori all’unico

passo del chip di lettura (44 µm).

22

Layer Raggio medio in cm Numero di moduli APV per modulo APV totali

TIB1 255 336 6 + 6 4032

TIB2 340 432 6 + 6 5184

TIB3 430 540 4 2160

TIB4 520 648 4 2592

Tabella 2.1: Caratteristiche del Tracker Inner Barrel.

Sempre allo scopo di mantenere la maggiore uniformita costruttiva possibile, i moduli

a doppia faccia saranno realizzati semplicemente utilizzando due moduli a faccia singola

montati back to back su un supporto in fibra di carbonio, ruotati di 100 mrad (∼ 5.6o)

l’uno rispetto all’altro.

TIB

Il Tracker Inner Barrel e la parte piu interna del SST. E costituito di quattro strati

cilindrici (layer) di moduli paralleli al fascio, ed e diviso in due parti rispetto a z = 0.

Ciascuna delle due parti contiene 6 anelli di moduli: i due layer piu interni sono fatti con

moduli a doppia faccia, gli altri con moduli a faccia singola.

I sensori utilizzati hanno uno spessore di 320 µm ed hanno una superficie attiva di

61 × 117 mm2. Il passo delle strip e 80 µm per i due layer interni e 120 µm per i due piu

esterni.

In figura 2.6 e riportato un prototipo di montaggio, mentre in tabella 2.1 sono riportate

Anello Numero di anelli in z Numero di moduli APV per modulo APV totali

TID1 6 144 6 + 6 1728

TID2 6 144 6 + 6 1728

TID3 6 240 4 1728

Tabella 2.2: Caratteristiche dei Tracker Inner Disks.

23

Figura 2.7: Schema di una rod del Tracker Outer Barrel.

le caratteristiche di questa sezione del tracker. Il numero totale di moduli e 2724, letti da

13968 APV-25.

TID

In tabella 2.2 sono riportate le caratteristiche dei Tracker Inner Disks. Su ciascun lato

del TIB sono posizionati 3 dischi e su ciascuno di questi sono montati 3 anelli di rivelatori:

i due anelli piu interni sono a doppia faccia, mentre quello esterno e a faccia singola.

Come nel caso del TIB i sensori qui utilizzati sono spessi 320 µm. In questo caso pero,

dovendo essere montati in una corona circolare, la forma dei moduli non e rettangolare

come nel caso precedente ma trapezoidale; il passo delle strip risulta dunque variabile tra

81 µm e 158 µm.

In questa sezione trovano posto un totale di 816 moduli connessi a 5184 APV-25.

24

Layer Raggio medio in cm Numero di moduli APV per modulo APV totali

TOB1 610 504 4 + 4 4032

TOB2 696 576 4 + 4 4608

TOB3 782 648 4 2592

TOB4 868 720 4 2880

TOB5 965 792 6 4752

TOB6 1080 888 6 5328

Tabella 2.3: Caratteristiche del Tracker Outer Barrel.

TOB

La parti interne del rivelatore sono circondate dal Tracker Outer Barrel, formato

da 6 strati cilindrici concentrici paralleli alla direzione del fascio, costruiti secondo una

struttura a “sbarra” (rod, figura 2.7): 6 moduli di rivelazione vengono montati su una

rod, tre nella parte superiore e tre in quella inferiore; queste rod sono poi unite insieme

per formare una struttura cilindrica. Due di queste strutture, unite insieme a z = 0,

costituiscono un cilindro del TOB.

I due strati piu interni utilizzano moduli a doppia faccia, mentre negli altri vengono

utilizzati moduli a faccia singola. Tutti i sensori utilizzati sono di 500 µm di spesso-

Anello Numero di anelli in z Numero di moduli APV per modulo APV totali

TEC1 6 144 6 + 6 1728

TEC2 12 288 6 + 6 3456

TEC3 16 640 4 2560

TEC4 18 1008 4 4032

TEC5 18 720 6 + 6 8640

TEC6 18 1008 4 4032

TEC7 18 1440 4 5760

Tabella 2.4: Caratteristiche dei Tracker End Caps.

25

Figura 2.8: Schema costruttivo di 1/8 del TEC.

re, ciascuno costruito da due wafer di silicio di 6” ciascuno, ed hanno un’area sensibile

complessiva di circa 94 × 186 mm2.

Il numero totale di moduli che compongono questa parte del tracker e 5208, letti

attraverso 24192 APV-25 (tabella 2.3).

TEC

L’ultima parte del tracker e costituita dai Tracker End Caps. Questi sono composti

da 18 dischi (9 per lato), suddivisi in anelli concentrici. Costruttivamente, ciascun disco

dell’endcap e diviso in 16 petali; 1/8 del TEC e mostrato in figura 2.8, mentre in figura

2.9 sono mostrati 2 petali, corrispondenti rispettivamente agli anelli pari e dispari.

Gli anelli piu interni montano moduli sottili (320 µm di spessore), come gli anelli del

TID; gli anelli esterni montano invece sensori da 500 µm. La distribuzione dei rivelatori

a singola e doppia faccia, come pure il numero di anelli sui vari piani, e mostrata in figura

2.5. Come nel TID, tutti i moduli di questa sezione hanno struttura trapezoidale. Per

26

Figura 2.9: Schema costruttivo dei petali del TEC. Sulla sinistra lo schema degli anelli

pari, sulla destra quello dei petali dispari.

semplificare la costruzione saranno utilizzate due sole strutture di supporto, una con e

una senza l’anello piu interno.

In questa sezione c’e un totale di 6400 moduli, corrispondenti a 30208 APV-25 (tabella

2.4). Quindi tutto il complesso del tracker risulta infine composto da oltre 15000 moduli

e 72000 APV-25.

2.2.3 I moduli di rivelatori

Come gia accennato, i sensori saranno costruiti su wafer di silicio da 6”, con orienta-

mento del reticolo 〈100〉, attraverso l’impianto di strip di tipo p+ su un substrato di tipo

n. Tutte le strip sono connesse (vedi figura 2.10) ad un unico anello di polarizzazione (bias

ring) che circonda il modulo, attraverso resistenze in polisilicio. La struttura e completata

da un ulteriore anello (detto guard ring), utilizzato per ridurre l’afflusso di correnti che si

generano presso i bordi del rivelatore alla zona degli impianti (regione attiva).

I sensori da 320 µm di spessore avranno una resistivita di 1.5−3.0 kΩ cm, mentre per

quelli da 500 µm di spessore la resistivita sara compresa tra 3.5 e 7.5 kΩ cm.

L’uso di sensori di spessore maggiore permette di aumentare il segnale raccolto, e

27

Sensor characterization

• Sensors have been fully characterized in laboratoryby measuring:

– depletion voltage Vdepl

– leakage current Ibias

– bias resistances Rbias

– capacitances Cbulk, Cint, CAC

CAC

C

C

Cint intint

Al metallization

intint

bulk n

n implant+bias

Al

Ibias

SiO2Si N

3 4

C

C

bulk

C

Rbias

-+

V

p implant+

bulkC

CAC CACACC ACC

C

bulkC

ACC

int

AC

int

C CAC

int

bulkCint

CCAC

+

-

Guard ring Bias ring Bias

resistor

AC pads

DC pads

Alessandro Buffini - Firenze VCI 2001, February 19-23 5

Figura 2.10: Il bordo di un modulo di silicio con gli anelli di guardia (guard ring) e

di polarizzazione (bias ring). In questa figura le resistenze di polarizzazione sono poste

alternativamente alle due estremita del modulo.

quindi di realizzare strip di lunghezza maggiore. A questo vantaggio si aggiungono anche

una maggiore resistenza meccanica della struttura, oltre ad un piu semplice processo di

costruzione a livello industriale.

In particolare i sensori con le strip piu lunghe sono realizzati affiancando due cristalli

di silicio e collegando le loro strip in serie. Un prototipo dei moduli che equipaggeranno

il TOB e sul quale ho effettuato parte delle mie misure (vedi Capitolo 5) e mostrato in

figura 2.11. L’uso di moduli piu grandi permette inoltre di coprire una maggiore superficie

a parita di canali, e quindi in definitiva di ridurre sia il numero stesso di canali di lettura

necessari che la complessita (nonche il costo) dell’intero sistema.

Il tipo di silicio da usare va anche scelto tenendo conto delle condizioni di alto flusso

di radiazioni nelle quali si trovera ad operare per i 10 anni di durata dell’esperimento,

in particolare per quello che andra ad equipaggiare i moduli della parte piu interna. Gli

28

Figura 2.11: Fotografia di uno dei moduli del TOB che ho utilizzato nel mio lavoro di

tesi.

effetti del danneggiamento da radiazioni possono essere suddivisi in effetti di superficie ed

effetti di volume (bulk). Quest’ultimo e spiegato con lo spostamento degli atomi di silicio

dalla loro posizione reticolare, e dall’interazione di questi sia tra loro che con le impurita

presenti; il primo invece e dovuto principalmente all’accumulo di carica all’interno dello

strato di ossido che separa la zona impiantata dal metallo.

Gli effetti che questo danneggiamento comporta sono di vari tipi:

Aumento della corrente di polarizzazione (corrente di buio).

Diminuzione dell’efficienza nella raccolta di carica. Questo effetto, combinato con il

precedente, comporta sia una diminuzione del segnale che un aumento del rumore.

Variazione della concentrazione del drogaggio all’interno del cristallo. Inizialmente il

substrato e di tipo n; si passa poi ad una situazione nella quale il silicio si comporta

come se fosse intrinseco, per arrivare ad un drogaggio effettivo di tipo p (inversione

n-p), vedi figura 2.12. Questo si traduce inizialmente in una riduzione della tensione

di polarizzazione necessaria, fino all’inversione, ed in seguito al suo aumento.

29

inversione di tipo

tipo ptipo n

Figura 2.12: Andamento del drogaggio effettivo di un silicio sottoposto ad irraggiamento.

Per minimizzare questi effetti l’intero tracker sara mantenuto a 263 K (−10 oC) per

tutta la durata dell’esperimento.

2.3 I calorimetri

Il calorimetro elettromagnetico (ECAL) e quello adronico (HCAL) circondano il si-

stema tracciante. Interagendo con questi due sistemi, tutte le particelle ad eccezione di

muoni e neutrini producono sciami di particelle secondarie che vengono assorbite e che

lasciano segnali nelle zone sensibili degli strumenti: cio permette dunque di misurare la

loro energia.

In particolare il calorimetro elettromagnetico copre la regione di pseudorapidita |η| <

2.6, mentre quello adronico arriva fino a |η| = 3.0. Il tutto e completato da due ulteriori

calorimetri, posti a 11 m di distanza dal punto di interazione (Very Forward Calorimeter),

che permettono di coprire la regione 3 < |η| < 5. Questo consente una buona copertura

per le misure di energia mancante (cioe l’energia delle particelle che non vengono rivelate)

e per i jet di particelle ad angoli piccoli rispetto alla direzione del fascio.

Il calorimetro elettromagnetico (deputato all’identificazione di fotoni ed elettroni) e

30

composto da circa 80000 cristalli di tungstato di piombo (PbWO4) [11], caratterizzati da

una bassa lunghezza di radiazione1 (X0 = 9 mm) e da un raggio di Moliere2 di 2,2 cm. La

scelta di questo materiale permette di ridurre notevolmente le dimensioni dello strumento.

Inoltre questi cristalli danno una risposta temporale veloce (il tempo di decadimento

della scintillazione e di soli 10 ns) e sono resistenti alle radiazioni. Lo scarso numero di

fotoni emessi per unita di energia depositata (circa 50 fotoni / MeV) e compensato con

l’inserimento di sistemi di fotodiodi a valanga che amplificano il segnale.

Nel barrel i cristalli hanno una lunghezza radiale di 23 cm (corrispondente a ∼ 26X0)

ed un’area, sul lato rivolto verso il punto di interazione, di 20.5× 20.5 mm2; negli endcap

la lunghezza e di 22 cm (che corrisponde a ∼ 24X0) e l’area varia tra 18 × 20 mm2 e

27× 29 mm2. La risoluzione prevista per fotoni ed elettroni di 120 GeV e di

σE

E' 0.6 %. (2.3)

Il calorimetro adronico [14] e un calorimetro a campionamento, in cui le piastre di

rame che servono come assorbitori sono alternate con scintillatori plastici, che fungono

da rivelatori. Questi scintillatori sono segmentati con una granularita di ∆η × ∆ϕ ≈

0.09 × 0.09, per cui si potra ottenere una buona separazione tra jet vicini e una buona

risoluzione della massa invariante nel caso di coppie di jet. Nella formula, η e di nuovo

la pseudorapidita, mentre con ϕ si indica l’angolo sul piano ortogonale al fascio. Le

coordinate cilindriche (ϕ, la coordinata lungo il fascio z e la coordinata radiale r) sono

un sistema utilizzato spesso nella descrizione dei rivelatori di particelle.

1L’energia di un elettrone in funzione dello spazio percorso X, in un dato materiale, considerando solo

la perdita di energia per radiazione di frenamento (bremsstrahlung) e data da E0 e−X

X0 , dove il parametro

X0 e detto lunghezza di radiazione [12].2Il raggio di Moliere RM definisce lo sviluppo trasverso della cascata di particelle nel materiale attra-

versato. E legato alla lunghezza di radiazione dalla formula RM = X0Es

E , dove Es e una costante pari a

21,20 MeV [13].

31

La risoluzione prevista per adroni di energia E espressa in GeV e data da

σE

E' 70 %√

E⊕ 5 % (2.4)

dove con il simbolo ⊕ si indica la somma in quadratura dei due termini.

2.4 Il rivelatore per muoni

E progettato per identificare i muoni e misurarne l’impulso, ed e anche fondamentale

per fornire il trigger dell’esperimento. Consiste di quattro stazioni di misura che coprono

sia la regione degli endcap che quella del barrel, intervallate dalle piastre di ferro di ritorno

del magnete, che svolgono anche l’ulteriore funzione di assorbitori [15].

La precisione richiesta per la determinazione della posizione di passaggio dei muoni e

garantita dall’utilizzo di rivelatori di vario tipo nelle varie zone del rivelatore.

Nel barrel, nel quale si ha un basso flusso di particelle (< 10 Hz/cm2) e un campo

magnetico radiale trascurabile, sara installato un sistema di tubi a deriva (Drift Tubes,

DT). Ogni stazione dello spettrometro nella regione centrale e composta da tre gruppi

di quattro strati di camere a deriva in alluminio, due dei quali disposti parallelamente al

fascio (per la misura di r e ϕ) e uno ortogonalmente (per la misura di z).

Negli endcap invece i rivelatori saranno costituiti da camere a strip catodiche (Ca-

thode Strip Chambers, CSC). Questo perche in quella regione e previsto un alto flusso di

particelle e un campo magnetico poco uniforme. Ogni camera contiene sei strati, con le

strip catodiche orientate in direzione radiale per la misura di ϕ. La risoluzione temporale

di questo strumento e abbastanza elevata (∼ 4 ns) e consente dunque di riconoscere le

tracce di muoni provenienti da incroci differenti dei fasci.

Il rivelatore e completato dalla presenza, in tutte e due le regioni, di un sistema di

camere a piastre resistive (Resistive Plate Chambers, RPC), che viene utilizzato come

32

trigger, in quanto, a scapito di una peggiore risoluzione spaziale, e dotato di una migliore

risoluzione temporale (1 ns).

Lo spessore del calorimetro e la presenza del ferro di ritorno del magnete supercon-

duttore garantiscono che soltanto i muoni (che perdono energia quasi esclusivamente per

ionizzazione) possano raggiungere tutte le stazioni di misura dello strumento.

2.5 La fisica a CMS

2.5.1 Sezione d’urto e luminosita

Figura 2.13: Sezione d’urto (scala di sinistra) e numero di eventi al secondo (scala di

destra) alla luminosita prevista di LHC, 1034 cm−2s−1, per i principali processi fisici.

In figura 2.13 sono mostrate le sezioni d’urto per i principali processi prodotti da

33

(a) (b)

Figura 2.14: (a) Molteplicita di particelle in funzione della pseudorapidita; (b)

Distribuzione delle particelle in funzione dell’impulso trasverso.

collisioni protone–protone in funzione dell’energia del centro di massa. E mostrato inoltre

il numero di interazioni al secondo previste alla luminosita di LHC.

La sezione d’urto totale e estrapolata dai risultati ottenuti dagli esperimenti precedenti

ad energie piu basse (CDF, UA1 e altri). Quello che si ottiene e una sezione d’urto totale

di circa 100 mb, di cui 70 mb sono dovuti a processi inelastici. Gli eventi di minimum

bias, alla luminosita di LHC, costituiscono un fondo caratterizzato da un’alta molteplicita

di tracce e con basso impulso trasverso.

Un esempio di simulazione delle distribuzioni che caratterizzano questo tipo di eventi

e mostrato in figura 2.14: in particolare in figura 2.14 (a) e riportato il numero di par-

ticelle cariche prodotte in funzione della pseudorapidita; in figura 2.14 (b) e riportata la

distribuzione dell’impulso trasverso delle suddette particelle. Si puo notare come, nella

regione del rivelatore |η| < 5 il numero di particelle e approssimativamente costante in

34

(a) (b)

(c) (d)

Figura 2.15: Diagrammi raffiguranti alcuni meccanismi di produzione di bosoni di Higgs

H0: (a) fusione tra due gluoni; (b) fusione tra due W± o due Z0; (c) fusione tra t e t; (d)

bremsstrahlung di W± o Z0;

funzione di η. Oltre alle tracce cariche saranno inoltre prodotti circa 1000 fotoni (con

energia maggiore di 10 keV) per collisione nella regione |η| < 2.5.

2.5.2 Il bosone di Higgs

Il principale obiettivo di studio del progetto LHC ed in particolare del rivelatore CMS

e la ricerca del bosone di Higgs, sia nell’ambito del Modello Standard sia in quello delle

sue estensioni Supersimmetriche [16].

Il Modello Standard (SM, Standard Model) delle particelle elementari ha unificato

35

l’interazione elettromagnetica (che ha come bosone vettore il fotone) e l’interazione debole

(che ha come vettori i 3 bosoni W+, W−e Z0). Questi 4 bosoni sono pero molto diversi

tra loro: il fotone e privo di massa, mentre gli altri tre sono pesanti: la massa dei W± e

di 80.419± 0.056 GeV e quella della Z0 e 91.1882± 0.0022 GeV.

Nella struttura del Modello Standard le particelle acquistano massa a causa della loro

interazione con un ulteriore campo: il campo di Higgs. Questo implica l’esistenza di una

nuova particella, indicata con H0, chiamata appunto bosone di Higgs.

La teoria non e in grado di calcolare la massa di questa particella, ma e in grado di

fornirci i suoi tassi di produzione e i modi di decadimento in funzione della sua possibile

massa.

I meccanismi piu importanti attraverso i quali dovrebbe essere prodotto il bosone di

Higgs a LHC sono mostrati in figura 2.15: fusione tra due gluoni (figura 2.15 (a)), fusione

tra due W± oppure due Z0 (figura 2.15 (b)), fusione tra due quark t e t (figura 2.15 (c))

e infine bremsstrahlung di W± e Z0 (figura 2.15 (d)) [17].

I dati raccolti in precedenza da LEP hanno permesso di porre un limite inferiore di

114 GeV per la massa di un bosone di Higgs standard [18], al 95% di livello di confidenza.

CMS e ottimizzato per studiare la regione di massa che parte da tale limite e arriva fino ad

1 TeV, limite imposto da argomenti di autoconsistenza della teoria del Modello Standard

[19].

Varie misure dei parametri elettrodeboli (da dati raccolti a LEP e SLD), la misura

della massa del quark top effettuata a CDF e D0 (Fermilab, Chicago) e della massa del

W± sia a LEP che al Fermilab, hanno permesso di estrapolare i possibili valori della massa

del bosone di Higgs (figura 2.16). Come si vede, i valori piu probabili sono compresi tra

100 GeV e 400 GeV: questa regione di massa rientra piu che ampiamente nelle possibilita

del rivelatore CMS.

A seconda dell’intervallo di massa, sono diverse le tipologie di decadimento che risul-

tano favorite.

36

Figura 2.16: Le stime piu recenti per la massa del bosone di Higgs, basate sui risultati

di LEP e CDF [20]. La regione grigia di sinistra indica l’intervallo di massa escluso dalla

ricerca diretta a LEP. ∆χ2 = χ2 − χ2min. Dunque ∆χ2 = 4 indica un livello di confidenza

del 95%.

Figura 2.17: Il segnale prodotto dal decadimento H0 → γ γ.

37

Figura 2.18: Simulazione di un evento H0 → 4µ±.

Tra 80 GeV e 140 GeV l’unica segnatura praticamente distinguibile dal fondo e il

decadimento del bosone di Higgs in due fotoni (H0 → γγ), grazie alla alta risoluzione del

calorimetro elettromagnetico di CMS (figura 2.17). Purtroppo questo decadimento ha un

branching ratio molto basso (BR ∼ 10−3). Un altro canale interessante potrebbe essere

quello del decadimento H0 → b b, caratterizzato pero, nonostante l’elevato branching ratio

(80%), anche da un numero di eventi di fondo altrettanto elevato.

Se il bosone di Higgs ha una massa compresa tra 140 e 180 GeV, due canali di interesse

saranno3:

H0 → Z0 Z0∗

H0 → W± W∓∗. (2.5)

I branching ratio di questi decadimenti sono estremamente bassi a causa della presenza

3Z0∗ e W∓∗ stanno ad indicare particelle virtuali

38

Figura 2.19: Spettro di massa invariante per 4`±. E evidenziato il contributo dato dal

decadimento H0 → Z0 Z0∗ → 4`±.

di particelle virtuali, ma crescono avvicinandosi all’energia di soglia per la produzione di

coppie W±W∓ o Z0 Z0 , rispettivamente di 160 GeV e 180 GeV.

Tra i 140 GeV e i 600 GeV la segnatura piu riconoscibile rimane sicuramente quella

prodotta da 4 leptoni che provengono ad esempio dal decadimento del bosone di Higgs

in due Z0 e dal loro successivo decadimento (figura 2.18). In questo tipo di eventi e

fondamentale la capacita di ricostruzione delle tracce del tracciatore e delle camere a

muoni (figura 2.19).

Sopra i 600 GeV di massa il canale preferenziale diventa quello in due leptoni e due

jet adronici: in figura 2.20 e mostrato il segnale rivelabile dopo un solo anno di presa dati

per un bosone di Higgs di massa intorno ad 800 GeV, con L = 105 pb−1.

39

Figura 2.20: Spettro di massa invariante ``jj. E evidenziato il contributo dato dal

decadimento H0 → Z0 Z0 → ` ` j j.

2.5.3 La fisica dei B

Un altro importante obiettivo della ricerca a CMS e lo studio della fisica dei quark

bottom, con particolare attenzione alla violazione della simmetria CP. Questa violazione

fu scoperta nel 1964 dall’analisi dei decadimenti dei K0, mesoni che contengono il quark

strano s.

Per l’analisi di questo fenomeno e necessaria un’alta statistica di eventi esclusivi carat-

terizzati da bassi branching ratio (10−4÷10−7), ad esempio B0 → J/ψ K0s . Risulta dunque

essenziale per questo tipo di misure l’alta sezione d’urto per la produzione di coppie bb

(vedi figura 2.13) disponibile ad LHC. In particolare ci si aspettano circa 1012÷1013 coppie

all’anno. Il numero di eventi acquisibili e dunque limitato esclusivamente dalla possibi-

lita di selezionare online tali eventi, utilizzando un trigger opportuno, e dalla velocita di

scrittura dei dati su disco.

Lo studio della violazione di CP si puo effettuare ad LHC tramite la misura dell’asim-

40

metria del decadimento dei mesoni B0 e B0 in autostati di CP:

A =Γ(B0 → f)− Γ(B0 → f)

Γ(B0 → f) + Γ(B0 → f)∝ sin 2ϕ, (2.6)

dove con ϕ si indica uno degli angoli del triangolo di unitarieta della matrice Cabibbo-

Kobayashi-Maskawa (CKM) e frappresenta il particolare stato finale oggetto della misura.

Allo stato attuale delle conoscenze i valori attesi sono [21]

sin 2α ' 0.7,

sin 2β ' 0.5, (2.7)

sin 2γ ' 0.9.

L’unico di questi parametri che fino ad oggi e stato effettivamente misurato e

sin 2β = 0.59± 0.15 (stat) ± 0.15 (sys), (2.8)

risultato ottenuto dagli esperimenti Belle e BaBar [22].

Nell’esperimento CMS, grazie al suo rivelatore tracciante, si prevede di studiare effi-

cacemente i decadimenti

B0 → J/ψK0s ,

B0 → π+ π−. (2.9)

Dal primo si puo ricavare il valore di sin 2β e dal secondo, dopo opportune correzioni,

quello di sin 2α [23].

In figura 2.21 e riportato un possibile evento: dalla ricostruzione della catena completa

del primo decadimento (indicato in figura 2.21 con 1) si ottengono la massa invariante

del B0 (B0) e le informazioni per ricostruire completamente lo stato finale f dell’eq. 2.6,

41

Figura 2.21: Rappresentazione di una interazione che produce una coppia bb.

Figura 2.22: Simulazione di un evento p p → bb → µ+ µ− π+ π− µ− j.

mentre il muone di identificazione (detto di tag) proveniente dal secondo decadimento

(indicato dal 2 in figura 2.21) permette di stabilire se a decadere sia stato un B0 o un B0,

42

Figura 2.23: Spettro di massa invariante per µ+ µ− π+ π−.

perche esso mantiene una correlazione di carica con il quark genitore. In figura 2.22 viene

mostrato un evento simulato visto dal rivelatore di CMS con i 3 muoni identificati (uno

di tag, gli altri provenienti dal decadimento del B0).

Infine in figura 2.23 viene mostrata la risoluzione per la massa invariante ottenibile

dal rivelatore CMS, su un campione di eventi simulati.

Dallo studio di questo canale ci si aspetta di ottenere una sensibilita di 0.05 sul valore

di sin 2β con 104 pb−1 di luminosita integrata. Valori analoghi di sensibilita sono attesi

anche per l’altro canale da cui si puo misurare sin 2α.

2.5.4 La supersimmetria

Il Modello Standard e stato fino ad oggi confermato da tutti i risultati sperimentali.

Tuttavia, rimangono ancora alcuni problemi irrisolti. Tra questi in particolare c’e il

problema della “gerarchia”. Se il Modello Standard e valido fino alla scala di Planck, 1019

GeV, ovvero se non c’e nuova fisica, affinche la massa del bosone di Higgs sia inferiore

a 1 TeV, ci devono essere delle cancellazioni “accidentali”, cioe non spiegate da nessuna

43

simmetria, nelle correzioni radiative alla massa dell’Higgs; correzioni radiative che prese

individualmente sono molto maggiori della massa stessa.

Un modo elegante di risolvere questo problema e attraverso la teoria della supersim-

metria, o SUSY (SUper SYmmetry). Questa postula l’esistenza di una relazione tra le

particelle che costituiscono la materia (fermioni, a spin semi-intero) e i portatori delle

interazioni (bosoni, a spin intero): a ciascun fermione viene associato un partner super-

simmetrico di spin intero, mentre a ciascun bosone e associato un partner supersimmetrico

a spin semi-intero.

Un’altra conseguenza e che anche il settore di Higgs viene esteso, in quanto sono

necessari almeno 5 bosoni di Higgs, dei quali due sono carichi e tre neutri nel cosiddetto

Modello Super Simmetrico Minimale (MSSM) [24], perche tutti i fermioni acquistino

massa non nulla.

Malgrado questa teoria raddoppi il numero di particelle esistenti, possiede sicuramente

diversi vantaggi: assumendo l’esistenza di partner supersimmetrici, le forze Forte, Debole

ed Elettromagnetica si unificano a energie di circa 1016 GeV (GUT, Grand Unification

Theory); fornisce una spiegazione naturale al fatto che la massa dell’Higgs debba essere

inferiore ad 1 TeV; permette di spiegare la materia oscura dell’universo in termini della

particella supersimmetrica piu leggera (LSP, Lightest SUSY Particle), che deve essere

stabile e con carica nulla.

Il fatto che a tutt’oggi non sia stata osservata nessuna “s-particella” (particella su-

persimmetrica), implica che la SUSY sia una simmetria “rotta”, cioe che le masse delle

particelle siano diverse da quelle dei loro partner supersimmetrici.

I calcoli effettuati col MSSM fissano dei limiti superiori per le masse degli Higgs super-

simmetrici. In particolare risulta che il bosone di Higgs piu leggero dovrebbe avere una

massa piuttosto piccola (inferiore ai 150 GeV), minore di quella prevista per il bosone di

Higgs postulato dal Modello Standard. L’attenzione di CMS si potra dunque concentrare

sulla ricerca dei 5 bosoni di Higgs e dei partner supersimmetrici degli Higgs stessi e dei

44

bosoni W± Z0 e γ, detti chargini o neutralini a seconda che siano carichi o neutri.

Un altro campo di indagine di CMS sara la ricerca di “s-leptoni”, ad esempio si possono

ricercare interazioni di questo tipo:

p p→ l+L l−L +X → l+ l− χ0

1 χ01 +X (2.10)

dove con lL si indica il leptone supersimmetrico e con il neutralino χ01 la LSP. Queste

interazioni sono caratterizzate dal fatto che i due leptoni sono della stessa famiglia e che

l’energia mancante e superiore a 100 GeV.

45

46

Capitolo 3

Il sistema di acquisizione

Durante la prima parte del mio lavoro di tesi ho studiato il funzionamento dell’elet-

tronica di front end del tracker di CMS e del sistema di acquisizione dei dati, ponendo

particolare attenzione sul chip APV-25. Questo circuito e stato progettato per funzionare

da interfaccia tra i rivelatori di silicio e il sistema di acquisizione, trasformando il segnale

della carica raccolta dal silicio stesso in un segnale utilizzabile per le analisi successive.

Affinche il sistema operi in condizioni ottimali e necessario studiare approfonditamente

il comportamento di questo chip. Un primo passo fondamentale e la comprensione del suo

funzionamento in condizioni di laboratorio, cioe a temperatura ambiente, con un basso

flusso di particelle, mantenendo il sistema in un ambiente non radioattivo. Si tratta poi

di estendere questo studio alle condizioni ben piu critiche nelle quali il circuito si trovera

a funzionare all’interno dell’esperimento: elevato flusso di particelle, bassa temperatura

e ambiente fortemente radioattivo. In particolare il mio studio in questa fase si e rivolto

verso l’analisi del comportamento del circuito in condizioni di alto flusso di particelle.

A questo scopo, ho preparato una stazione di test per raccogliere i dati prodotti dal

chip e per gestirne il funzionamento. Per questo ho scritto una serie di programmi,

utilizzando il linguaggio C++, per gestire l’APV-25 e tutta la stazione nel suo complesso,

con una particolare attenzione per le operazioni di acquisizione dei dati dei test.

47

Controller I2C Tricard APV−25

ProcessoreRIO

ADC Sequencer impulsatore

Bus VME

PCIbridge

ClockTriggerCalibrazione e reset

Dati

I2C I2C e dati

ClockTrigger

Figura 3.1: Schema a blocchi dei componenti della stazione di test e dei loro collegamenti.

3.1 La catena di acquisizione

Per poter studiare il comportamento del circuito in laboratorio e necessario inserirlo

in una catena di acquisizione dati, cioe in un sistema di controllo e gestione dell’APV-25.

Questa catena e divisa in due parti, un livello hardware ed un livello software.

Dal punto di vista dell’hardware e necessaria una scheda di interfaccia (TRICard,

Trigger Readout Interface Card) tra la catena e l’ibrido sul quale e montato il chip, in

modo da far transitare sia le informazioni in ingresso (impostazione dei registri del circuito,

trigger, clock), sia quelle in uscita. Inoltre, c’e bisogno di una scheda (sequencer, Sezione

3.3) che produca gli adeguati segnali di trigger e clock, opportunamente programmabile,

per poter venire incontro alle varie esigenze di raccolta dati e per fornire una frequenza

di funzionamento pari a quella che si avra ad LHC.

Infine, i dati analogici provenienti dall’APV-25 devono essere digitalizzati: questo av-

viene attraverso un apposito convertitore analogico-digitale (ADC) a 10 bit, con frequenza

di campionamento di 40 MHz. I bit effettivamente utilizzati per il campionamento sono

pero solamente 9, in quanto uno viene riservato per controlli interni. Poiche il segnale in

ingresso all’ADC varia tra -0.75 V e +0.75 V e poiche i canali a disposizione sono 512 (29),

48

si ottiene una risoluzione di circa 3 mV per canale ADC, risoluzione piu che sufficiente

per le analisi che ci interessano.

Lo schema del sistema di test che ho preparato, con le varie periferiche necessarie al

suo funzionamento, e riportato in figura 3.1.

Dal punto di vista software e necessario uno strumento che da una parte renda pos-

sibile impostare i valori programmabili dell’APV-25, dall’altra che dia la possibilita di

effettuare acquisizioni di eventi, salvandoli per la successiva analisi offline. L’alto flusso

di informazioni che si ottengono dalla lettura del sistema infatti non mi ha permesso di

effettuare alcuna analisi online, costringendomi appunto a rimandare la fase di studio dei

dati raccolti ad un secondo momento.

3.2 L’APV-25

Il circuito integrato che e stato scelto come interfaccia dell’elettronica di lettura per

l’esperimento CMS e il gia citato APV-25 (versione S0) (figura 3.2), progettato dal

Microelectronics Design Group del Rutherford Appleton Laboratory [25].

Ciascun chip ha in ingresso 128 canali, collegati alle strip del rivelatore di silicio.

A ciascun ingresso sono collegati un amplificatore ed un formatore (che si comportano

Figura 3.2: Schema delle componenti del circuito APV-25.

49

Figura 3.3: Fotografia ad alta risoluzione del layout del chip APV-25. Le dimensioni sono

di 8055 × 7100 µm2.

come un filtro CR−RC: una descrizione piu dettagliata viene data nella Sezione 3.2.11),

che producono un impulso della durata di 50 ns. Questi segnali, campionati a 40 MHz,

vengono scritti su una memoria analogica di 192 celle (la pipeline), che garantisce la

conservazione dei dati per circa 4.8 µs, dalla quale possono essere in seguito letti se nel

frattempo e arrivato un segnale di trigger dall’esterno [26].

Il valore contenuto nella cella richiesta puo essere restituito direttamente (operazione

in modalita picco) oppure processato attraverso un apposito circuito (APSP, Analog Pulse

Shape Processor, vedi Sezione 3.2.13) con un algoritmo di deconvoluzione (chiamato FIR,

Finite Impulse Response) che utilizza i valori di tre celle consecutive, opportunamente

pesati, per produrre un segnale della durata di 25 ns. Questo sara il modo standard di

funzionamento del circuito durante l’esperimento, perche permette di eliminare il pile-up

dei segnali dovuto all’alto flusso di particelle previsto.

Un circuito FIFO (First In First Out) di 32 celle viene utilizzato per registrare gli

50

Figura 3.4: Schema a blocchi del chip APV-25.

indirizzi della pipeline che sono stati richiesti.

Le dimensioni del chip sono di 8055 × 7100 µm2, ed e costruito con un processo di

metallizzazione a 3 livelli, utilizzando la tecnologia IBM con un passo di 0.25 µm. Rispetto

al primo modello del chip prodotto, denominato APV-6 [27] e realizzato in tecnologia

1.2 µm (Harris), la riduzione delle dimensioni dei transistor permette una riduzione della

potenza dissipata, grazie alla possibilita di operare a tensioni piu basse [28] e la possibilita

di integrare un maggior numero di celle di memoria: si e passati dalle 160 dell’APV-6 alle

192 dell’APV-25. Inoltre questa tecnologia e intrinsecamente piu resistente alle radiazioni

rispetto alla tecnologia Harris.

La parte digitale del circuito e alimentata con una tensione di 1.25 V, mentre la parte

analogica lavora ad una tensione di 2.5 V.

All’interno dell’APV-25 e inoltre presente un circuito di calibrazione: questo permette

di simulare l’arrivo sul silicio di una particella, iniettando una quantita di carica pro-

grammabile nell’amplificatore, permettendo di studiare la risposta del chip senza dover

51

effettivamente utilizzare un fascio di particelle.

Dall’esterno devono essere forniti un segnale di clock (CLOCK line) ed uno di trigger

(TRIG line). La TRIG line normalmente viene mantenuta a 0; l’invio di un segnale e

rappresentato da un 1.

3.2.1 Il protocollo I2C

Le informazioni di configurazione e gli stati di errore vengono gestiti attraverso una

interfaccia conforme allo standard I2C, ideato dalla Philips nel 1992 [29].

Questo standard prevede una linea di comunicazione a due vie, una che trasporta i dati

(SDA, serial data) ed una che trasporta il clock (SCL, serial clock). A ciascuna periferica

presente sulla linea deve essere assegnato un indirizzo hardware univoco, e tutte possono

operare sia in trasmissione che in ricezione.

Le periferiche presenti nel sistema sono inoltre ulteriormente suddivise in master e

slave: solamente le prime possono iniziare una trasmissione sul cavo, e si devono occupare

di generare i segnali di clock che permettono il passaggio delle informazioni.

Il bus I2C e di tipo multi-master : questo significa che possono essere presenti contem-

poraneamente piu periferiche che controllano la trasmissione. Per questo, e possibile che

due o piu periferiche tentino di iniziare contemporaneamente una trasmissione.

Per evitare i problemi che potrebbero insorgere in casi come questi e stata sviluppata

una procedura di “arbitrazione” che si basa su una connessione di tipo AND di tutte le

interfacce al bus. Se ad esempio due periferiche iniziano una trasmissione, una per scrivere

un 1 logico mentre l’altra uno 0 logico, quest’ultima, grazie al meccanismo di arbitrazione

perde la priorita e la sua trasmissione viene interrotta a favore dell’altra. Anche i segnali

di clock durante l’arbitrazione sono generati come AND logico dei vari segnali prodotti

dai master [30].

L’APV-25 e una periferica di tipo slave. E quindi il software esterno che la controlla

52

che si deve occupare di generare il segnale di clock necessario e che deve effettuare le

richieste.

Sia la SDA che la SCL sono linee bidirezionali, connesse a una tensione di riferimento

positiva. Quando il bus e libero, entrambe le linee sono nello stato logico 1. Poiche le

periferiche che possono essere connesse attraverso questo protocollo sono di diversi tipi

(CMOS, NMOS), gli stati logici 0 (BASSO) e 1 (ALTO) non sono specificati, ma sono

definiti dalla tensione positiva di riferimento.

Il protocollo prevede inoltre tre diverse velocita di trasmissione dei dati: 100 kbit/s

(Standard mode), 400 kbit/s (Fast mode) e 3.4 Mbit/s (High-speed mode). L’APV-25

utilizza lo Standard mode.

Ciascun byte trasmesso sulla linea SDA deve essere lungo 8 bit. Il numero di byte che

puo essere inviato in una singola trasmissione invece e arbitrario.

3.2.2 I registri dell’APV-25

L’APV-25 e indirizzato utilizzando un registro di 7 bit, dove i 2 bit piu significativi

sono fissati rispettivamente a 0 e a 1. Per definire gli altri 5 bit sono presenti delle

piazzole sul circuito (address pads): ciascuna puo rappresentare uno 0 se e connessa a

massa oppure un 1 se non lo e. Inoltre, l’indirizzo 11111 e riservato come indirizzo globale

al quale rispondono tutti gli APV che ricevono la trasmissione (indirizzo di broadcast).

Per prima cosa, per poter trasferire qualunque dato sull’APV-25, e necessario pro-

grammare un registro di comando. Con questo si specifica a quali altri registri si vuole

accedere e la direzione del trasferimento dei dati: se il bit meno significativo (bit di lettu-

ra) e uno 0 si richiede la scrittura di un valore sul registro, se e un 1 la lettura del valore

contenuto nel registro specificato.

Operazione di scrittura su APV-25. I dati sono scritti sul chip utilizzando una

trasmissione sul bus I2C composta da 3 pacchetti di dati:

53

– indirizzo del chip, bit di lettura basso;

– comando, bit di lettura basso;

– valore da impostare nel registro.

Operazione di lettura da APV-25. Per prima cosa si deve scrivere il registro di

comando. Quindi l’operazione di lettura e composta di due trasmissioni, secondo il

protocollo I2C: nella prima viene scritto il registro di comando:

– indirizzo del chip, bit di lettura basso;

– comando, bit di lettura alto.

Soltanto adesso vengono effettivamente letti i dati:

– indirizzo del chip, bit di lettura alto;

– 8 bit col valore contenuto nel registro.

Quando si richiede il valore di un registro utilizzando l’indirizzo di broadcast, quello

che viene trasmesso e l’AND logico del valore restituito da tutti i chip.

3.2.3 Il registro di comando

Nella tabella 3.1 sono riportati i codici di 8 bit del registro di comando. L’ultimo

bit indica la direzione della trasmissione: per la lettura si pone X = 1, per la scrittura

X = 0. Chiaramente non c’e modo di impostare il bit di errore dall’esterno, ma e possibile

soltanto leggerlo.

3.2.4 Il registro di errore

Del byte corrispondente al registro di errore si utilizzano in realta soltanto 2 bit (vedi

tabella 3.2).

54

Registro Codice del comando Funzione

IPRE 001 0000 X Corrente del FET di ingresso del preamplificatore

IPCASC 001 0001 X Corrente del cascode

IPSF 001 0010 X Corrente del follower del preamplificatore

ISHA 001 0011 X Corrente del FET di ingresso del formatore

ISSF 001 0100 X Corrente del follower del formatore

IPSP 001 0101 X Corrente dell’APSP

IMUXIN 001 0110 X Corrente del multiplexer

ISPARE 001 0111 X Non utilizzato

ICAL 001 1000 X Corrente della calibrazione

VFP 001 1001 X Tensione di feedback del preamplificatore

VFS 001 1010 X Tensione di feedback del formarore

VPSP 001 1011 X Livello di tensione dell’APSP

CDRV 001 1100 X Maschera dell’output di calibrazione

CSEL 001 1101 X Selezione del ritardo di calibrazione

MODE 000 0001 X Modalita di operazione del chip

LATENCY 000 0010 X Ritardo tra puntatore di lettura

e puntatore di scrittura

MUXGAIN 000 0011 X Guadagno del multiplexer

ERROR 000 0000 1 Errore

Tabella 3.1: Definizione dei codici di comando I2C.

Errore di FIFO: si verifica quando il numero degli indirizzi della pipeline che devono

essere letti arriva a 32. Nel caso si stia operando in modalita deconvoluzione, per

ogni trigger vengono memorizzati 3 indirizzi, quindi il limite di trigger memorizzabili

senza generare un errore e 10, mentre in modalita picco si utilizza una sola cella per

trigger, potendo memorizzare 32 indirizzi.

errore di latenza: la differenza di posizione tra la cella sulla quale si scrive e quella

sulla quale si legge deve sempre essere uguale al valore programmato sul registro

di latenza. Questa differenza viene calcolata tutte le volte che uno di questi due

55

puntatori arriva alla fine della pipeline, quindi almeno una volta ogni 192 colpi di

clock. Se i due valori non coincidono viene segnalato un errore.

Numero del bit Funzione Valore = 0 Valore = 1

1 Errore di FIFO OK Overflow

0 Errore di latenza OK Errore

Tabella 3.2: Definizione del registro di errore.

Entrambi questi errori vengono segnalati portando a 1 il bit corrispondente; il livello

elettrico dello stato 1 e piu basso di quello dello stato 0, in modo da poter leggere con-

temporaneamente un gruppo di APV-25: infatti se tutti i chip che si stanno leggendo

funzionano correttamente, sull’AND dell’I2C viene trasmesso uno 0. Nel caso che anche

solo uno si trovi nella condizione di errore l’AND restituisce invece 1.

Per ripulire il registro di errore dell’APV-25 e necessario inviare un reset : questo puo

essere sia di tipo hardware che software.

Ovviamente, quando si imposta un nuovo valore nel registro di latenza si ottiene

immediatamente un errore. Per reinizializzare i puntatori di lettura e di scrittura si deve

inviare un comando di reset software all’APV. Questo puo essere fatto inviando una

speciale sequenza di bit sulla linea di trigger.

3.2.5 Il registro di modalita

Anche al modo di funzionamento e associato un byte, del quale pero si utilizzano solo

6 bit (vedi tabella 3.3).

Polarizzazione analogica. Il controllo della polarizzazione analogica permette di

disabilitare la polarizzazione mentre vengono programmati i registri. Quando viene

riabilitata la polarizzazione i valori caricati diventano attivi. All’avvio o dopo un

reset hardware la polarizzazione e spenta.

56

Modo di trigger. Serve per impostare il numero di celle della pipeline che vengono

riservate per ogni impulso di trigger. In modalita “1 campionamento” ne viene

riservata una, mentre in modalita “3 campionamenti” ne vengono memorizzate 3

per evento.

Inibizione della calibrazione. Con questo registro si decide se porre il chip in moda-

lita di calibrazione oppure no. All’avvio la calibrazione e spenta: questo e anche il

modo normale di funzionamento all’interno dell’esperimento.

Modo di lettura. Con questo registro si puo decidere se leggere gli eventi diretta-

mente dalla pipeline (modalita picco) oppure se questi ultimi devono essere filtrati

attraverso l’algoritmo di deconvoluzione.

Numero del bit Funzione Valore = 0 Valore = 1

5 Polarita del preamplificatore Non invertente Invertente

4 Frequenza di campionamento 20 MHz 40 MHz

3 Modo di lettura Deconvoluzione Picco

2 Inibizione della calibrazione Spento Acceso

1 Modo di trigger 3 campionamenti 1 campionamento

0 Polarizzazione analogica Spento Acceso

Tabella 3.3: Definizione del registro di modalita.

Il modo di trigger e il modo di lettura possono essere combinati per dare le tre

modalita di funzionamento dell’APV (tabella 3.4):

– Modo picco. Questa modalita e usata quando il flusso di particelle e ab-

bastanza basso, in quanto permette di aumentare sensibilmente il rapporto

segnale/rumore.

– Modo deconvoluzione. E la modalita di funzionamento standard del circuito.

Riducendo la durata del segnale da 50 ns a 25 ns si riducono gli effetti di pile-

57

up quando il flusso di particelle in ingresso e troppo alto. Rispetto al modo

precedente pero il rapporto segnale/rumore e peggiore.

– Modo multiplo. Permette di operare in modalita picco, cioe senza passare i

dati attraverso l’algoritmo di deconvoluzione, ma restituisce in uscita il valore

di 3 celle di memoria consecutive.

Modo dell’APV-25 Modo di trigger Modo di lettura

Deconvoluzione 3 campionamenti Deconvoluzione

Picco 1 campionamento Picco

Multiplo 3 campionamenti Picco

Tabella 3.4: Definizione dei modi di lettura.

Frequenza di campionamento. Puo essere impostata a 2 valori: 20 MHz oppure 40

MHz.

Polarita del preamplificatore. Un invertitore di guadagno unitario e posto subito

prima dell’amplificatore e del formatore: la posizione di questo bit permette di

decidere se utilizzarlo oppure no. In questo modo si puo far sı che il formatore veda

sempre un segnale con la stessa polarita.

3.2.6 Il registro di latenza

E in questo registro che viene impostata la distanza tra il puntatore di scrittura e

quello di lettura delle celle di memoria della pipeline. All’avvio questo registro si imposta

per default al valore binario 10000100, che corrisponde a 132 celle di distanza tra i due

puntatori. Il valore massimo che puo essere impostato, essendo in totale 192 le celle, e

191.

Non e consigliabile pero impostare in questo registro valori piu alti di 160, soprattutto

58

quando si lavora a frequenze di trigger abbastanza elevate, perche questo provoca una

brusca perdita di efficienza della pipeline [25].

3.2.7 I registri per il controllo della calibrazione

Quando si opera in modo calibrazione, cioe usando il generatore di impulsi interno

dell’APV per simulare il passaggio di una particella nel rivelatore, si utilizzano 3 registri

per definire le caratteristiche dell’impulso stesso: ICAL, CDRV e CSEL.

ICAL

In questo registro si programma la quantita di carica da iniettare nello stadio di

ingresso del chip. Questa puo essere programmata a passi di 625 elettroni, e puo variare tra

0 e 160000 e−. In particolare, nelle situazioni comuni i test vengono effettuati simulando

il passaggio di particelle al minimo di ionizzazione (Minumum Ionization Particle, MIP):

una MIP corrisponde all’iniezione di una carica di circa 25000 e−, in un modulo di silicio

di 300 µm di spessore.

CDRV

Questo registro serve per impostare su quali canali, dei 128 presenti sul chip, si vuole

iniettare la carica. La scelta puo essere effettuata a gruppi di 16: il primo gruppo (corri-

spondente al bit meno significativo del registro) comprende i canali 0, 8, 16,...; il secondo

i canali 1, 9, 17, e cosı via per tutti gli 8 gruppi disponibili.

Per abilitare un gruppo specifico, il bit corrispondente del registro deve essere impo-

stato a 0: quindi ad esempio il valore 11111110 abilitera all’impulso soltanto il primo

gruppo di canali.

59

Figura 3.5: Sequenza che mostra il comportamento dell’APV-25 in caso di ricezione di un

segnale di reset.

CSEL

Il registro CSEL seleziona quanti elementi di ritardo, ciascuno della durata di 3.125

ns, si vogliono connettere in serie tra il generatore dell’impulso di calibrazione e l’ingresso

dello stadio di amplificazione del chip.

Impostare questo byte a 00000001 significa introdurre un solo ritardo di 3.125 ns,

impostarlo a 00000010 significa introdurne 2, cioe 6.25 ns e cosı via.

3.2.8 Il reset

L’impulso di reset, che cancella i puntatori di lettura e di scrittura e che li reinizializza

al valore di latenza programmato, e prodotto da una sequenza specifica di impulsi sulla

TRIG line (figura 3.5): devono essere inviati 2 impulsi di trigger, distanti tra loro un

colpo di clock. Questo corrisponde all’invio del numero binario 101.

L’APV-25 impiega 11 colpi di clock per inizializzare i puntatori della pipeline. Durante

questo periodo non si deve inviare nessun trigger al chip.

60

Figura 3.6: Il formato dei dati analogici che vengono trasmessi dall’APV-25 quando riceve

un segnale di trigger.

3.2.9 L’impulso di trigger e l’impulso di calibrazione

L’impulso di trigger richiede all’APV-25 di conservare i dati della memoria per la

lettura. E costituito da un impulso singolo sulla TRIG line. Il circuito impiega due colpi

di clock per elaborare l’impulso ricevuto prima di applicarlo alla pipeline.

L’impulso di calibrazione e costituito da un impulso sulla linea di trigger che dura due

colpi di clock. Si puo rappresentare con l’invio del numero binario 11.

3.2.10 Il formato dei dati in uscita

Il segnale di uscita dell’APV-25 e un segnale differenziale in corrente nel range ±4

mA. Quando non vengono trasmessi dei dati, l’uscita rimane nello stato logico 0, invian-

do impulsi di sincronizzazione (tick mark) ogni 70 colpi di clock (se il chip lavora alla

frequenza di 20 MHz) oppure ogni 35 (se lavora a 40 MHz), per permettere all’elettronica

di acquisizione di rimanere sincronizzata col chip.

Quando arriva il trigger di un evento, l’APV-25 attende fino al successivo invio del

tick mark e lo sostituisce con il frame di dati [31].

Questo segnale e composto di 4 parti (figura 3.6): lo header digitale, l’indirizzo digitale,

un bit di errore e i dati analogici (figura 3.7).

61

Lo header digitale

E composto da tre bit nello stato logico 1, cioe viene inviata la sequenza 111.

Indirizzo

Un numero di 8 bit che identifica da quale colonna delle celle di memoria e stato preso

il dato che sta per essere trasmesso. Questo serve sia per controllare la sincronizzazione

di diversi chip sia per poter scartare il segnale proveniente da locazioni di memoria non

funzionanti.

Bit di errore

Se non ci sono stati errori questo bit viene mantenuto allo stato logico 1. Se l’APV-25

ha rilevato un errore di qualunque tipo viene portato a 0. Il tipo di errore puo essere letto

attraverso l’I2C.

Dati analogici

128 campionamenti di dati, dove ad una particella al minimo di ionizzazione, come

definita in precedenza, e associata una corrente di 1 mA. Il livello della base puo essere

regolato attraverso il registro VPSP.

Ordine dei canali

Per come e costruito il multiplexer analogico, i dati dei 128 canali non escono consecu-

tivamente. In particolare, se si indica con n la posizione nella quale si ottiene un canale,

per ricavare la posizione del canale fisico si utilizza la formula

Numero del canale = 32× (nMOD 4) + 8× INT(n

4

)− 31× INT

( n16

)(3.1)

dove con n MOD 4 si indica il resto della divisione di n per 4, mentre con INT si indica

la parte intera dell’operazione.

62

Figura 3.7: Un frame di dati dell’APV-25 all’arrivo di un trigger, ripreso all’oscilloscopio.

Si notano i tick mark, lo header digitale e il frame con i dati analogici e un impulso di

calibrazione inviato ad un gruppo di 16 canali.

3.2.11 Il preamplificatore e lo shaper

La sezione d’ingresso di ciascun canale dell’APV-25 e costituita da un amplificatore di

carica in configurazione single-ended cascode [32] con una capacita di feedback Cfp = 150

fF (vedi figura 3.8 (a)). Il transistor di ingresso (PMOS) e polarizzato con una corrente

controllata dal registro IPRE. Il valore della tensione VFP determina la resistenza in

parallelo a Cfp [31]. Come risulta dalle analisi descritte in seguito (Capitolo 4), il suo

valore dovrebbe essere piu basso possibile per ridurre il pile-up degli eventi.

L’uscita dell’amplificatore e su uno switch, che permette di utilizzare l’APV-25 con

segnali di entrambe le polarita. Il guadagno del preamplificatore (compreso l’invertitore)

e di circa 20 mV/MIP.

La capacita in ingresso, dovuta soltanto a capacita parassite, puo essere stimata

inferiore al pF, e quindi nel seguito la trascurero.

63

Cfp

Cfs

(a) (b)

Figura 3.8: (a) Il circuito di preamplificazione, stadio di ingresso dell’APV-25; (b) Il

circuito di formazione del segnale.

Lo shaper, un filtro CR − RC connesso al preamplificatore tramite un condensatore

di disaccoppiamento con capacita di 1.4 pF, e composto da un amplificatore anch’esso in

configurazione single-ended cascode con capacita di feedback Cfs=150 fF. Il transistor in

ingresso e un PMOS polarizzato con una corrente controllabile col registro ISHA, mentre

il transistor PMOS in parallelo a Cfs (vedi figura 3.8 (b)) determina la resistenza che

regola la scarica del dispositivo tramite VFS.

Dunque si comprende come la forma del segnale possa essere modificata variando

opportunamente i registri che controllano le due tensioni VFS e VFP e le due correnti

IPRE e ISHA.

3.2.12 Valutazione del rumore

Il rumore prodotto dal circuito preamplificatore e formatore puo essere espresso in

“carica equivalente” (ENC, Equivalent Noise Charge). Questa corrisponde alla carica che

deve essere iniettata all’ingresso di un circuito ideale per ottenere in uscita un segnale

pari al valore quadratico medio delle fluttuazioni ottenute in uscita del circuito reale.

64

Quindi la “carica equivalente” corrisponde alla carica che produce un rapporto segna-

le/rumore pari ad 1.

In particolare il rumore dipende, oltre che dalla forma del segnale in uscita, anche dal

modo di lavoro del chip (picco o deconvoluzione): in modalita picco, il segnale in uscita

dal formatore ha la forma di un CR−RC con costante di tempo τ = 50 ns.

In termini della “carica equivalente” per il rumore “serie” si puo scrivere [33]

(ENC)2serie =

e2

8q2C2

tot

1

τe2n, (3.2)

e per quello “parallelo” si ha

(ENC)2parallelo =

e2

8q2τ i2n, (3.3)

dove: q e la carica dell’elettrone, e la costante di Eulero, Ctot e la capacita totale in

ingresso al circuito di preamplificazione e formazione. I termini e2n e i2n rappresentano lo

spettro di potenza del rumore, rispettivamente in serie e in parallelo. Per il primo risulta

e2n ' 4kBTRstrip, (3.4)

dove kB e la costante di Boltzmann, T la temperatura e Rstrip e la resistenza della strip.

Lo spettro di rumore “parallelo” ha due contributi,

i2n ' 2qIb + 4kBT/Rp : (3.5)

il primo e dovuto al rumore introdotto dalla corrente Ib di polarizzazione (rumore shot),

e il secondo alla resistenza di polarizzazione Rp (rumore Johnson, o termico).

Utilizzando queste espressioni si puo scrivere:

(ENC)serie = 24Ctot

√Rstrip

τ(3.6)

65

dove la capacita e espressa in pF, la resistenza in Ω e il tempo in ns; e

(ENC)parallelo = 108√Ibτ + 24

√τ

Rp

(3.7)

con τ di nuovo in ns, Ib in µA e Rp in MΩ.

Se in queste espressioni si sostituiscono dei valori tipici per le quantita presenti, si puo

ricavare una stima del rumore [34]:

Ib ' 1 µA,

Rp ' 1 MΩ, (3.8)

Rstrip ' 200 Ω.

Quindi risulta

ENC = a+ b Ctot (3.9)

con

a ' 660 e−,

b ' 67 e−/pF. (3.10)

Per un valore tipico della capacita, Ctot ' 16 pF, il risultato finale e

ENC ' 1700 e−. (3.11)

Se si considera di operare in modalita deconvoluzione invece che picco, i risultati

precedenti vengono modificati [35]: il rumore “serie” viene moltiplicato per un fattore

∼ 1.45 e quello parallelo per un fattore ∼ 0.45.

66

3.2.13 Il filtro APSP

Quando, per l’alta frequenza degli eventi, si vuole evitare il rischio di pile-up della

carica, si puo applicare al segnale, attraverso questo filtro, un algoritmo che permette di

ridurne la larghezza da 50 ns a 25 ns. E il cosiddetto algoritmo di deconvoluzione [28].

Figura 3.9: Schema del circuito utilizzato per applicare l’algoritmo di deconvoluzione.

Il principio di funzionamento di tale algoritmo si basa sul fatto che, essendo nota

a priori la forma del segnale del filtro CR − RC, e possibile ricompattare il segnale

utilizzando tre campioni consecutivi di dati opportunamente pesati [35]. I pesi utilizzati

nell’APV-25, sui cui valori non e possibile intervenire dall’esterno, sono:

67

w1 =2√e' 1.21,

w2 = −4

e' −1.47, (3.12)

w3 =2

e√e' 0.446.

Il filtro, il cui circuito e schematizzato in figura 3.9, e costituito da un amplificatore

di carica e da una rete di capacita, che vengono selezionate da una serie di interruttori

controllati tramite un segnale esterno.

3.3 Il sequencer

Per pilotare il chip APV-25 e necessaria una ulteriore scheda, detta sequencer, che si

occupa di inviare su due linee il segnale di clock a 40 MHz e il segnale di trigger.

Il cuore della scheda che ho progettato e una FPGA (Field Programmable Gate Ar-

ray) ALTERA MAX 7000 [36], la cui logica interna (basata su celle flip-flop) puo essere

programmata con un apposito software direttamente dal computer, attraverso un’inter-

faccia dedicata. Tramite questo dispositivo si possono generare tutti i tipi di segnale che

e possibile inviare attraverso la linea di trigger : il semplice segnale di trigger, il segnale

di calibrazione e il segnale di reset del chip.

Sulla scheda e inoltre presente un quarzo, che produce il segnale di clock a 40 MHz

utilizzato dalla MAX e un adattatore di livelli elettrici che trasforma il segnale TTL

(Transistor-Transistor Logic) nel segnale LVDS (Low Voltage Differential Signaling, che

lavora tra 0 V e 3.3 V) utilizzato dall’APV-25.

I corretti segnali sulla linea di trigger vengono prodotti a partire da un impulso esterno

(anch’esso TTL), e vengono sincronizzati dalla MAX col segnale di clock.

Su questa ho anche implementato una interfaccia seriale RS-232 che permette di con-

trollarlo dall’esterno, attraverso la porta seriale di un computer, scegliendo opportuna-

mente la sequenza da inviare ai chip. Inoltre, quando si opera con gli APV-25 in modalita

68

di calibrazione interna, si puo controllare (sempre via RS-232) il ritardo tra il segnale di

calibrazione e il trigger che avvia l’acquisizione dei dati, procedendo a passi di 25 ns.

Affinche il sistema funzioni correttamente, il valore di ritardo tra trigger e calibrazione

che si imposta sulla MAX, sommato a tutti i ritardi introdotti dai cavi utilizzati, deve

essere uguale al valore impostato nel registro di latenza dell’APV-25.

3.4 Il software di controllo

Al termine della fase di setup del sistema ho scritto un software che ne permettesse

l’utilizzo, capace di gestire le comunicazioni tra i chip APV-25, attraverso il bus I2C, e

il sequencer, attraverso un protocollo seriale, e di permettere l’acquisizione dei dati per

le analisi successive. Il risultato e stato un programma scritto in linguaggio C++ [37] di

oltre 2000 linee di codice.

Il sistema sul quale questo programma viene eseguito e un processore PowerPC che

equipaggia un controller VME RIO 8062: questo si occupa di interfacciarsi, attraverso

un bus PCI, agli ADC per la digitalizzazione dei dati e attraverso il bus VME alla scheda

optoelettronica per il controllo del bus I2C, come gia mostrato in figura 3.1.

Il sistema operativo utilizzato su questa macchina e il LynxOS 2.5, un sistema ope-

rativo real-time compatibile UNIX. Questo gli permette di sfruttare tutte le potenzialita

dell’ambiente UNIX (ambiente multitasking, gestione accurata della memoria, supporto di

file system di rete) unite con la possibilita di operare in real-time. In una applicazione co-

me quella alla quale ho lavorato questa caratteristica e assolutamente necessaria: infatti,

ad esempio, non e possibile per il processore sapere quando arrivera il trigger successivo

all’APV, ma e fondamentale che, quando questo arriva, il processore sia in grado di inter-

rompere il piu presto possibile quello che sta facendo (mettendolo in coda per completarlo

in seguito) per dedicarsi alla gestione dei dati analogici provenienti dall’APV.

All’avvio il programma inizializza il bus I2C, dopo di che interroga tutti i canali per

69

menu

handlermain helper

signals

seriale

daq

I2C APV

APV 25 APV 6

APV linked list

Figura 3.10: Schema delle classi che costituiscono il programma di acquisizione che ho

sviluppato.

scoprire se ci sono APV collegati e in questo caso per trovare quali sono i loro indirizzi

hardware e su quale dei 4 canali I2C disponibili nel nostro sistema si trovano. Il programma

e fatto in modo da riconoscere anche se i chip con i quali lavora sono APV-6 oppure APV-

25. Per compatibilita infatti e stato scritto anche per supportare eventuali test col vecchio

modello di APV.

A questo punto il sistema e pronto per operare. Vengono presentati tre menu per

selezionare quello con cui lavorare:

Menu I2C. Da qui e possibile accedere direttamente al bus I2C, inviando sequenze

di bit personalizzate, oppure e possibile inviare dei segnali di reset alla scheda che

gestisce il bus stesso, in caso di problemi o malfunzionamenti.

Menu APV. Dall’interno di questo menu si puo decidere su quali gruppi di APV

lavorare, nel caso che ne siano presenti piu di uno contemporaneamente, e si possono

impostare manualmente i valori dei vari registri.

Menu Sequencer. In questo menu avviene la configurazione del sequencer. In parti-

70

colare e possibile decidere quale valore di latenza deve essere impostato sulla MAX

attraverso la porta seriale alla quale e connessa.

Sempre da questo menu e possibile effettuare scansioni per vari valori di latenza:

ritardando a passi di 25 ns il segnale di trigger, si puo andare a leggere il valore

contenuto in celle della pipeline consecutive, ricostruendo in questo modo tutta la

forma del segnale prodotto dall’impulso di calibrazione, permettendo (vedi Capitolo

4) di studiare il comportamento dell’APV-25.

In particolare e possibile effettuare questa scansione con un set fissato di valori nei

registri del chip, oppure variando uno o piu valori contemporaneamente.

Durante le acquisizioni, il programma genera una registrazione delle operazioni effet-

tuate (log file), dove scrive, per ogni file di eventi che viene salvato su disco, i valori

utilizzati per i registri, il valore della latenza e il tipo di misura effettuata: sono possibili

due misurazioni diverse, una, detta “piedistallo”, dove vengono raccolti 1000 eventi senza

l’impulso di calibrazione attivato, per misurare l’altezza della baseline; l’altra, di “cali-

brazione”, dove viene attivato l’impulso di calibrazione su un gruppo di canali. In questo

caso per ogni misura vengono raccolti 2000 eventi.

In questo modo nella successiva fase di analisi e possibile associare ad ogni file la

configurazione nella quale sono stati presi i dati.

In figura 3.10 e riportato uno schema delle classi principali del programma che ho

scritto. La routine principale del programma e contenuta in main. A questa si colle-

gano tutte le altre classi disponibili. Le due classi menu e handler si occupano della

gestione dinamica dei menu di scelta proposti all’utente e della gestione di operazioni di

input/output.

Il sistema VME sul quale deve girare il programma utilizza il compilatore GNU gcc 2.7.

Questa e una versione precedente al rilascio definitivo delle specifiche dello standard C++

e pertanto non implementa tutte le potenzialita fornite da tale linguaggio. Per risolvere

71

questo problema ho scritto una apposita classe (helper) nella quale ho implementato alcuni

metodi standard del C++. In particolare alcune funzioni di gestione delle stringhe di testo

e un semplice sistema di gestione delle eccezioni.

Il gestore delle eccezioni permette di intercettare comportamenti anomali del pro-

gramma e di intervenire di conseguenza, risolvendo il problema, per poi tornare al flusso

normale del programma stesso.

Le classi rimanenti (APV, I2C e serial) si occupano della gestione rispettivamente del

chip APV, sia nella versione APV-25 che nella precedente (APV-6), del controllo del bus

I2C e infine della programmazione del sequencer a cui e collegata anche la classe daq che

e quella che effettivamente si occupa delle operazioni di raccolta dei dati.

72

Capitolo 4

L’analisi dei dati

In questa parte illustro le analisi che ho effettuato sul chip APV-25, il cui funziona-

mento ho descritto nel Capitolo 3. Dopo aver completato la costruzione di una stazione di

test ottimizzando la struttura dell’hardware a mia disposizione e preparando un adeguato

software di gestione, mi sono dedicato allo studio delle prestazioni del circuito sia a basso

che ad alto flusso di particelle, per simulare nel modo piu realistico possibile la situazione

nella quale il chip dovra operare all’interno dell’esperimento.

Questo studio ha permesso di comprendere e quindi ottimizzare i valori dei registri

sui quali si deve operare per ottenere il miglior rapporto segnale/rumore, mantenendo

una forma adeguata del segnale, e di conoscere inoltre i problemi che dovranno essere

affrontati durante l’effettivo uso del sistema.

4.1 Acquisizione dei dati

Le prime misure che ho effettuato sono servite per comprendere appieno il funziona-

mento del sistema e degli strumenti di analisi: in questa fase mi sono servito del pro-

gramma PAW [38], un sistema che mette a disposizione varie routine di calcolo, scritte

in Fortran, che semplificano notevolmente alcune operazioni, come ad esempio il fit di

funzioni.

73

Sia qui che nel seguito ho effettuato misure con l’APV-25 in modalita 20 MHz. Poiche

l’ADC campiona a 40 MHz, il valore del segnale su un canale del chip viene digitalizzato

2 volte consecutivamente. A questo punto, la prima cosa da fare e decidere come scegliere

il valore campionato da utilizzare in seguito per l’analisi; questa scelta puo venir fatta in

diversi modi:

primo campionamento

secondo campionamento

media (o media pesata) dei valori dei 2 campionamenti

modificando alcune righe nel programma di analisi.

Effettuando delle prove su segnali noti, ho rilevato che il risultato piu corretto si ottiene

utilizzando semplicemente il secondo campionamento ed ignorando il primo: ho quindi

deciso di utilizzare questo valore per tutto il seguito delle misure.

La differenza tra i due campionamenti, che in linea di principio dovrebbero essere

identici, e dovuta al fatto che i tempi di salita e di discesa dei segnali non sono nulli. Per

questo, spesso il primo campionamento viene effettuato quando ancora il segnale non ha

raggiunto il suo massimo, falsando cosı il valore acquisito.

Ogni acquisizione consiste di due fasi. Nella prima vengono raccolti 1000 eventi, senza

alcun impulso di calibrazione, che vengono utilizzati per il calcolo dei piedistalli. Nella

seconda vengono raccolti i dati della calibrazione, utilizzando 2000 eventi. Quindi i dati

vengono processati e i risultati, cioe i valori del segnale dopo la sottrazione del valore

del piedistallo e del rumore di modo comune1, vengono salvati nel formato comunemente

utilizzato da PAW, detto ntupla.

1Il common mode noise, CMN, e il rumore riferito ad un gruppo di canali che vengono influenzati in

modo coerente [39].

74

t (ns)

Out

put (

cana

li A

DC

)

-20

0

20

40

60

80

100

0 50 100 150 200 250 300

t (ns)

Out

put (

cana

li A

DC

)

-20

0

20

40

60

80

100

0 10 20 30 40 50 60 70 80

(a) (b)

Figura 4.1: (a) Forma del segnale in uscita in modalita picco con i valori iniziali per i

registri ISHA e VFS. La linea tratteggiata rappresenta la forma del segnale prodotto da

un filtro CR − RC con costante di tempo τ = 50 ns. (b) Forma del segnale in uscita in

modalita deconvoluzione con i valori iniziali per i registri ISHA e VFS.

4.2 Le prime analisi dei risultati

Come detto nella Sezione 3.2.11, i registri che permettono di modificare la forma

del segnale in uscita sono VFS, VFP, IPRE e ISHA. Dunque il passo successivo e stato

quello di comprendere come la variazione di questi registri influenzi la forma del segnale

in uscita. Per questo ho effettuato, utilizzando il circuito di calibrazione interno, una

serie di scansioni in latenza: cioe ho fissato i valori di alcuni registri ed ho effettuato

varie acquisizioni, variando di volta in volta il valore del registro di latenza e di CSEL.

L’aumento del primo si traduce nell’andare a leggere il valore del segnale nella cella di

memoria successiva della pipeline, mentre l’incremento di una unita del secondo significa

campionare il segnale nella stessa cella di memoria ma con un ritardo ulteriore di 3.25 ns.

In figura 4.1 (a) e figura 4.1 (b) sono riportate le forme del segnale ottenute, rispetti-

75

t (ns)

Out

put (

cana

li A

DC

)

-20

0

20

40

60

80

100

0 50 100 150 200 250 300

Figura 4.2: Forma del segnale in uscita in modalita picco con i valori che ho ottimizzato

per i registri VFS e ISHA. La linea tratteggiata rappresenta la forma del segnale prodotto

da un filtro CR−RC con costante di tempo τ = 50 ns.

vamente in modalita picco e modalita deconvoluzione, utilizzando tutti i valori di default

per i registri dell’APV-25.

I risultati che ho ottenuto mostrano come i due registri fondamentali che determinano

la forma del segnale sono ISHA e VFS. In particolare ho evidenziato come aumentando

il valore del registro VFS il segnale decresce piu lentamente, mentre diminuendo il valore

di ISHA il segnale sale piu rapidamente.

Intervenendo sugli altri due registri, VFP e IPRE, ho osservato che la loro influenza

sulla forma del segnale in uscita e molto minore dei registri precedenti. In particolare, la

variazione di IPRE non introduce modifiche particolari al segnale, per cui nel seguito ho

deciso di lasciare questo registro sempre impostato al valore iniziale (IPRE = 85); mentre

VFP influenza la forma del segnale a tempi abbastanza lunghi, circa 300 ns. Anche il

valore di questo registro diventa dunque importante in caso di alto flusso di particelle.

Ho anche notato che con i valori che il costruttore fornisce come default per il chip

76

t (ns)

Out

put (

cana

li A

DC

)

-20

0

20

40

60

80

100

0 10 20 30 40 50 60 70 80 90

Figura 4.3: Forma del segnale in uscita in modalita deconvoluzione con i valori ottimizzati

per i registri ISHA e VFS.

non si riesce ad eseguire un buon fit del segnale con la curva prevista per un circuito

CR−RC: un circuito di questo tipo dovrebbe produrre un segnale del tipo

f(t) = A× (t− t0)× e−t−t0

τ (4.1)

dove A rappresenta una costante di normalizzazione, t0 rappresenta uno spostamento della

curva rispetto allo 0 sull’asse orizzontale e τ rappresenta il tempo che il segnale impiega

a raggiungere il massimo.

Quindi ho ricercato dei valori che permettessero di ottenere un segnale di uscita quanto

piu simile alla forma prevista per un filtro CR − RC; dopo alcuni tentativi ho ottenuto

come migliori valori

ISHA = 20

VFS = 30. (4.2)

77

I risultati sono riportati in figura 4.2 per la modalita picco e in figura 4.3 per la modalita

deconvoluzione.

Per le misure ad alto flusso di particelle VFP e importante perche se la forma del

segnale in modalita picco non segue l’andamento previsto per un CR − RC, l’algoritmo

di deconvoluzione non puo operare correttamente (come si puo osservare in figura 4.1).

Dopo aver effettuato alcuni test, ho deciso di abbassare il valore di VFP da 67 a

VFP = 30, (4.3)

verificando di nuovo che la forma dell’impulso in modalita picco non venisse troppo

modificata.

4.3 Alto flusso di particelle

Per simulare un elevato flusso di particelle ho preparato un apparato sperimentale che

permettesse di iniettare carica sull’ingresso dell’APV-25 ad alto rate.

Sono partito da un generatore di forme d’onda programmabile via software, col quale

ho prodotto rampe di tensione di diverse forme (figura 4.6). L’avvio della sequenza e

Condensatore

APV−25Generatore

programmabile

Trigger

Impulsatore

Trigger

TRI Card AcquisizioneDati

Dati

Ritardoprogrammabile

Ritardoprogrammabile

Trigger

Figura 4.4: Schema dell’apparato sperimentale che ho realizzato per simulare un alto rate

di particelle all’ingresso dell’APV-25.

78

Laboratory set-up

condensatori esterni: oro depositato su un supporto

sui condensatori esterni

di kapton (~ 50 m) incollatosu un pitch adapter

(~100 fF)in

APV25-S0

4 canali di ingresso saldati

X1

AWG2020

APV25

VFSVFP

Vin

CextX1

Arbitrary waveform generator

Alessandro Buffini - Firenze 4

µV

Figura 4.5: Fotografia dell’ibrido equipaggiato con 3 APV-25 S0 utilizzati per le misure

ad alto rate.

gestito da un segnale di trigger, che contemporamente viene utilizzato come trigger per

l’APV-25 e l’acquisizione dei dati, opportunamente ritardato (figura 4.4). In questo modo,

variando il ritardo tra il trigger al generatore di forme d’onda e quello al sistema di

acquisizione, e possibile raccogliere i dati relativi a ciascuno scalino della rampa.

La rampa prodotta e portata all’ingresso di 4 canali di uno dei chip a mia disposizione,

attraverso un condensatore di circa 100 fF: questo e realizzato incollando una striscia di

kapton dorato (su una singola faccia), spesso circa 50 µm, sulle piazzole di microsalda-

tura del pitch adapter. La doratura e i pad fungono in questo modo da armature per il

condensatore e il kapton da dielettrico (figura 4.5).

L’altezza di ogni scalino e stata fissata a ∆V = 40 mV: questo corrisponde al rilascio

79

t (µs)

Vin

(V

olt)

0

0.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8

0 2 4 6 8 10 12 14 16 18t (µs)

Vin

(V

olt)

0

0.1

0.2

0.3

0.4

0.5

0.6

0.7

0.8

0 2 4 6 8 10 12 14 16 18

Media .7903

∆t ( µs)

even

ti

0

50

100

150

200

250

300

350

0

0.5

1 1.5 2 2.5 3

3.5

4 4.5 5

Distribuzione degli intervalli

(a) (b)

Figura 4.6: (a) Forma d’onda a scalino con intervallo costante tra i vari gradini. L’altezza

di ciascun gradino e 40 mV e la durata e 800 ns; (b) Forma d’onda a scalino con durata

distribuita secondo una distribuzione di intervallo e di altezza 40 mV. Sono riportati

soltanto i primi 20 scalini. Nel riquadro e riportata la distribuzione degli intervalli, che

ha una media di 800 ns.

all’ingresso del chip di una carica

Q = C × V = 100 fF× 40 mV = 4× 10−15 C, (4.4)

che corrisponde a circa

4× 10−15 C

1.6× 10−19 Ce−

= 25000 e− (4.5)

per ogni scalino.

Di fatto questa altezza e stata scelta in modo da riprodurre un segnale simile a quello

che si ottiene impostando il valore di 1 MIP (corrispondente a impostare il registro ICAL

a 40) utilizzando il circuito di calibrazione interna.

80

L’incertezza ∆Q sulla carica iniettata agli ingressi dell’APV-25 e data dall’errore

del generatore di forme d’onda, errore che risulta (da misure effettuate all’oscilloscopio

sull’uscita del generatore)

∆Q

Q' 2%. (4.6)

La capacita del condensatore utilizzato (e quindi il numero di elettroni effettivamente

iniettati) e affetta da una incertezza molto piu grande, a causa delle difficolta costruttive.

Questo parametro tuttavia e costante ed influenza tutte le misure nello stesso modo.

Poiche sono interessato soltanto al confronto tra diversi insiemi di misure, e non ad una

misura assoluta della carica iniettata, questa incertezza risulta dunque ininfluente.

Ho eseguito due differenti tipi di test: nel primo ho utilizzato scalini tutti della stessa

durata temporale (800 ns, figura 4.6 (a)), mentre nel secondo ho utilizzato scalini di durata

variabile secondo una distribuzione di intervallo, con valor medio di 800 ns: un esempio

e riportato in figura 4.6 (b). La durata temporale degli scalini e stata scelta in modo da

riprodurre la frequenza di passaggio delle particelle su una strip della parte piu interna

del tracker.

Quest’ultimo tipo di test riproduce sicuramente meglio le condizioni sperimentali, in

cui il passaggio di una particella e appunto distribuito in modo casuale secondo una

distribuzione poissoniana. In entrambi i casi le rampe erano composte da 125 scalini.

Nel seguito analizzero i dati di uno soltanto dei 4 canali dell’APV-25 impulsati, poiche

comunque tutti hanno dato risultati simili.

4.4 Risultati in modalita picco

Nelle figure 4.7 e 4.8 e riportata la scansione in latenza del primo e del 125-esimo

impulso. Questa misura e stata effettuata utilizzando la forma d’onda a passo costante e

i valori di default VFP = 67, ISHA = 50.

81

t (ns)

Out

put (

cana

li A

DC

)

-20

-10

0

10

20

30

40

50

60

70

80

0 100 200 300 400 500 600 700 800

Figura 4.7: Profilo del segnale in uscita (in modalita picco e con i parametri di default)

dopo il primo scalino (scalini di durata costante). La linea tratteggiata rappresenta un fit

con un circuito CR−RC con costante di tempo τ = 50 ns.

Tra il primo e l’ultimo scalino si distinguono 3 differenti effetti:

l’altezza della baseline, cioe l’altezza del segnale in assenza di impulso, si sposta

verso il basso (∆ped); lo 0 della scala verticale e fissato sul valore misurato prima

dell’inizio della rampa di impulsi;

l’altezza del picco diminuisce, passando da 62 canali ADC nel caso del primo scalino

ai 42 dell’ultimo. Questo effetto rimane anche se si misura questa ampiezza rispetto

alla nuova posizione della base (∆ch): in questo caso il valore di picco e pari a circa

49 canali ADC;

come si vede dalla linea tratteggiata, che rappresenta l’uscita di un circuito CR−RC

ideale, la forma del segnale cambia.

Come risulta evidente anche dalle figure 4.9 e 4.10, tutti e tre questi effetti possono

essere imputati alla lunga costante di integrazione del preamplificatore, associata al regi-

82

t (ns)

Out

put (

cana

li A

DC

)

-20

-10

0

10

20

30

40

50

60

70

80

0 100 200 300 400 500 600 700 800

Figura 4.8: Profilo del segnale in uscita (in modalita picco e con i parametri di default)

dopo il 125-esimo scalino. Anche qui, la linea tratteggiata rappresenta un fit con un

circuito CR − RC con costante di tempo τ = 50 ns. ∆ped indica l’abbassamento del

valore dei piedistalli e ∆ch l’altezza del segnale rispetto al nuovo piedistallo.

stro VFP. Nelle due figure sono riportate le misure di ∆ch e ∆ped scalino per scalino fatte

con diversi valori della costante di integrazione. Si vede come una riduzione di quest’ulti-

ma porti ad una riduzione della carica persa; purtroppo questo guadagno si paga con un

aumento contemporaneo dello spostamento della baseline rispetto al valore iniziale.

Un altro effetto che si osserva al variare di VFP e che al suo diminuire diminuisce

anche il tempo che il sistema impiega a raggiungere un valore costante per ∆ped e ∆ch.

Effettuando le stesse misure con l’APV impostato sui parametri ottimizzati piuttosto

che su quelli di default i risultati che si ottengono sono decisamente migliori, come si

vede in figura 4.11 e figura 4.12. Infatti la forma del segnale non cambia, e rimane quasi

invariata anche l’altezza del massimo, se calcolata rispetto alla sua baseline. Quest’ultima,

invece, continua ad abbassarsi drasticamente, scendendo, tra il primo ed il 125-esimo

impulso di carica, di oltre 10 canali ADC. Un effetto dunque importante, del quale si deve

83

20

25

30

35

40

45

50

55

60

65

70

0 20 40 60 80 100 120scalino

Figura 4.9: Abbassamento del valore del picco del segnale in funzione dello scalino.

tenere conto attentamente ogni qual volta si effettua il calcolo dei piedistalli.

Sempre utilizzando i parametri ottimizzati, ho quindi provato ad eseguire lo stesso

-15

-10

-5

0

5

10

15

0 20 40 60 80 100 120scalino

Figura 4.10: Abbassamento della linea dei piedistalli in funzione dello scalino.

84

t (ns)

Out

put (

cana

li A

DC

)

-20

-10

0

10

20

30

40

50

60

70

80

0 100 200 300 400 500 600 700 800

Figura 4.11: Profilo del segnale in uscita con i parametri ottimizzati dopo il primo scalino.

La linea tratteggiata rappresenta un fit con un circuito CR−RC con costante di tempo

τ = 50 ns.

test con rampe composte da scalini di lunghezza casuale, come descritto in precedenza.

Al fine di ottenere un’ampia statistica, ho utilizzato 8 rampe casuali diverse tra loro. Per

produrle, ho scritto un semplice programma in C++ [37] che genera una serie di numeri

distribuiti secondo una distribuzione di intervallo a partire dal valor medio richiesto.

L’output del programma e scritto su un file di testo che viene poi letto dall’impulsatore

programmabile.

Utilizzando i valori ottimizzati per i registri dell’APV-25, la forma e l’ampiezza del

picco del segnale (cioe del valore massimo della curva di calibrazione) rimangono invariati

per tutti i 125 scalini della rampa, se non si considera l’errore.

Questo e composto da due contributi: l’errore del generatore e il rumore prodotto

dall’APV-25 stesso. Il primo, come visto in Sezione 4.3, su una rampa di 40 mV e di circa

0.8 mV, che corrispondono (Sezione 3.1) a 0.27 canali ADC; il secondo e invece pari a

0.44 canali ADC. Questo contributo e ricavato calcolando la σ a partire da un file di dati

85

t (ns)

Out

put (

cana

li A

DC

)

-20

-10

0

10

20

30

40

50

60

70

80

0 100 200 300 400 500 600 700 800

Figura 4.12: Profilo del segnale in uscita con i parametri ottimizzati dopo l’ultimo scalino.

La linea tratteggiata rappresenta un fit con un circuito CR−RC con costante di tempo

τ = 50 ns.

dei piedistalli.

La somma in quadratura di questi due contributi risulta inferiore ad 1 canale ADC

(σ = 0.52 canali ADC); ed inoltre i due contributi non sono influenzati dal tipo di rampa

che si sta utilizzando.

Pertanto, per verificare se l’arrivo di impulsi casuali all’ingresso del preamplificatore

introduce ulteriore rumore, e sufficiente misurare l’ampiezza dei picchi, espressa in canali

ADC, e confrontarla con i risultati ottenuti con la rampa di durata costante. Il risultato

del confronto e riportato in figura 4.13. Il confronto e mostrato con una sola delle 8 rampe

casuali, perche tutte le altre danno risultati analoghi.

Tutti i valori dei picchi sono calcolati rispetto alla baseline iniziale e sono riportati in

figura a partire dal quarantesimo scalino.

La proiezione di tutti i valori misurati per il picco del segnale (per tutte le 8 rampe) e

riportata in figura 4.14. E inoltre riportato il fit gaussiano effettuato su questi risultati.

86

scalino

Out

put (

cana

li A

DC

)

20

25

30

35

40

45

50

55

60

65

70

40 50 60 70 80 90 100 110 120 130

intervallo costante tra gli scalini

intervallo casuale tra gli scalini

Figura 4.13: Sono riportati i valori del picco del segnale al variare dello scalino (a partire

dal quarantesimo), misurati sia nel caso della rampa con scalini di durata costante che

nel caso di una delle 8 rampe con scalini di durata casuale, rispetto alla baseline iniziale.

I valori che si ottengono sono:

Out = 49.07 canali ADC (4.7)

σ = 3.75 canali ADC. (4.8)

Il primo valore rappresenta l’ampiezza media del segnale in uscita dall’APV-25, il secondo

rappresenta il rumore effettivamente introdotto dall’arrivo a tempi casuali delle particelle.

Quindi il rapporto segnale/rumore e dato semplicemente da

S

N=Out

σ' 13. (4.9)

Sempre in figura 4.14 si nota anche una lunga coda della distribuzione, che rappresenta

circa il 17% del totale degli impulsi, dovuta al pile-up degli eventi, cioe l’accumulo di carica

87

Eventi 680 149.7 / 70

P1 56.28P2 49.07P3 3.749

Output (canali ADC)

even

ti

0

10

20

30

40

50

60

20 30 40 50 60 70 80 90 100 110 120

Figura 4.14: Proiezione dei valori del picco del segnale ottenuti con le 8 rampe di durata

casuale. E riportato anche un fit gaussiano dei risultati.

dovuta all’arrivo di due impulsi distinti ad una distanza inferiore a qualche centinaio di

nanosecondi.

Dai risultati ottenuti nelle prove sotto fascio (testbeam) precedenti [40], sappiamo che

il rapporto segnale/rumore per un modulo di silicio equipaggiato col chip APV-25 che

opera in modalita picco a 20 MHz e

S

N' 18 : (4.10)

quindi si puo osservare come l’alto flusso di particelle provochi un notevole peggioramento

nel funzionamento del chip, effetto del quale si dovra tenere conto durante l’esperimento.

Come si nota la media del fit gaussiano e circa 10 canali ADC piu bassa rispetto

a quanto ci saremmo aspettati per particelle al minimo di ionizzazione, ma questo e

in accordo con lo spostamento verso il basso della baseline di 10 canali che avevamo

osservato nel caso della rampa costante. Anche di questo effetto si dovra tenere conto

88

t (ns)

Out

put (

cana

li A

DC

)

-20

-10

0

10

20

30

40

50

60

70

80

0 20 40 60 80 100

Figura 4.15: Profilo del segnale dopo il primo scalino di una rampa di durata casuale in

modalita deconvoluzione e con i parametri dei registri ottimizzati.

durante l’esperimento.

4.5 Risultati in modalita deconvoluzione

Finite le misure in modalita picco sono passato in deconvoluzione, modalita pensata

proprio per gestire un alto flusso di particelle. L’ottimizzazione del chip in modalita picco

e fondamentale per il suo funzionamento in modalita deconvoluzione. Per queste misure

ho dunque utilizzato solamente il set di valori ottimizzati per i registri importanti:

ISHA = 20,

VFS = 30, (4.11)

VFP = 30.

I primi effetti che si notano, vedi figura 4.15 e figura 4.16, sono due:

89

t (ns)

Out

put (

cana

li A

DC

)

-20

-10

0

10

20

30

40

50

60

70

80

0 20 40 60 80 100

Figura 4.16: Profilo del segnale dopo l’ultimo scalino di una rampa di durata casuale in

modalita deconvoluzione e con i parametri dei registri ottimizzati.

l’abbassamento della linea dei piedistalli, che in modalita picco arrivava a 10 canali,

adesso si riduce a 2 soli canali;

il valore della carica, al picco del segnale, si riduce di circa il 10% tra il primo e

l’ultimo scalino di ogni rampa.

Questa diminuzione di carica non e dovuta, come nel caso di picco, ad un abbassa-

mento della linea dei piedistalli, ma ad una effettiva diminuzione del segnale. E piuttosto

un effetto introdotto dall’algoritmo di deconvoluzione, che comporta un aumento della

diminuzione di ∆ch, gia osservata in modalita picco.

Proiettando nuovamente i valori di picco per tutte le 680 misure (tutte le 8 rampe

casuali, sempre partendo dal quarantesimo gradino di ciascuna) si ottengono i risultati di

figura 4.17:

90

Eventi 680 33.48 / 17

P1 110.2P2 65.00P3 2.353

Output (canali ADC)

even

ti

0

20

40

60

80

100

120

20 30 40 50 60 70 80 90 100 110 120

Figura 4.17: Proiezione dei valori del picco del segnale ottenuti con le 8 rampe di durata

casuale, in modalita deconvoluzione e con i valori ottimizzati per i registri. E riportato

anche un fit gaussiano dei risultati.

Out = 65 canali ADC (4.12)

σ = 2.35 canali ADC, (4.13)

che corrispondono ad un rapporto segnale/rumore di

S

N=Out

σ' 27. (4.14)

Dai risultati dei testbeam effettuati in precedenza su un chip APV-25 equipaggiato con

un rivelatore di silicio si ricava che il rapporto segnale/rumore in modalita deconvoluzione

e pari a

S

N' 12. (4.15)

91

Inoltre, in generale il rumore di un ibrido “nudo” (cioe privo del modulo di silicio) risulta

minore rispetto a quello di un ibrido collegato ad un rivelatore, a causa dell’assenza del

carico capacitivo in ingresso (vedi Sezione 3.2.12).

Quindi da questo si puo affermare che il rumore introdotto dall’alto flusso di particelle

(σ = 2.35, SN

= 27)) risulta trascurabile, a differenza di quanto si verifica in modalita

picco.

Nell’ultima fase dei test ho anche cercato un valore per VFP che annullasse l’effetto

di riduzione di carica in deconvoluzione. Questo risultato si raggiunge, come mostrato in

figura 4.18 (a) e figura 4.18 (b), con

VFP = 10, (4.16)

senza che questo comporti una significativa distorsione della forma del segnale.

4.6 Conclusione

Ho studiato gli effetti che un alto flusso di particelle incidenti sul rivelatore puo avere

sulla risposta del chip APV-25. Per questo ho cercato di simulare al meglio le reali

condizioni alle quali sara sottoposto all’interno del tracciatore di CMS, utilizzando impulsi

inviati all’ingresso del circuito secondo una distribuzione di intervalli casuale.

Ho evidenziato come per un corretto funzionamento del sistema risulti critica la scelta

di alcuni parametri: in particolare, i registri che gestiscono la resistenza di feedback del

preamplificatore e che regolano il formatore devono essere attentamente ottimizzati.

In modalita picco l’effetto dell’alto flusso si traduce in un significativo abbassamento

della baseline e in un aumento del rumore dovuto all’arrivo casuale di particelle.

In modalita deconvoluzione, che sara la condizione normale di funzionamento all’in-

terno di CMS, ho mostrato come l’effetto di abbassamento della linea di piedistalli sia

significativamente ridotto, e contemporaneamente come il rumore introdotto dall’arri-

92

t (ns)

Out

put (

cana

li A

DC

)

-20

-10

0

10

20

30

40

50

60

70

80

0 20 40 60 80 100t (ns)

Out

put (

cana

li A

DC

)

-20

-10

0

10

20

30

40

50

60

70

80

0 20 40 60 80 100

(a) (b)

Figura 4.18: (a) Profilo del segnale dopo il primo scalino di una rampa di durata casuale

prodotto in deconvoluzione con VFP=10; (b) Profilo del segnale dopo l’ultimo scalino di

una rampa di durata casuale prodotto in deconvoluzione con VFP=10. Come si vede, con

questo valore non si ha piu perdita di carica.

vo degli impulsi a tempi casuali non sia significativo rispetto al rumore intrinseco del

rivelatore.

I risultati ottentuti dal mio studio hanno permesso alla Collaborazione di CMS di

definire ed ottimizzare i parametri da utilizzare nella successiva fase di qualificazione dei

moduli per il sistema tracciante.

93

94

Capitolo 5

Il sistema di qualificazione dei

moduli

In questo capitolo discuto la seconda fase del mio lavoro di tesi, che e consistita nella

preparazione e ottimizzazione di un sistema per il test dei moduli completi per il sistema

tracciante di CMS. In particolare mi sono occupato della messa in funzione del sistema

di test presso la sezione dell’INFN di Firenze, della definizione di procedure standard da

seguire nei test, in modo da rendere confrontabili tra loro i risultati ottenuti in laboratori

diversi e, infine, di un test vero e proprio su due prototipi di rivelatore, composti sia

dall’elettronica di lettura (APV-25) che dal rivelatore a strip di silicio: uno era un modulo

con 512 strip, equipaggiato con 4 chip APV-25, mentre l’altro era un modulo con 1024

strip, delle quali soltanto 768 vengono lette attraverso 6 APV-25.

Questo tipo di test e fondamentale per valutare il comportamento dei moduli che

dovranno essere utilizzati all’interno del tracker di CMS. Infatti permette da una parte

di scartare quelli troppo difettosi per essere utilizzati, e dall’altra di conoscere comunque

i difetti di quelli giudicati utilizzabili.

Tutti i dati raccolti in questo modo confluiranno in un apposito database, di primaria

importanza per l’esperimento: conoscendo la posizione delle strip difettose all’interno del

rivelatore sara infatti possibile scartare direttamente online i segnali raccolti da queste

95

ultime. Cio permette di non confondere l’eventuale segnale prodotto da queste strip col

passaggio di una particella sul modulo di rivelazione e di tenere conto nella ricostruzione

delle tracce di eventuali zone morte del rivelatore.

Infine, poiche dopo l’INFN di Firenze altri laboratori hanno iniziato a preparare la

stazione di test, mi sono dedicato a collaborare con altre Universita e sezioni dell’INFN

per la messa in funzione dei loro sistemi, per rendere coerenti i risultati ottenuti nei diversi

laboratori.

5.1 La stazione di test

Il sistema di test del quale mi sono occupato durante questa parte del mio lavoro e

quello scelto al CERN per la qualificazione dei moduli del tracker.

La parte hardware di questo sistema e composta in parte di schede preesistenti, e

in parte di schede realizzate appositamente, che permettono di utilizzare in laboratorio

alcune componenti che saranno effettivamente inserite nel tracker, come ad esempio la

CCU, il FEC e il FED (Sezione 5.1.1).

Tutto il software che si occupa della gestione del sistema e invece stato sviluppato

da Laurent Mirabito, dell’IN2P3 (Institut National de Physique Nucleaire ed de Physique

des Particules) di Lione (Francia). Proprio a Lione mi sono recato, nel Maggio 2001, per

avere una visione diretta del sistema di test da loro realizzato, e soprattutto per conoscere

i problemi che avevano incontrato sia durante la realizzazione che la messa in funzione,

in modo da rendere piu agevole la riproduzione del sistema in sede.

5.1.1 L’hardware

In figura 5.1 e riportato lo schema dei collegamenti tra le varie periferiche che ho

utilizzato per la realizzazione del sistema di test. Si puo osservare come la struttura del

sistema sia divisa in tre sezioni distinte:

96

Impulsatore

ConverterECL−LVDS FED FEC Controller VME

CCU

UTRI Modulo

Seq

si

TT

Cvi

TT

Cvx

Con

trol

ler

VM

E

VME

PC

Figura 5.1: Lo schema del setup del sistema di qualificazione dei moduli che ho realizzato.

schede VME: alcune schede del sistema sono installate su di un crate VME. Per il

loro controllo e utilizzata una scheda di interfaccia, che permette di comunicare sul

bus VME direttamente dal PC, tramite una ulteriore periferica montata su bus PCI

(Peripheral Component Interconnect). Tutte le schede presenti in questa “sezione”

vengono utilizzate solamente per la fase di test, per generare i segnali necessari per

il test stesso, mentre non saranno presenti durante l’esperimento;

schede PC: un ulteriore gruppo di schede trova posto direttamente all’interno del

PC; in particolare, attraverso delle schede di interfaccia, sono tutte montate sul bus

PCI del PC stesso;

modulo: in questa parte trovano posto, oltre al modulo di silicio vero e proprio,

alcune schede che permettono di interfacciarlo al resto del sistema.

97

Clock

Trigger

Segnale codificato

Figura 5.2: I segnali di clock e trigger che arrivano dal Seqsi al TTCvx vengono codificati

e ritrasmessi al FEC attraverso una fibra ottica come un unico segnale.

VME

Le 4 schede presenti in questa sezione sono state realizzate appositamente per il sistema

di test, per simulare i segnali di trigger e clock necessari al suo funzionamento.

Controller VME: permette di interfacciare le periferiche presenti sul crate con il PC,

in modo da poterle controllare da quest’ultimo direttamente, attraverso un apposito

software.

Seqsi: questa scheda ha una duplice funzione: da una parte si occupa di generare i

segnali di trigger e clock per il sistema di campionamento degli eventi (FED, vedi

Pagina 99). I segnali in uscita dal Seqsi sono in logica ECL (Emitter Coupled Logic,

che opera tra −5.2 V e 0 V), mentre gli ingressi del FED operano in logica LVDS:

per ovviare a questo problema Seqsi e FED sono collegati attraverso una opportuna

scheda di conversione dei livelli dei segnali.

D’altra parte, il Seqsi genera anche il segnale di clock e gli opportuni segnali di

trigger (completati dagli eventuali segnali di reset e calibrazione) per gli APV-25.

TTCvx TTC VME Transmitter [41] e TTCvi TTC VME Interface [42]: sono due

98

schede che fanno parte del Trigger, Timing and Control System, un piu completo

insieme di componenti realizzate al CERN per il test dei vari componenti di LHC.

In particolare, le due componenti che utilizzo servono per codificare (encoding) i

segnali di clock e trigger in un unico segnale che viene trasmesso attraverso una

fibra ottica al FEC (vedi sotto).

Il segnale codificato e costituito da un normale segnale di clock, e la presenza di

un trigger viene segnalata rimuovendo opportunamente un fronte del clock stesso,

come esemplificato in figura 5.2.

PC

Sul bus PCI del computer sono presenti 3 schede:

Controller VME: questa scheda e la corrispondente di quella presente sul crate VME.

FED Front End Driver : e dotato di 9 ingressi, uno dei quali dedicato ai segnali

di trigger e clock che controllano l’acquisizione, mentre gli altri 8 sono collegati ad

altrettanti ADC a 10 bit. Poiche il bit meno significativo viene ignorato, il range

disponibile e di 512 (29) canali.

A questi 8 ingressi vengono inviati i segnali analogici (differenziali) provenienti dalla

UTRI (vedi Pagina 101). In particolare su ciascun canale arrivano i dati prodotti

da 2 APV-25, serializzati da un multiplexer.

All’interno del FED e presente una scheda FPGA sulla quale e programmata una

sequenza di istruzioni (detta firmware) che permette di riconoscere, nel flusso di

dati che proviene dal modulo, il frame (Sezione 3.2.10) generato dall’APV-25. Solo

il frame stesso viene poi messo a disposizione: in questo modo vengono filtrati

direttamente da questa periferica tutti i dati non interessanti, liberando la CPU del

computer da un pesante carico di lavoro.

99

Figura 5.3: Uno dei due prototipi di rivelatore che ho usato per la messa in funzione e

per il test del sistema di acquisizione: 512 strip lette da 4 APV-25.

FEC Front End Controller : questo modulo riceve dal TTCvx, attraverso una fibra

ottica, i segnali codificati di clock e trigger e li invia su un anello al quale possono

essere collegate diverse CCU, insieme ai comandi di controllo (lettura e impostazione

dei registri) per i chip APV-25.

Le schede FEC e FED utilizzate sono le stesse che saranno utilizzate durante l’esperi-

mento, eventualmente con un aggiornamento del firmware del FED stesso.

Modulo

L’ultima sezione del sistema e costituita da:

CCU Central Control Unit : e montata su una scheda di supporto (alimentata tra +6

V e −6 V), realizzata appositamente per il test dei moduli, attraverso la quale puo

pilotare fino a 6 moduli. Ciascun FEC puo controllare un numero arbitrario di CCU

collegate in serie, ma attualmente il software permette di collegarne solamente 8,

100

Figura 5.4: Particolare dei 4 APV-25 montati sul modulo di test. Nel seguito, i chip sono

numerati da sinistra verso destra, come pure i canali all’interno di ciascun APV-25.

indirizzabili modificando il loro indirizzo hardware tramite degli interruttori presenti

sulla scheda.

Questo componente permette di indirizzare a tutti i moduli presenti (o ad alcuni di

essi) i segnali di clock e trigger codificati che arrivano dal FEC e le impostazioni

richieste, attraverso un bus I2C.

UTRI Universal Tracker Front End Readout Interface: anche questa scheda e stata

realizzata per la stazione di test, e non sara presente nel montaggio finale per l’espe-

rimento. Si occupa di generare le opportune tensioni da fornire agli APV-25 presenti

sul modulo (+2.5V e +1.25 V), a partire dalle sue tensioni di alimentazione (+6 V e

−6 V) e di amplificare il segnale analogico in uscita prima di inviarlo all’elettronica

di acquisizione (FED).

Modulo di silicio (figura 5.3): durante il mio lavoro ho potuto utilizzare due pro-

totipi di moduli completi, l’uno contenente 4 APV-25 (agli ingressi dei quali sono

101

Server Programmigraficikernel

Moduli delPeriferiche

Figura 5.5: Schema di funzionamento del sistema di test sviluppato a Lione. I moduli si

occupano della gestione delle periferiche. I dati vengono raccolti e filtrati dai server che

si occupano di passarli ai vari programmi di interfaccia grafica.

microsaldate 512 strip) e l’altro 6 APV-25 (con un totale di 768 strip). Su ciascun

modulo sono presenti, oltre agli APV-25, un multiplexer che serializza i segnali pro-

venienti da coppie di chip, e una PLL (Phase Locked Loop), che ha il compito di

scomporre l’unico segnale di clock/trigger che arriva dal FEC in un segnale di clock

e negli opportuni segnali di trigger (figura 5.4).

5.2 Il software

Il software che si occupa del controllo delle periferiche e dell’acquisizione dei dati lavora

su un processore Pentium III a 800 MHz equipaggiato con 512 MB di RAM, sul quale e

installato il sistema operativo Linux. L’uso di un hardware di questo tipo garantisce la

necessaria velocita di raccolta e analisi dei dati: una prima analisi dei dati viene svolta

dal software direttamente online, cioe durante la fase stessa dell’acquisizione.

Il pacchetto software prodotto da Laurent Mirabito e strutturato secondo una archi-

tettura di tipo client-server (figura 5.5): questo metodo permette di mantenere il codice

su due livelli completamente separati. Una parte si occupa della gestione dei dispositi-

vi hardware, un’altra della raccolta dei dati, della loro analisi e della presentazione dei

102

risultati.

In questo modo l’acquisizione e l’analisi risultano del tutto indipendenti dal tipo di

hardware utilizzato: esistono infatti diverse versioni del FED, con firmware differenti, e

sistemi nei quali le periferiche VME sono sostituite da una ulteriore scheda PCI, detta Tsc

Card. Proprio della messa in funzione di questa scheda mi sto occupando attualmente.

Il primo livello, quello piu basso, e l’interfaccia delle periferiche con il sistema operativo:

questo e ottenuto utilizzando diversi moduli da caricare nel kernel di Linux.

In un sistema Linux, il kernel rappresenta il cuore del sistema operativo, mentre i

moduli sono delle librerie che lo stesso kernel puo caricare automaticamente e che gli

permettono di controllare i vari dispositivi. In particolare nel mio sistema sono necessari

dei moduli per il controllo delle tre schede PCI presenti: scheda di interfaccia VME, FED

e FEC.

Normalmente a questi moduli non si accede direttamente, ma e lo stesso sistema

operativo che si occupa di farlo. I comandi vengono inviati alle periferiche ad un livello

piu alto, dai programmi server che compongono il pacchetto del software. Per questo, a

ciascuna periferica presente sul sistema e associato un programma in grado di effettuare

la comunicazione. E attraverso questi server che avvengono tutte le comunicazioni con

i dispositivi: scrittura e lettura di registri o dati dall’APV-25, trasferimento del frame

riconosciuto dalla FPGA del FED, caricamento delle sequenze di trigger (impulso di

trigger, eventuali impulsi di calibrazione e di reset e ritardi tra i vari impulsi) sul Seqsi.

I vari server utilizzano poi un’area di memoria comune, detta memoria condivisa

(shared memory) sia per comunicare tra loro che per mettere a disposizione dell’interfaccia

grafica i dati raccolti dalle periferiche. Quest’area di memoria condivisa viene messa a

disposizione da un ulteriore programma.

L’interfaccia grafica (figura 5.6) rappresenta il livello piu alto del software ed e divisa

in vari client che permettono di controllare i parametri delle varie periferche e di effettuare

acquisizioni di dati. Questi possono poi essere salvati in formato ROOT, un pacchetto di

103

Figura 5.6: Un esempio di uno dei programmi utilizzati per l’acquisizione dei dati per la

qualifica dei moduli a strip di silicio.

analisi prodotto dal CERN [43].

In questa fase ho cooperato attivamente con l’autore del software e con i suoi colla-

boratori, per comprendere e risolvere i problemi che si sono verificati nella fase di con-

figurazione del sistema di acquisizione. Inoltre, in una riunione del gruppo italiano che

collabora alla costruzione del tracker, svoltasi a Pisa nel Dicembre 2001, ho presentato

una relazione riguardante i problemi incontrati nella fase di setup e le soluzioni prodotte,

nonche i primi risultati delle misure effettuate.

5.3 L’acquisizione dei dati

Risolti i problemi di compilazione ed installazione dei programmi forniti nel pacchetto

di analisi, mi sono occupato di redigere una guida di riferimento per le altre stazioni di test

italiane, che riporto in Appendice A. Sono quindi passato a provare il sistema utilizzando

104

APV 1

APV 2

Multiplexer

Tick mark

Figura 5.7: I tick mark prodotti da due APV-25 vengono serializzati dal multiplexer

presente sull’ibrido prima di essere inviati al FED.

i due moduli a mia disposizione.

Il principale problema incontrato e stato causato dalla difficolta del FED di riconoscere

correttamente il frame contenente i dati provenienti dall’ibrido. Infatti, per un corretto

riconoscimento, e necessario introdurre un “ritardo fine” (variabile a passi di 2.50 ns)

tra il momento di arrivo del segnale di trigger e il momento dell’effettivo inizio della

digitalizzazione dei dati. Questo ritardo viene impostato via software modificando un

parametro nel file di configurazione che viene letto da tutti i server all’avvio. Questo file

di configurazione e scritto in XML (eXtensible Markup Language, linguaggio estensibile

basato su tag), un formato universale per il salvataggio di documenti strutturati e di

strutture di dati [44].

I segnali provenienti da due APV-25, a 20 MHz, vengono serializzati dal multiplexer

senza soluzione di continuita. In particolare quindi, i due impulsi di tick mark vengono

trasmessi al FED come un unico segnale di 50 ns (figura 5.7). Essendo i tick mark segnali

digitali, dovrebbero avere sempre la stessa ampiezza. Se il multiplexer fosse “ideale” il

risultato dovrebbe essere un’onda quadra, come in figura 5.8 (a). In realta il segnale

trasmesso ha la forma di figura 5.8 (b). Il FED, che opera a 40 MHz, effettua dunque 2

105

(a) (b)

Figura 5.8: (a) Il segnale “ideale” prodotto dal multiplexer : in queste condizioni il

segnale dovrebbe essere un’onda quadra. (b) In realta il segnale prodotto non e un’onda

quadra: i tempi di salita e di discesa sono diversi da zero.

campionamenti su questo segnale.

Utilizzando un programma che permette di avere in output il segnale del FED senza

che venga effettuato il riconoscimento del frame dalla FPGA, si possono controllare i

campionamenti direttamente, al variare del “ritardo fine” impostato, ricercando due cam-

pionamenti dei tick mark piu simili possibile tra loro, in modo da garantire una corretta

scelta dei tempi di acquisizione (figura 5.9), e da permettere il corretto funzionamento

dell’algoritmo di riconoscimento del frame.

In figura 5.10 sono riprodotti gli effetti causati dall’errata scelta del delay fine sul

FED: sulla sinistra dell’immagine e riportato il grafico dei piedistalli, mentre sulla destra

quello del rumore. Come si puo vedere c’e un effetto periodico che affligge entrambi i

valori misurati.

Il grafico di sinistra e costruito riportando per ciascuna strip il valore del piedistallo;

la barra di errore associata indica il valore del rumore prima della sottrazione del rumore

di modo comune. La barra di errore del grafico di destra rappresenta invece l’effettivo

rumore della strip dopo la sottrazione del rumore di modo comune. Il punto e centrato

106

(a) (b)

Figura 5.9: (a) Campionamento effettuato con una errata scelta del “ritardo fine”.

Questo impedisce il corretto riconoscimento del frame da parte del firmware del FED; (b)

Campionamento effettuato dopo la correzione del “ritardo fine” nell’FPGA del FED.

sul valore ottenuto sottraendo dalla misura il valore calcolato per il piedistallo e per il

rumore di modo comune. Per questo, mediamente, questo punto dovrebbe essere centrato

sullo zero.

0

20 40 60

80

100 120

210

220

230

240

250

260

270

280

290

Pedestals

0

20 40 60

80

100 120

0

1

2

3

4

5

6

Noise

Figura 5.10: Effetti dell’errata impostazione del ritardo fine sul FED nell’analisi delle

misure dei piedistalli e del rumore su un chip dell’ibrido.

107

2.6 2.8 3

3.2

3.4

3.6

3.8

4 4.2 4.40

2

4

6

8

10

12Nent = 128 Mean = 3.533RMS = 0.04005Prob = 0.2718Constant = 7.203 Mean = 3.527 Sigma = 0.02954

Apv 1 noise after CMN subNent = 128 Mean = 3.533RMS = 0.04005Prob = 0.2718Constant = 7.203 Mean = 3.527 Sigma = 0.02954

2.6 2.8 3

3.2

3.4

3.6

3.8

4 4.2 4.40

1

2

3

4

5

6

Nent = 128 Mean = 3.622RMS = 0.06554Prob = 0.8482Constant = 2.518 Mean = 3.638 Sigma = 0.1051

Apv 2 noise after CMN subNent = 128 Mean = 3.622RMS = 0.06554Prob = 0.8482Constant = 2.518 Mean = 3.638 Sigma = 0.1051

2 2.5 3

3.5

4 4.50

2

4

6

8

10

Nent = 128 Mean = 3.656RMS = 0.05032Prob = 0.6627Constant = 4.293 Mean = 3.661 Sigma = 0.05148

Apv 3 noise after CMN subNent = 128 Mean = 3.656RMS = 0.05032Prob = 0.6627Constant = 4.293 Mean = 3.661 Sigma = 0.05148

2.6 2.8 3

3.2

3.4

3.6

3.8

4 4.2 4.40

1

2

34

56

7

89

Nent = 128 Mean = 3.552RMS = 0.04685Prob = 0.7605Constant = 4.808 Mean = 3.55 Sigma = 0.0456

Apv 4 noise after CMN subNent = 128 Mean = 3.552RMS = 0.04685Prob = 0.7605Constant = 4.808 Mean = 3.55 Sigma = 0.0456

Figura 5.11: Rumore di ciascun chip del rivelatore, dopo la sottrazione del rumore di

modo comune, misurato senza alcuna polarizzazione sul silicio. La misura e effettuata

con l’APV-25 operante in modalita picco.

5.4 L’analisi dei dati

Dopo essere riuscito a impostare correttamente sia la parte hardware che software

del sistema di qualificazione, ho effettuato diverse acquisizioni in varie condizioni. Per

effettuare l’analisi dei risultati ho preparato delle macro, cioe delle routine scritte in

linguaggio C++ che possono essere eseguite direttamente da ROOT: con queste e possibile

estrarre i dati prodotti in output dal programma di acquisizione per effettuarci diversi tipi

di analisi che permettono di verificare il corretto funzionamento del modulo.

Il risultato viene presentato inizialmente in una forma grafica che riassume le “presta-

zioni” del sistema APV-25 – modulo di silicio. Mi sono occupato anche della definizione di

un formato standard per il riassunto di tutti i dati raccolti sul modulo, che verra distribuito

alle varie stazioni di test italiane. Il formato standard ci permettera in seguito di estrarre

facilmente le informazioni su eventuali canali dell’APV-25 o strip di silicio malfunzionanti,

108

0.6

0.8

1 1.2 1.4 1.6 1.8 2 2.2 2.40

2

4

6

8

10Nent = 128 Mean = 1.514RMS = 0.07302Prob = 0.5662Constant = 5.303 Mean = 1.511 Sigma = 0.04357

Apv 1 noise after CMN subNent = 128 Mean = 1.514RMS = 0.07302Prob = 0.5662Constant = 5.303 Mean = 1.511 Sigma = 0.04357

0.6

0.8

1 1.2 1.4 1.6 1.8 2 2.2 2.40

2

4

6

8

10

12Nent = 128 Mean = 1.466RMS = 0.04802Prob = 0.9246Constant = 6.991 Mean = 1.464 Sigma = 0.0386

Apv 2 noise after CMN subNent = 128 Mean = 1.466RMS = 0.04802Prob = 0.9246Constant = 6.991 Mean = 1.464 Sigma = 0.0386

0.6

0.8

1 1.2 1.4 1.6 1.8 2 2.2 2.40

2

4

6

8

10

12

14

Nent = 128 Mean = 1.474RMS = 0.05491Prob = 0.554Constant = 7.853 Mean = 1.468 Sigma = 0.02868

Apv 3 noise after CMN subNent = 128 Mean = 1.474RMS = 0.05491Prob = 0.554Constant = 7.853 Mean = 1.468 Sigma = 0.02868

0.6

0.8

1 1.2 1.4 1.6 1.8 2 2.2 2.40

2

4

6

8

10Nent = 128 Mean = 1.525RMS = 0.04641Prob = 0.3809Constant = 5.847 Mean = 1.52 Sigma = 0.03758

Apv 4 noise after CMN subNent = 128 Mean = 1.525RMS = 0.04641Prob = 0.3809Constant = 5.847 Mean = 1.52 Sigma = 0.03758

Figura 5.12: Rumore di ciascun chip del rivelatore, misurato dopo la sottrazione del

rumore di modo comune, con 80 V di polarizzazione sul silicio. La misura e stata effettuata

in modalita picco.

rendendo possibile la creazione di un database fondamentale durante l’esperimento.

Le prime misure effettuate sono servite per valutare la tensione necessaria per svuotare

completamente il modulo di silicio. Per questo, ho effettuato diversi run di acquisizione,

utilizzando tutti i valori di default per i registri dell’APV-25, e variando la tensione di bias

applicata al rivelatore a passi di 10 V. I risultati mostrano un notevole calo del rumore

all’aumentare della tensione da 0 V fino a 50 V. Invece, continuando ad aumentare la

tensione da 50 V fino a 100 V, il rumore non sembra diminuire ulteriormente. Per questo

ho deciso di utilizzare in tutte le misure successive un bias di 80 V, sufficientemente elevato

da garantire un basso rumore, ma ragionevolmente basso per impedire possibili danni al

rivelatore stesso. Nelle figure 5.11 e 5.12 e riportato, per ciascun chip del rivelatore, il

rumore delle singole strip, nel primo caso con 0 V di polarizzazione e nel secondo con 80

V. Come si vede, il rumore scende dai 3.59 canali ADC (mediato su tutto il rivelatore) a

109

0 100 200 300 400 500220

230

240

250

260

270

280

Pedestals

Figura 5.13: E riportato il valore dell’altezza dei piedistalli per tutti i canali del rivelatore

composto da 4 moduli. La barra di errore e un modo grafico di rappresentare il valore del

rumore di ciascun canale prima della sottrazione del rumore di modo comune.

1.49 canali ADC.

In figura 5.13 e invece riportato un esempio delle misure dell’altezza dei piedistalli

per il modulo. Il valor medio della misura (265 canali ADC, in questo caso) non e molto

significativo, in quanto rappresenta l’altezza della baseline dell’uscita dell’APV-25 ed e

regolabile attraverso il registro VPSP.

Quello che si nota invece e un effetto generale, che conferma anche misure precedenti:

l’altezza dei piedistalli per i canali piu interni del chip e minore rispetto a quella dei canali

esterni.

A questo punto e possibile verificare, per il rivelatore in esame, quali sono le strip che

non funzionano correttamente. In figura 5.14 e riportato il valore del rumore per i 128

canali di ciascun chip APV-25. Sono due gli effetti che si notano immediatamente. Il

primo, verificato su tutti e due i moduli che ho avuto a disposizione, e che in generale i

110

0

20 40 60

80

100 1200

0.2

0.4

0.6

0.8

1

1.21.41.61.8

22.2

Apv 1 noise after CMN sub

0

20 40 60

80

100 1200

0.2

0.4

0.6

0.8

1

1.2

1.4

1.6

1.8

Apv 2 noise after CMN sub

0

20 40 60

80

100 1200

0.2

0.4

0.6

0.8

1

1.21.41.61.8

2

Apv 3 noise after CMN sub

0

20 40 60

80

100 1200

0.2

0.4

0.6

0.8

1

1.2

1.4

1.6

1.8

Apv 4 noise after CMN sub

Figura 5.14: E riportato il rumore misurato su ciascun canale del modulo, diviso per chip.

Queste misure sono state effettuate in modalita picco e con una polarizzazione sul silicio

di 80 V.

canali piu esterni di ciascun APV-25 sono piu rumorosi di quelli centrali. Anche questo

risultato, come la variazione dell’altezza dei piedistalli, e la conferma di un comportamento

noto dell’APV-25 [45].

Il secondo e che ci sono 4 canali nei quali il rumore scende dal valore medio di 1.5

canali ADC a meno di 0.5 canali ADC, che corrispondono per il primo chip ai canali 8 e

78 e per il quarto ai canali 95 e 123.

Questo effetto puo essere giustificato in due modi diversi: la prima causa potrebbe

essere dovuta al fatto che il canale dell’APV-25 non e stato microsaldato correttamente

alla corrispondente strip nel silicio. Cio significa che il rumore che si misura e dovuto solo

all’elettronica del chip e non alla presenza del rivelatore. D’altra parte potrebbe anche

essere non funzionante il canale stesso dell’APV-25.

Per comprendere meglio quale fosse il vero problema del modulo ho dunque effettuato

111

0

50

100 150 200 250 300

0

20

40

60

80

100Apv 1: all strips pulses

0

50

100 150 200 250 300

0

20

40

60

80

100Apv 2: all strips pulses

0

50

100 150 200 250 300

0

20

40

60

80

100Apv 3: all strips pulses

0

50

100 150 200 250 300

0

20

40

60

80

100Apv 4: all strips pulses

Figura 5.15: Profilo degli impulsi di calibrazione. I risultati sono mostrati per ciascuno

dei 4 APV-25 presenti sul modulo. In rosso sono segnati i 4 profili corrispondenti alle

strip con rumore basso mostrate in figura 5.14.

una scansione di latenza. In figura 5.15 e riportata la curva del segnale ottenuta da tutti i

canali, divisi per chip. Come si vede, il primo e il quarto presentano entrambi due segnali

praticamente nulli. Questi sono proprio in corrispondenza con i canali che in precedenza

risultavano privi di rumore.

La conclusione che si puo trarre e che in questo caso il problema non risiede nella

microsaldatura ma e interno all’APV-25. Infatti, se il chip funzionasse correttamente

ma il silicio non fosse saldato ai canali in questione, l’impulso di calibrazione, prodotto

112

1 1.2 1.4 1.6 1.8 2 2.2 2.4 2.6 2.80

1

2

34

56

7

89

Nent = 128 Mean = 1.855RMS = 0.07295Prob = 0.1532Constant = 2.967 Mean = 1.839 Sigma = 0.08889

Apv 1 noise after CMN subNent = 128 Mean = 1.855RMS = 0.07295Prob = 0.1532Constant = 2.967 Mean = 1.839 Sigma = 0.08889

1 1.2 1.4 1.6 1.8 2 2.2 2.4 2.6 2.80

2

4

6

8

10

12

14

Nent = 128 Mean = 1.718RMS = 0.03726Prob = 0.5207Constant = 6.848 Mean = 1.708 Sigma = 0.03201

Apv 2 noise after CMN subNent = 128 Mean = 1.718RMS = 0.03726Prob = 0.5207Constant = 6.848 Mean = 1.708 Sigma = 0.03201

1 1.2 1.4 1.6 1.8 2 2.2 2.4 2.6 2.80

2

4

68

10

12

14

16

Nent = 128 Mean = 1.735RMS = 0.03912Prob = 0.05296Constant = 6.769 Mean = 1.729 Sigma = 0.02851

Apv 3 noise after CMN subNent = 128 Mean = 1.735RMS = 0.03912Prob = 0.05296Constant = 6.769 Mean = 1.729 Sigma = 0.02851

1 1.2 1.4 1.6 1.8 2 2.2 2.4 2.6 2.80

1

2

3

4

5

6

7

8

Nent = 128 Mean = 1.817RMS = 0.06122Prob = 0.5365Constant = 3.886 Mean = 1.804 Sigma = 0.06175

Apv 4 noise after CMN subNent = 128 Mean = 1.817RMS = 0.06122Prob = 0.5365Constant = 3.886 Mean = 1.804 Sigma = 0.06175

Figura 5.16: Rumore su ciascun chip in modalita deconvoluzione con una tensione di

polarizzazione sul silicio di 80 V.

internamente dal chip stesso, sarebbe stato comunque presente, anche se in forma diversa

da quello degli altri canali.

Tutti i risultati mostrati fin qui rappresentano misure fatte in modalita picco. Pas-

sando in modalita deconvoluzione si possono osservare due effetti: aumento del rumore e

diminuzione dell’impulso di carica.

In particolare, confrontando la figura 5.12, che riporta una misura effettuata in moda-

lita picco, con la figura 5.16, misura effettuata in deconvoluzione, entrambe con 80 V di

bias sul rivelatore, si vede come il rumore aumenti di circa il 20%, salendo da 1.49 canali

ADC a 1.78 canali ADC.

In figura 5.17 e figura 5.18 si possono confrontare i diversi valori del massimo del

segnale, a parita di impulso di calibrazione, tra le due modalita di funzionamento: si

passa dagli 82 canali ADC della prima figura (modalita picco) ai 62 canali ADC della

modalita deconvoluzione, con una perdita di 20 canali ADC, corrispondente a circa il

113

0

50

100 150 200 250

0

10

20

30

40

50

60

70

80

channel_0_strip_10Nent = 0 Mean = 126.5RMS = 56.22

Channel 0 Strip 10 channel_0_strip_10Nent = 0 Mean = 126.5RMS = 56.22

Figura 5.17: Scansione in latenza in modalita picco di una strip del rivelatore. Il valore

massimo del segnale arriva a 82 canali ADC.

25% del segnale.

5.5 Conclusioni

Il sistema di test per la qualifica dei moduli del quale mi sono occupato nella seconda

parte del mio lavoro, dopo la risoluzione di diversi problemi, di natura sia hardware che

software, e ormai pienamente operante. Per questo, oltre al setup, mi sono dedicato

anche alla scrittura di routine di analisi, come ad esempio quella utilizzata per produrre

i risultati mostrati in questa tesi, che forniscono rapidamente informazioni sullo stato del

modulo in esame, sia in maniera visiva che salvando su disco le informazioni essenziali per

la qualifica del modulo stesso.

Attualmente, il sistema e ancora in fase di sviluppo, sia per quanto riguarda la parte

software che la parte hardware. Attualmente infatti mi sto occupando dell’integrazione

della nuova Tsc Card all’interno del nostro sistema di qualifica dei moduli.

114

0

20 40 60

80

100 120 140 160 180 200

0

10

20

30

40

50

60

channel_0_strip_10Nent = 0 Mean = 62.47RMS = 21.15

Channel 0 Strip 10 channel_0_strip_10Nent = 0 Mean = 62.47RMS = 21.15

Figura 5.18: Scansione in latenza in modalita deconvoluzione, sulla stessa strip di figura

5.17. In questo caso il massimo arriva soltanto a 62 canali ADC.

Inoltre, in tutto il mio lavoro ho potuto utilizzare solamente gli unici due prototipi

di moduli finora fornitici, mentre a breve dovrebbero essere pronte le versioni finali dei

moduli stessi, che ci permetteranno, nell’arco di pochi mesi, di entrare a pieno regime

nella fase di qualifica.

Infatti, nei prossimi due anni il gruppo CMS di Firenze produrra oltre 500 moduli di

rivelatori per il tracker di CMS, che dovranno tutti essere testati nei nostri laboratori

e dichiarati idonei per l’installazione finale nell’esperimento, facendo uso del sistema di

qualifica che ho contribuito a realizzare.

115

116

Bibliografia

[1] LHC: The Large Hadron Collider Conceptual Design, CERN-AC 95-05, 1995

[2] ALICE Collaboration: Technical Proposal, CERN-LHCC 95-71 LHCC/P3, 1995

[3] LHCb Collaboration: Technical Proposal, CERN-LHCC 98-4 LHCC/P4, 1998

[4] ATLAS Collaboration: Technical Proposal, CERN-LHCC 94-43 LHCC/P2, 1994

[5] CMS Collaboration: Technical Proposal, CERN-LHCC 94-38 LHCC/P1, 1994

[6] CMS Letter of Intent, CERN-LHCC 92-3, 1992

[7] J. J. Sakurai, Modern Quantum Mechanics, Addison-Wesley, Redwood City, 1985

[8] CMS Collaboration: The Magnet Project Technical Design Report, CERN-LHCC

7-10, 1997

[9] CMS Collaboration: The Tracker Project Technical Design Report, CERN-LHCC

98-6, 1998

[10] CMS Collaboration: Addendum to the Tracker TDR, CERN-LHCC 2000-16, 2000

[11] CMS Collaboration: The Electromagnetic Calorimeter Technical Design Report,

CERN-LHCC 97-33, 1997

[12] Review of Particle Physics, The European Physical Journal C, Volume 15, Numeri

1-4, 2000, Pagine 166-167

117

[13] Review of Particle Physics, The European Physical Journal C, Volume 15, Numeri

1-4, 2000, Pagine 170-171

[14] CMS Collaboration: Review of the CMS Hadron Calorimeter Technical Design

Report, CERN-LHCC 97-52, 1997

[15] CMS Collaboration: Review of the CMS Muon Project Technical Design Report,

CERN-LHCC 98-9, 1998

[16] Large Hadron Collider Workshop, CERN 90-10, Volume 1, 1990

[17] J. Gunion, H. E. Haber, G. L. Kane e S. Dawson, The Higgs Hunter’s Guide, Addison-

Wesley, Redwood City, 1990

[18] F. Gianotti, Searches for new particles at colliders, Proceedings of EPS HEP 2001,

Budapest, JHEP Proceedings Section, PrHEP-hep2001/286, 2001

[19] C. Caso et al., The Higgs Boson, The European Physical Journal C3, 1, 1998

[20] D. Charlton, Experimental test of the Standard Model, Proceedings of EPS HEP

2001, Budapest, JHEP Proceedings Section, PrHEP-hep2001/285, 2001

[21] A. Ali e D. Landon, Implications of the Top Quark Mass Measurement on the CKM

Parameters, Cross Sections and CP Asymmetries, CERN-TH 7398-94, 1994

[22] The BaBar Collaboration, Measurement of CP-Violating Asymmetries in B0 Decays

to CP Eigenstates, Phys. Rev. Lett., 86, 2001

[23] Y. Nir e H. Quinn, B Decays, Ann. Rev. Nucl. and Part. Sci., 42, 1992

[24] S. P. Martin, Perspectives on Supersymmetry, World Scientific, Singapore, 1998

[25] L. Jones, The APV-25 User Guide, RAL Microelectronics Design Group, 2001

118

[26] M. Raymond et al., The CMS Tracker APV-25 25 Micron CMOS Readout Chip,

Imperial College Preprints, IC/HEP/00-3, 2000

[27] M. Raymond et al., The APV6 Readout Chip for CMS Microstrip Detectors, Pro-

ceedings of the 3rd Workshop on Electronics for LHC Experiments, CERN-LHCC

97-60, 1997

[28] M. French et al., Probing the Nature of Matter with CMOS 6SF: The APV-25 Readout

Chip for the CMS Tracker, MicroNews, Volume 7, Numero 2, 2001

[29] Philips Semiconductors, The I2C Bus Specification, Philips Semiconductors 9397 750

00954, 2000

[30] D. Paret, The I2C Bus: From Theory to Practice, Wiley & Sons, Chichester, 1997

[31] L. Jones et al., The APV-25 Deep Submicron Readout Chip for CMS Detectors,

Proceedings of the 5th Workshop on Electronics for LHC Experiments, CERN-LHCC

99-09, 1999

[32] R. Jaeger, Microelectronic Circuit Design, Irwin/McGraw-Hill, 1997

[33] C. Bozzi, Signal-to-Noise Evaluations for the CMS Silicon Microstrip Detectors, CMS

Note 1997/026, 1997

[34] A. Holmes-Siedle et al., RD20 Collaboration, Nucl. Instr. and Meths. in Phys. Res.,

A339, 1994

[35] S. Gadomski et al., The Deconvolution Method of Fast Pulse Shaping at Hadrons

Colliders, Nucl. Instr. And. Meths. in Phys. Res., A320, 1992

[36] Altera, Altera MAX 7000 Programmable Logic Device Family Data Sheet, Novembre

2001

119

[37] B. Stroustrup, The C++ Programming Language, Terza Edizione, Addison-Wesley,

1997

[38] O. Couet, Physics Analysis Workstation, http://wwwinfo.cern.ch/asd/paw/

[39] L. Feld, How to Evaluate Common Mode Noise in a Digital Read Out System,

Freiburg University, 2000

[40] M. Friedl, APV-25 S1 SEU measurements and module tests at PSI, CMS Week,

Gennaio 2001

[41] P. Gallno, “TTCvx” Technical Description and users manual, CERN/EP/ATE/dq,

1999

[42] P. Farthouat, P. Gallno, TTC-VMEbus Interface, CERN/RD-12 Project, Maggio

2000

[43] R. Brun, F. Rademakers, ROOT, An Object-Oriented Data Analisys Framework,

http://root.cern.ch

[44] T. Bray, J. Paoli, E. Maler, Extensible Markup Language (XML) 1.0, Seconda

Edizione, W3C Recommendation, Ottobre 2000

[45] M. Raymond, APV-25 Test Results, Imperial College, Dicembre 1999

120

Appendice A

Guida all’installazione e all’uso del

software di qualificazione dei moduli

Le informazioni seguenti si riferiscono all’uso del sistema RedHat Linux 7.0. Se si usano

distribuzioni o versioni differenti da questa alcune delle seguenti istruzioni potrebbero

essere diverse.

A.1 I file necessari

Tutto il software necessario puo essere scaricato utilizzando il sistema CVS dall’indi-

rizzo lyopc134.in2p3.fr:/home/lyopc134/CVS. Per farlo, occorre prima impostare la

variabile di ambiente CVSROOT. In ambiente bash questo puo essere fatto introducendo nel

file di configurazione .bashrc presente nella home la riga

export CVSROOT=:pserver:[email protected]:/home/lyopc134/CVS/.

Quindi, ci si puo collegare attraverso il comando cvs login (la password puo essere

richiesta a Laurent Mirabito, [email protected]) e si puo iniziare il download con il

comando cvs co Daq. Questo creera una directory Daq contenente tutti i file necessari.

Per concludere, scollegarsi da CVS con il comando cvs logout.

E inoltre necessario scaricare delle librerie aggiuntive:

a

qt vers. 2.2.3

qwt vers. 0.3.0

xerces vers. 1.4.0

dim vers. 9.5

oltre al programma di analisi ROOT, dalla versione 3.01 in poi. I sorgenti di questi

programmi possono essere scaricati via FTP anonimo da lyoftp.in2p3.fr/cms/Daq,

tranne le dim che possono essere reperite all’indirizzo

http://dim.web.cern.ch/dim/dim_v9r7.zip e ROOT, reperibile presso

http://root.cern.ch.

E consigliabile eseguire tutte queste operazioni, come pure le successive compila-

zioni, creando ed utilizzando un utente (ad esempio, per il seguito, daq) e non come

amministratore di sistema.

Inolre, prima di procedere, assicurarsi che sul sistema sia presente la versione 2.2

delle librerie glibc. Per farlo, rpm -qa | grep glibc, controllando il numero di versione

accanto al nome del pacchetto glibc-devel. Il pacchetto rpm e reperibile, ad esempio,

presso fr2.rpmfind.net.

La distribuzione RedHat utilizza come compilatore il gcc 2.96, una versione beta non

ufficialmente realizzata dalla GNU ma modificata dalla RedHat stessa. Essendo una beta,

l’uso di questa versione crea molti problemi nella compilazione dei vari programmi. Per

questo e consigliabile sostituirla con la versione precedente (ufficiale) del compilatore,

la 2.95.3, perfettamente funzionante. Il sorgente e reperibile presso qualunque mirror

GNU (http://gcc.gnu.org). Scaricato e scompattato l’archivio si ottiene una directory

gcc-2.95.3 che contiene i sorgenti.

Il compilatore non va compilato all’interno di questa directory, ma ne va creata un’al-

tra, ad esempio build (non come sottodirectory della precedente!). Per compilare entrare

in build, eseguire ../gcc-2.95.3/configure. E possibile personalizzare alcune opzioni

b

di compilazione, in particolare e consigliabile passare a configure le seguenti opzioni:

--with-gnu-as --with-gnu-ld --enable-shared --enable-threads --enable-cpp.

Terminato lo script, per cominciare la compilazione vera e propria eseguire make bootstrap

ancora dentro build. Al termine (la compilazione del compilatore richiede diverso tempo)

installare il compilatore nel sistema col comando make install.

Indipendentemente dalla distribuzione installata si consiglia di utilizzare questa spe-

cifica versione del compilatore.

A questo punto e possibile passare alla compilazione dei pacchetti scaricati:

ROOT Impostare la variabile di ambiente ROOTSYS alla directory nella quale si

trova il programma. Ad esempio in ambiente bash con ROOT in /home/daq/root,

il comando sara export ROOTSYS=/home/daq/root. A questo punto, entrare in

questa directory e compilare con ./configure linux --enable-thread e quindi

make.

Qt In questo caso la variabile da impostare e QTDIR, che deve puntare alla directory

nella quale si trova la libreria. Entrare nella directory e compilare con i comandi

./configure -release -shared -thread (rispondendo yes alla richiesta di ac-

cettare i termini della GNU General Public License) e make. La compilazione di

queste librerie richiede molto tempo.

Qwt In questo caso sono due le variabili da impostare, QWTDIR e QWTLIB: tutte e due

devono puntare alla directory nella quale si trovano le librerie. Per compilare questo

pacchetto e sufficiente entrare in questa directory ed eseguire il comando make nella

directory QWTDIR. La compilazione di questa libreria deve essere effettuata al termine

della compilazione delle Qt.

Xerces Come sempre, si deve per prima cosa impostare una variabile, questa volta

XERCESCROOT, alla directory nella quale si trovano i programmi. Passare poi alla

c

directory $XERCESCROOT/samples ed eseguire ./runConfigure -plinux seguito da

make.

Dim Per la compilazione di questo pacchetto e necessario aver installato le librerie

LessTif, versione 1.2 (sia il pacchetto con le librerie che quello di sviluppo devel),

reperibili sempre sul sito fr2.rpmfind.net.

Decomprimere l’archivio scaricato con il comando unzip -a dim_v9r6.zip. Impo-

stare la variabile DIMDIR alla directory che contiene le librerie e la variabile OS al tipo

di sistema operativo che si sta utilizzando. Nel caso di Linux, export OS=Linux,

con l’iniziale maiuscola. Entrare in $DIMDIR. Per compilare questo pacchetto si deve

passare sotto tc shell. Per farlo e sufficiente il comando tcsh.

Dopo aver eseguito source .setup, aprire il file makefile_did, cercare la riga

ifeq ($(OS), Linux), e, sostituire la riga MOTIFINC=... con MOTIFINC=/usr/X11R6/

LessTif/Motif1.2/include e quella MOTIFLIB=... con MOTIFLIB=/usr/X11R6/

LessTif/Motif1.2/lib. Adesso e possibile compilare con make all.

E possibile eseguire lo script anche in ambiente bash : si deve editare il file .se-

tup, inserendo come prima riga #!/bin/tcsh, quindi questo file va reso eseguibile

col comando chmod a+x .setup e infine eseguito: .setup. Terminato lo script di

configurazione, editare makefile_did e compilare come nel caso precedente.

Poiche le variabili di ambiente qui utilizzate sono necessarie non solo per la compila-

zione ma anche per l’esecuzione successiva dei programmi, e conveniente inserirle nel file

.bashrc (nel caso di shell bash, altrimenti nel file .tcshrc nel caso di tc shell, con una

sintassi leggermente diversa). Ecco un esempio:

export CVSROOT=:pserver:[email protected]:/home/lyopc134/CVS/

export XERCESCROOT=/home/daq/xerces-c1_4_0-linux/

export QWTLIB=/home/daq/qwt-0.3.0/

export QTDIR=/home/daq/qt-2.2.3/

d

export QWTDIR=/home/daq/qwt-0.3.0/

export ROOTSYS=/home/daq/root/

export DIMDIR=/home/daq/dim/

export PATH=$PATH:$ROOTSYS/bin:$QTDIR/bin

export LD_LIBRARY_PATH=$LD_LIBRARY_PATH:$XERCESCROOT/lib:

$QWTDIR:$QWTLIB:$ROOTSYS/lib:$QTDIR/lib:$DIMDIR

La riga export PATH=$PATH:$ROOTSYS/bin:$QTDIR/bin serve per aggiungere al path

alcuni tool delle Qt e ROOT. La riga export LD_LIBRARY_PATH=$LD_LIBRARY_PATH:

$XERCESCROOT/lib:$QWTDIR:$QWTLIB:$ROOTSYS/lib:$QTDIR/lib:$DIMDIR serve per

aggiungere il path delle librerie dinamiche per il linker. Per questo, al termine della

compilazione di tutte le librerie, e anche necessario eseguire il comando ldconfig.

A questo punto tutto e pronto per passare alla compilazione dei programmi. Entrando

nella directory nella quale si e scaricato il software via CVS si trovera una directory Daq

e qui dentro quattro sottodirectory: Driver, Dim, Acquisition e Gui.

A.2 Driver

Qui si trovano i driver di tutte le periferiche utilizzate. In particolare, riporto qui

le operazioni necessarie per utilizzare il setup con il Seqsi tramite VME e quello con la

scheda Tsc. In entrambi i casi servono comunque i driver FED e FEC:

Fed Driver

Entrare in questa directory e editare il file Makefile. Al termine della riga che

inizia con CKFLAGS = aggiungere -I/usr/src/linux/include. Uscire e compilare con

make clean e poi make.

A questo punto, si potrebbe ottenere questo errore:

make: ***

No rule to make target ‘/usr/include/linux/tasks.h’, needed by ‘feddrv.o’.

Stop.

e

Per risolverlo, e sufficiente copiare il file /usr/src/linux/include/linux/tasks.h in

/usr/include/linux/tasks.h.

Inoltre, poiche nel kernel 2.2.18 c’e un file del sorgente errato, si potrebbe presentare

questo errore (riporto solo le ultime linee):

/usr/src/linux/include/linux/tty.h:79: parse error before ‘lfb_size’

/usr/src/linux/include/linux/tty.h:94: parse error before ‘’

feddrv.c: In function ‘init_module’:

feddrv.c:136: warning: unused variable ‘i’

feddrv.c:131: warning: unused variable ‘rdval’

feddrv.c: In function ‘feddrv_read’:

feddrv.c:336: warning: unused variable ‘strip’

feddrv.c:335: warning: unused variable ‘dma’

feddrv.c:334: warning: unused variable ‘i’

make: *** [feddrv.o] Error 1

Per risolverlo, si deve editare il file /usr/src/include/linux/tty.h, ricercare la parola

l0ng (scritta con lo zero al posto della o) e sostituirla con long.

Creare i giusti files nella directory /dev con il comando make devices. Questo deve

essere fatto da root.

Entrare quindi nella directory OO ed eseguire make clean e make testfed.

Official Fec Driver

In questa directory e sufficiente eseguire i comandi make clean e make. Creare i

devices con make devices, da root.

Seqsi

Se si possiede un sistema con il Seqsi montato su crate VME, servono altri 3 driver:

f

1003

E il driver del controller VME; entrare in 1003/v1.0/sys ed eseguire i soliti make clean

e make. Al termine verra prodotto un errore, poiche lo script tenta anche di installare il

driver appena compilato, ma non si hanno i permessi necessari per farlo. Per l’installazione

dei driver vedere piu avanti.

Per creare i devices utilizzare il comando mkbtp, da root.

Trigger Handler

Anche qui e sufficiente make clean, make e make devices (root).

Tsc Card

In questo caso i due driver precedenti vengono sostituiti da un unico modulo:

Tsc Driver

Per prima cosa, eseguire make clean e make LxTsc9080.o nella sottodirectory qt.

Quindi tornare nella directory superiore e compilare con make clean e make tscdrv.o.

Installare i devices con make devices (root).

A.3 Caricamento dei moduli del kernel

Di seguito riporto un semplice script che serve per caricare i moduli nel kernel. Questo

script deve essere eseguito come amministratore di sistema (root) e non come daq, perche

quest’ultimo non ha i permessi necessari a caricare i moduli nel kernel.

#!/bin/bash

insmod /home/daq/Daq/Driver/Trigger_Handler/trgdrv.o

insmod /home/daq/Daq/Driver/1003/v1.0/sys/btp.o

insmod /home/daq/Daq/Driver/Official_Fec_Driver/tatou.o

insmod /home/daq/Daq/Driver/Fed_Driver/feddrv.o

g

insmod /home/daq/Daq/Driver/Tsc_Driver/tscdrv.o

Per il corretto funzionamento vanno riservati 4 Mb di memoria per il trasferimento

di dati. Per questo, editare (da root) il file /etc/lilo.conf e aggiungere, subito dopo

la riga default=..., la riga append="mem=252M". Questo per un sistema con 256 Mb

di RAM. Altrimenti, sostituire al posto di 252 il valore della propria RAM-4. Quindi

riavviare il sistema.

A.4 Dim

In questa directory, per compilare tutto e sufficiente eseguire make clean seguito da

make all.

A.5 Acquisition

Passare nella directory Acquisition per compilare i server che gestiscono lo scambio

dati tra le periferiche e i programmi grafici di alto livello.

Editare il file Makefile: impostare la variabile DRIVER (riga 2) alla directory nel-

la quale si trovano effettivamente i driver. Nel caso che il sistema sia stato scaricato,

ad esempio, in /home/daq/Daq, impostare DRIVER = $HOME/Daq/Driver o direttamente

DRIVER = /home/daq/Daq/Driver.

Poco sotto, nella linea che comincia con CXXFLAGS (N.B. Ci sono due linee consecu-

tive che iniziano in questo modo, editare la seconda) aggiungere al termine -include

/usr/include/unistd.h -include /usr/include/math.h.

Salvare ed eseguire make clean e make all per compilare.

h

A.6 Gui

L’ultima directory contiene le interfacce grafiche. Editare il file Makefile: modificare

le prime due righe per puntare rispettivamente alla directory Acquisition e alla directory

Driver/Cio6402. Aggiungere -include /usr/include/unistd.h alla linea che inizia

con CXXFLAGS.

Eseguire make clean seguito da make all per compilare i programmi.

Poiche a seconda della versione del programma utilizzata vengono cambiate alcune

impostazioni, per poter confrontare i risultati dei vari laboratori e importante che tutti

utilizzino la stessa versione del software. E consigliabile utilizzare sempre l’ultima versione

stabile rilasciata, visto che questo software e ancora in fase di sviluppo e quindi vengono

spesso trovati e corretti bugs.

A.7 Esecuzione

Terminata la compilazione di tutte le parti necessarie, e adesso possibile iniziare ad

utilizzare il sistema. Per farlo, e necessario per prima cosa controllare di aver caricato i

moduli necessari all’interno del kernel. Lo script per farlo e in Sezione A.3. Quest’ultimo

carica i moduli sia per il VME che per la Tsc: quello effettivamente utilizzato dipende

dal sistema hardware a disposizione; l’altro e semplicemente ignorato e non e necessario

rimuoverlo.

Per prima cosa va aggiustato il delay fine del Fed: passare in Daq/Driver/Fed_Driver

ed eseguire ./fedpmc: scegliere sorgente di clock esterna e test mode disabilitato, partire

da 1 per il delay fine, e scegliere qualche secondo di acquisizione.

Editare il file di output, cercare un tick mark sul canale zero: quello che si deve fare e

far in modo che i due campionamenti del tick mark siano piu simili possibile. Per questo

va eseguito ./fedpmc piu volte variando solo il delay fine, e controllando tutte le volte il

file di output.

i

Trovato il valore migliore, passare nella directory Daq/Acquisition/bin: editare il

file daq.xml e, nella sezione che descrive i parametri del FED,

<Fed device="0"

externalclock="true"

externaltrigger="true"

scopemode="false"

clockdelay="2"

lowthreshold="180"

highthreshold="330"

sample="512"

ttctriggercontrol="true"

/>

sostituire in clockdelay al 2 il valore trovato con fedpmc.

A questo punto, lanciare 3 nuovi terminali (il comando e xterm &, tutti e tre si tro-

veranno anch’essi posizionati in Daq/Acquisition/bin). In questi 4 terminali vanno

eseguiti i comandi (preferibilmente nell’ordine in cui sono riportati):

testControl: prepara la memoria condivisa per gli altri server;

SeqsuServer daq.xml: carica dal file daq.xml i parametri del Seqsi e si occupa di

gestire le comunicazioni con quest’ultimo. Se non si utilizza il Seqsi ma la Tsc, il

server da utilizzare e:

TscServer daq.xml.

FecServer daq.xml: configura e comunica col Fec.

FedServer daq.xml 0: lo 0 indica al server di usare il primo Fed presente sul

sistema: se ne sono installati di piu, sono accedibili in ordine incrementando questo

valore.

Se tutto funziona correttamente, si puo, da un nuovo terminale, passare alla directory

Daq/Gui e qui utilizzare i vari programmi con interfaccia grafica presenti.

j