6
Escuela Superior Politécnica de Chimborazo Facultad de Informática y Electrónica Escuela de Ingeniería Electrónica en Telecomunicaciones y Redes VHDL Tema: Simulación de un Contador de cuatro bits con la utilización de la herramienta Quartus II. Alumnos: Marllory Cobos 318 Daniel Ripalda 468

VHDL Contador de 4 bits

Embed Size (px)

DESCRIPTION

Contador de 4 bits realizado en VHDL

Citation preview

Page 1: VHDL Contador de 4 bits

Escuela Superior Politécnica de Chimborazo

Facultad de Informática y Electrónica

Escuela de Ingeniería Electrónica en Telecomunicaciones y Redes

VHDL

Tema: Simulación de un Contador de cuatro bits con la utilización de la herramienta Quartus II.

Alumnos:

Marllory Cobos 318

Daniel Ripalda 468

Nivel: 9º “A”

Octubre 2015 - Febrero 2016

Page 2: VHDL Contador de 4 bits

OBJETIVO

El objetivo de la presente práctica consiste en modelar el comportamiento de un sistema secuencial por medio de programa Quartus II

Utilizar los módulos DE2 del laboratorio de electrónica para implementar un contador de 4 bits ascendente y descendente

INTRODUCCIÓN

Hasta ahora, únicamente hemos visto circuitos combinacionales, es decir, circuitos en los que las salidas dependen única y exclusivamente de las combinaciones de entradas, y no de la historia pasada del sistema. La dependencia de esta historia puede ser ventajosa e incluso necesaria para algunas aplicaciones en las que es necesario recordar una determinada situación conocidos como sistemas digitales que introducen la dependencia temporal.

MARCO TEÓRICO

DEFINICIÓN DE SISTEMA SECUENCIAL

Los sistemas digitales que introducen la dependencia temporal son conocidos como sistemas secuenciales.

Una definición más rigurosa de sistema secuencial puede ser la siguiente: Un circuito de conmutación secuencial se define como un circuito bi valuado en el cual, la salida en cualquier instante depende de las entradas en dicho instante y de la historia pasada (o secuencia) de entradas. Esta definición implica una serie de características inherentes a estos sistemas. Entre éstas podemos destacar las siguientes:

Poseen uno o más caminos de realimentación, es decir, una o más señales internas o de salida se vuelven a introducir como señales de entradas. Gracias a esta característica se garantiza la dependencia de la operación con la secuencia anterior.

Existe una dependencia explícita del tiempo. Esta dependencia se produce en los lazos de realimentación antes mencionados. En estos lazos es necesario distinguir entre las salidas y las entradas realimentadas

El modelo clásico de un sistema secuencial consta de un bloque combinacional, que generará la función lógica que queramos realizar, y un grupo de elementos de memoria con una serie de señales realimentadas

Page 3: VHDL Contador de 4 bits

En ella podemos distinguir tres tipos de señales: señales de entradas, señales de salida y señales de estado. Las señales de entrada y salida tienen el mismo significado que en los sistemas combinacionales. En cambio, las señales de estado son aquellas que mantienen la información de la historia pasada del sistema.

Podemos dividir los sistemas en dos categorías:

Sistemas asíncronos.- La sincronización depende exclusivamente de los retrasos de la lógica combinacional, sin necesidad de ninguna señal externa al sistema.

Sistemas síncronos.- La sincronización depende exclusivamente de una señal externa al sistema, conocida generalmente como señal de reloj. Esta señal de reloj controlará el comportamiento de los elementos de memoria

CONTADOR

Este circuito tiene una variable para controlar si la cuenta es ascendente o descendente y tiene un valos máximo de conteo de 4 bits es decir desde el 0 al 15.

En el Diseño Secuencial con VHDL, las construcciones: if-then-else / if-then-elsif-then son las más utilizadas.

CÓDIGO FUENTE

Page 4: VHDL Contador de 4 bits

RESULTADOS

CONCLUSIONES

La programación de dispositivos permitió simular y verificar el correcto funcionamiento. El modelo usado en este trabajo constituye una herramienta de gran utilidad para evaluar el

lenguaje VHDL (Very High Speed Integrated Circuit Hardware Description Language) es un lenguaje de descripción de hardware estructurado para modelar sistemas digitales.

Nos permitió programar una lógica digital en un lenguaje de descripción de hardware utiliza fundamentos teóricos de sistemas digitales. Las modificaciones en el diseño es flexible, es decir no necesitan un esfuerzo adicional notable con respecto a los desarrollos de hardware convencionales.

FPGA son dispositivos lógicos de propósitos generales programables por los usuarios.

BIBLIOGRAFIA

[1] http://hdl-fpga.blogspot.com/2010/07/ieeenumericstdall-vs.html

[2] http://tuesman.blogspot.com/2012/12/contador-ascendente-y-descendente-en.html

[3] https://prezi.com/oergs9dx-r9u/diseno-logico-secuencial-con-vhdl/

[4] http://electronico-etn.blogspot.com/2011/03/ejemplos-vhdl-entrega-6.html