169
Vivado Design Suite プロパ ティ リファレンス ガイド UG912 (v2013.4) 2013 12 20

Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

  • Upload
    others

  • View
    1

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

Vivado Design Suite プロパティ リファレンス ガイド

UG912 (v2013.4) 2013 年 12 月 20 日

Page 2: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 2UG912 (v2013.4) 2013 年 12 月 20 日

Notice of DisclaimerThe information disclosed to you hereunder (the “Materials”) is provided solely for the selection and use of Xilinx products.To the maximum extent permitted by applicable law:(1) Materials are made available "AS IS" and with all faults, Xilinx hereby DISCLAIMS ALL WARRANTIES AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable (whether in contract or tort, including negligence, or under any other theory of liability) for any loss or damage of any kind or nature related to, arising under, or in connection with, the Materials (including your use of the Materials), including for any direct, indirect, special, incidental, or consequential loss or damage (including loss of data, profits, goodwill, or any type of loss or damage suffered as a result of any action brought by a third party) even if such damage or loss was reasonably foreseeable or Xilinx had been advised of the possibility of the same.Xilinx assumes no obligation to correct any errors contained in the Materials or to notify you of updates to the Materials or to product specifications.You may not reproduce, modify, distribute, or publicly display the Materials without prior written consent.Certain products are subject to the terms and conditions of the Limited Warranties which can be viewed at http://www.xilinx.com/warranty.htm; IP cores may be subject to warranty and support terms contained in a license issued to you by Xilinx.Xilinx products are not designed or intended to be fail-safe or for use in any application requiring fail-safe performance; you assume sole risk and liability for use of Xilinx products in Critical Applications:http://www.xilinx.com/warranty.htm#critapps.© Copyright 2012-2013 Xilinx, Inc. Xilinx, the Xilinx logo, Artix, ISE, Kintex, Spartan, UltraScale, Virtex, Vivado, Zynq, and other designated brands included herein are trademarks of Xilinx in the United States and other countries.All other trademarks are the property of their respective owners.

本資料は英語版 (v2013.4) を翻訳したもので、 内容に相違が生じる場合には原文を優先します。資料によっては英語版の更新に対応していないものがあ り ます。日本語版は参考用と してご使用の上、 最新情報につきましては、 必ず最新英語版をご参照ください。

この資料に関するフ ィードバッ クおよびリ ンクなどの問題につきましては、[email protected] までお知らせください。 いただきましたご意見を参考に早急に対応させていただきます。 なお、 このメール アドレスへのお問い合わせは受け付けており ません。 あらかじめご了承ください。

改訂履歴次の表に、 この文書の改訂履歴を示します。

 

日付 バージョ ン 改訂内容

2013 年 12 月 20 日 2013.4 「CLOCK_ROOT」 、 「DIFF_TERM_ADV」 、 「DQS_BIAS」 、 「EQUALIZATION」 、「LVDS_PRE_EMPHASIS」、 「ODT」、 「OFFSET_CNTRL」、および 「PRE_EMPHASIS」 プロパティを追加

2013 年 10 月 2 日 2013.3 第 1 章 「Vivado の第一級オブジェク ト 」 を追加 「BUFFER_TYPE」 、 「CFGBVS」 、 「CONFIG_VOLTAGE」 、 「FSM_ENCODING」 、「FSM_SAFE_STATE」、 「REF_NAME」、 「REF_PIN_NAME」、 および 「USED_IN」 を追加 COMPATIBLE_CONFIG_MODES を 「CONFIG_MODE」 と置換

2013 年 6 月 19 日 2013.2 「H_SET および HU_SET」、 「IBUF_LOW_PWR」、 「LOCK_PINS」、 「PBLOCK」、 「RLOC」、「RLOCS」、「RLOC_ORIGIN」、「ROUTE_STATUS」、「RPM」、「RPM_GRID」、「U_SET」 を追加

OUT_TERM を削除

2013 年 3 月 20 日 2013.1 「DCI_CASCADE」、 「DIFF_TERM」、 および 「IOB」 の詳細を編集 「IOBDELAY」、 「KEEPER」、 OUT_TERM、 「PULLUP」、 「PULLDOWN」、 「POST_CRC」、「POST_CRC_ACTION」 、 「POST_CRC_FREQ」 、 「POST_CRC_INIT_FLAG」 、 お よ び「POST_CRC_SOURCE」 プロパティを追加

Page 3: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 3UG912 (v2013.4) 2013 年 12 月 20 日

目次

第 1章 : Vivado の第一級オブジェク ト概要 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5

第一級オブジェク ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6

本書から例をコピーする場合 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7

BEL (基本エレ メン ト ) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8

セル . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11

ネッ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18

ピン . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22

ポート . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 25

サイ ト . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28

第 2章 : 主なプロパティの説明プロパティ情報 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 31ASYNC_REG. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32

BEL. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36

BUFFER_TYPE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38

CFGBVS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40

CLOCK_DEDICATED_ROUTE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42

CLOCK_ROOT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44

CONFIG_MODE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46

CONFIG_VOLTAGE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48

DCI_CASCADE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50

DIFF_TERM. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52

DIFF_TERM_ADV . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55

DONT_TOUCH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57

DQS_BIAS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60

DRIVE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63

EQUALIZATION. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66

FSM_ENCODING . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68

FSM_SAFE_STATE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70

H_SET および HU_SET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72HIODELAY_GROUP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76

HLUTNM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79

IBUF_LOW_PWR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82

IN_TERM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 84

INTERNAL_VREF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 87

IOB . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89

IOBDELAY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 91

IODELAY_GROUP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 93

IOSTANDARD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 96

Send Feedback

Page 4: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 4UG912 (v2013.4) 2013 年 12 月 20 日

KEEP_HIERARCHY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99

KEEPER . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101

LOC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103

LOCK_PINS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105

LUTNM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109

LVDS_PRE_EMPHASIS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 112

MARK_DEBUG. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 114

ODT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 116

OFFSET_CNTRL. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 118

PACKAGE_PIN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 120

PBLOCK. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122

POST_CRC. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 124

POST_CRC_ACTION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 126

POST_CRC_FREQ . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 128

POST_CRC_INIT_FLAG . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 130

POST_CRC_SOURCE. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 132

PRE_EMPHASIS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 134

PROHIBIT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 136

PULLDOWN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 136

PULLUP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 139

REF_NAME . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 141

REF_PIN_NAME. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 142

RLOC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 143

RLOCS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 147

RLOC_ORIGIN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 149

ROUTE_STATUS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 152

RPM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 154

RPM_GRID . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 155

SLEW . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 157

U_SET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 160

USED_IN . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 164

VCCAUX_IO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 166

付録 A : その他のリソースザイ リ ンクス リ ソース . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 168

ソ リ ューシ ョ ン センター . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 168

参考資料 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 168

Send Feedback

Page 5: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 5UG912 (v2013.4) 2013 年 12 月 20 日

第 1章

Vivado の第一級オブジェク ト

概要本書では、 第一級オブジェク ト、 ザイ リ ンクス Vivado® Design Suite で使用可能なオブジェク トのプロパティについて説明します。 含まれる内容は次のとおりです。

• 第 1 章「Vivado の第一級オブジェク ト 」 : FPGA デザイン データベースをモデル化するため Vivado Design Suite で使用されるさまざまなデザインおよびデバイスのオブジェク トについて説明します。 オブジェク トの定義、関連オブジェク トの リ ス ト 、 オブジェク トに関連付けられているプロパティの リ ス トがこれに含まれます。

• 第 2 章 「主なプロパティの説明」 : Vivado Design Suite プロパティに対し、 プロパティの説明、 サポート されているアーキテクチャ、 適用可能エレ メン ト 、 値、 構文例 (Verilog、 VHDL、 XDC)、 影響のあるデザイン フロー ステップ、 といった項目に分けて説明があ り ます。

• 付録 A 「その他のリ ソース」 : http://japan.xilinx.com/support のザイ リ ンクス サポート ウェブサイ トから入手可能な リ ソースおよび資料がリ ス ト されています。

Send Feedback

Page 6: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 6UG912 (v2013.4) 2013 年 12 月 20 日

第一級オブジェク ト

第一級オブジェク トVivado Design Suite では、イン メモ リ デザイン データベースで数多くの第一級オブジェク トがサポート されています。これらのオブジェク トは、デザインまたは論理ネッ ト リ ス ト 、およびターゲッ トのザイ リ ンクス FPGA またはデバイスを表します。 ネッ ト リ ス ト オブジェク ト とデバイス オブジェク ト との関係は、 デザインをデバイスにマップするのに使用されます。 図 1-1 は一部の Vivado 第一級オブジェク トの関連性を示したものです。

図 1-1 に表示されているオブジェク トは、 ネッ ト リ ス ト オブジェク ト またはデバイス オブジェク ト と して定義されています。上の図でピンク色で表示されているネッ ト リ ス ト オブジェク トには、 ロジッ ク セル、 ピン、ポート、ネットがあ り ます。デバイス オブジェク トには、 ク ロ ッ ク領域、 タイル、 サイ ト、基本エレ メン ト などの配置サイ トが含まれ、 青色で表示されています。 また、 図 1-1 のデバイス オブジェク トには、 緑色で表示されるパッケージ ピンおよび I/O バンク、 紫色で表示されている ノード、 ワイヤ、 PIP などの配線リ ソースも含まれています。

オブジェク ト間の関連性は、 オブジェク ト同士を結ぶ矢印で示されています。

X-Ref Target - Figure 1-1

図 1‐1 : Vivado の第一級オブジェク ト

Send Feedback

Page 7: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 7UG912 (v2013.4) 2013 年 12 月 20 日

本書から例をコピーする場合

矢印が両方のオブジェク ト を指している場合は、 クエ リーをどちらの方向からも指定できる関係であるこ とを示しています。 たとえば、 特定ネッ トに接続されているセル (get_cells -of_objects [get_nets]) をクエリー処理したり、 特定セルに接続されているネッ ト (get_nets -of_objects [get_cells]) をクエ リー処理するこ とができます。

矢印が一方のみを指している場合は、 その矢印の方向にのみクエ リーを指定できる関係であるこ とを示しています。たとえば、6 ページの図 1-1 での例を取って説明する と、特定クロ ッ ク領域にある基本エレ メン ト (get_bels -of_objects[get_clock_regions]) はクエリー処理できますが、 特定の基本エレ メン トいク ロ ッ ク領域を関連付けるこ とはできません。 また、 特定基本エレ メン ト をタイル (get_tiles -of_objects [get_bels]) に関連付けるこ とはできますが、 タイルを基本エレ メン トに関連付けるこ とはできません。

この図は説明用のものであ り、Vivado 第一級オブジェク トすべてを網羅したものではなく、 またその関連性をすべて説明しているわけではあ り ません。

第一級オブジェク トの説明、 ほかのオブジェク ト との関係、 オブジェク トで定義されているプロパティについては、この章の後で説明します。

タイ ミ ング オブジェク ト など、オブジェク トに関するカテゴ リはほかにも Vivado Design Suite にあ り ます。 タイ ミ ング オブジェク トは暫定的なタイ ミ ング レポート を作成するためネッ ト リ ス ト デザインにま とめられています。 ネット リ ス トおよびデバイス オブジェク トに関連付けられているタイ ミ ング オブジェク ト を利用し、 インプ リ メ ン ト されたデザインのタイ ミ ング解析を完全に行う こ とができます。 タイ ミ ング オブジェク トには、 ク ロ ッ ク、 タイ ミ ングパス、 遅延オブジェク トがあ り ます。

本書から例をコピーする場合

注意 : 本書からコードに構文またはコード例をコピーする前に、 このセクシ ョ ンを注意してお読みください。

本書には、 構文およびコード例が多く含まれ、 コードにプロパテ ィ を挿入できるよ うになっています。 これらのコピーを PDF から直接コードにコピーする場合、 次のよ うな問題があ り ます。

• PDF から Vivado Tcl コンソール、 Tcl スク リプ ト 、 XDC ファ イルへコード例をコピーして貼り付ける場合、 ダッシュ (-) がエヌダッシュまたはエムダッシュに置き換えられる可能性があ り ます。

• PDF 資料の改行マークが例に挿入されてしまい、 Tcl スク リプ トや XDC ファ イルでエラーを引き起こします。

• 次のページにまたがるよ うな例をコピーする と、PDF のヘッダーおよびフッター情報も一緒にコピーされてしまい、 Tcl スク リプ トや XDC ファ イルでエラーを引き起こします。

これらの問題を回避するには、 ASCII テキス ト エディ ターでコード例にある不必要なマーカーや情報を削除してから、 コード、 Vivado Design Suite の Tcl シェル、 または Tcl コンソールに貼り付けるよ うにしてください。

Send Feedback

Page 8: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 8UG912 (v2013.4) 2013 年 12 月 20 日

BEL (基本エレメン ト )

BEL (基本エレメン ト )

説明

BEL または基本エレ メン トは、 デザインのネッ ト リ ス ト ビューのリーフ セルに対応しています。 BEL は、 ターゲット ザイ リ ンクス FPGA のデバイス オブジェク トで、 フ リ ップフロ ップ、 LUT、 キャ リー ロジッ クなどの基本ネッ トリ ス ト オブジェク ト をデバイスに配置またはマップするためのものです。

BEL はデバイス上でスライスや I/O ブロ ッ ク (IOB) などの 「サイ ト 」 オブジェク トにま とめられています。 1 つのサイ トには BEL が 1 つまたは複数存在し、この BEL を使用して、デザイン ネッ ト リ ス ト をターゲッ ト デバイスの特定のロケーシ ョ ンやデバイス リ ソースにロジッ クを割り当てます。

BEL にはさまざまなタイプのものがあ り ます。

表 1‐1 :種類別 BEL 

BEL の種類

• BSCAN_BSCAN • ILOGICE3_IFF • MMCME2_ADV_MMCME2_ADV

• BUFFER • ILOGICE3_ZHOLD_DELAY • ODELAYE2_ODELAYE2

• BUFG_BUFG • INVERTER • OLOGICE2_OUTFF

• BUFHCE_BUFHCE • IN_FIFO_IN_FIFO • OLOGICE2_TFF

• BUFIO_BUFIO • IOB18M_INBUF_DCIEN • OLOGICE3_OUTFF

• BUFMRCE_BUFMRCE • IOB18M_OUTBUF_DCIEN • OLOGICE3_TFF

• BUFR_BUFR • IOB18M_TERM_OVERRIDE • OUT_FIFO_OUT_FIFO

• CAPTURE_CAPTURE • IOB18S_INBUF_DCIEN • PAD

• CARRY4 • IOB18S_OUTBUF_DCIEN • PCIE_2_1_PCIE_2_1

• DCIRESET_DCIRESET • IOB18S_TERM_OVERRIDE • PHASER_IN_PHY_PHASER_IN_PHY

• DNA_PORT_DNA_PORT • IOB18_INBUF_DCIEN • PHASER_OUT_PHY_PHASER_OUT_PHY

• DSP48E1_DSP48E1 • IOB18_OUTBUF_DCIEN • PHASER_REF_PHASER_REF

• EFUSE_USR_EFUSE_USR • IOB18_TERM_OVERRIDE • PHY_CONTROL_PHY_CONTROL

• FF_INIT • IOB33M_INBUF_EN • PLLE2_ADV_PLLE2_ADV

• FIFO18E1_FIFO18E1 • IOB33M_OUTBUF • PULL_OR_KEEP1

• FRAME_ECC_FRAME_ECC • IOB33M_TERM_OVERRIDE • RAMB18E1_RAMB18E1

• GTXE2_CHANNEL_GTXE2_CHANNEL

• IOB33S_INBUF_EN • RAMBFIFO36E1_RAMBFIFO36E1

• GTXE2_COMMON_GTXE2_COMMON

• IOB33S_OUTBUF • REG_INIT

• HARD0 • IOB33S_TERM_OVERRIDE • SELMUX2_1

• HARD1 • IOB33_INBUF_EN • SLICEL_CARRY4_AMUX

• IBUFDS_GTE2_IBUFDS_GTE2 • IOB33_OUTBUF • SLICEL_CARRY4_AXOR

• ICAP_ICAP • IOB33_TERM_OVERRIDE • STARTUP_STARTUP

• IDELAYCTRL_IDELAYCTRL • LUT5 • USR_ACCESS_USR_ACCESS

• IDELAYE2_IDELAYE2 • LUT6 • XADC_XADC

• ILOGICE2_IFF • LUT_OR_MEM5

• LUT_OR_MEM6

Send Feedback

Page 9: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 9UG912 (v2013.4) 2013 年 12 月 20 日

BEL (基本エレメン ト )

関連オブジェク ト   

図 1-2 にあるよ うに、ネッ ト リ ス ト デザインのリーフ セルはターゲッ ト パーツの BEL にマップするこ とができます。BEL はターゲッ ト ザイ リ ンクス FPGA のサイ トにま とめられ、 BEL とサイ トの両方がクロ ッ ク領域と タイルにまとめられます。 各 BEL にはセルのピンにマップする BEL ピンがあ り、 また BEL がネッ ト リ ス ト オブジェク トであるネッ トへの接続点になり ます。

X-Ref Target - Figure 1-2

図 1‐2 : BEL オブジェク ト

Send Feedback

Page 10: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 10UG912 (v2013.4) 2013 年 12 月 20 日

BEL (基本エレメン ト )

プロパティ

表 1-2 は BUFFER タイプの BEL オブジェク トにあるプロパティの リ ス トで、 BEL のプロパティの種類を表しています。

表 1‐2 : BEL プロパティ  : BUFFER 

BEL オブジェク トに割り当てられるプロパティは TYPE によって異なり ます。 上記にリ ス ト されている BEL の各タイプのプロパティを確認するには、 report_property コマンドを使用します。

report_property -all [lindex [get_bels -filter {TYPE == <BEL_TYPE>}] 0]

<BEL_TYPE> にはリ ス ト されている BEL タイプの 1 つが入り ます。 次に例を示します。

report_property -all [lindex [get_bels -filter {TYPE == SLICEM_CARRY4_AXOR}] 0]report_property -all [lindex [get_bels -filter {TYPE == LUT5}] 0]report_property -all [lindex [get_bels -filter {TYPE == IOB33S_OUTBUF}] 0]

ヒン ト : report_property コマンドは、 現在のデザインで関連オブジェ ク トが見つからなかった場合、 オブジェク トが見つからないとい う内容の警告メ ッセージを返すこ とがあ り ます。 このコマンドの詳細は、 『Vivado Design Suite Tclコマンド リ ファレンス ガイ ド』 (UG835)[参照 7] を参照してください。

プロパティ

名前 タイプ 読み出し専用 可視 値

CLASS string ○ ○ belIS_RESERVED bool ○ ○ 0IS_TEST bool ○ ○ 0IS_USED bool ○ ○ 1NAME string ○ ○ IPAD_X0Y54/IPADNUM_BIDIR int ○ ○ 0NUM_CONFIGS int ○ ○ 0NUM_INPUTS int ○ ○ 1NUM_OUTPUTS int ○ ○ 1NUM_PINS int ○ ○ 2PROHIBIT bool ○ ○ 0TYPE string ○ ○ BUFFER

Send Feedback

Page 11: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 11UG912 (v2013.4) 2013 年 12 月 20 日

セル

セル

説明

セルは、 ネッ ト リ ス ト ロジッ ク オブジェク トのインスタンスで、 リーフ セルであったり、 階層セルであったり します。 リーフ セルはプリ ミ ティブまたはプリ ミ ティブ マクロで、 ネッ ト リ ス トにはロジッ クの詳細はあ り ません。 階層セルはモジュールまたはブロ ッ クで、 1 つ以上のレベルのロジッ クを含み、 最終的にはリーフ セルも含みます。

リーフ セル オブジェク トには、PRIMITIVE_GROUP、PRIMITIVE_SUBGROUP、PRIMITIVE_TYPE というプロパティで定義されているタイプがあ り ます。すべてのセルに共通のプロパティがあって、各グループまたはタイプごとに独自のプロパティがある場合もあ り ます。 セルのさまざまなグループ、 サブグループ、 タイプを次にリ ス ト します。

表 1‐3 : PRIMITIVE_GROUP、 PRIMITIVE_SUBGROUP、 PRIMITIVE_TYPE 別のセル

グループ サブグループ タイプ

BMEM BRAM RAMB18E1

RAMB36E1

fifo FIFO36E1

CARRY others CARRY4

MUXCY

CLK gclk BUFG

MMCME2_ADV

rclk BUFHCE

DMEM srl SRL16E

FLOP_LATCH flop FDCE

FDPE

FDRE

FDSE

I/O ddr ODDR

gt GTXE2_CHANNEL

ibuf IBUF

IBUFDS

IBUFDS_GTE2

obuf OBUF

LUT others LUT1

LUT2

LUT3

LUT4

LUT5

LUT6

MULT dsp DSP48E1

Send Feedback

Page 12: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 12UG912 (v2013.4) 2013 年 12 月 20 日

セル

MUXFX others MUXF7

MUXF8

OTHERS others GND

VCC

others

RTL_GATE buf RTL_INV

logical RTL_AND

RTL_NAND

RTL_NOR

RTL_OR

RTL_XNOR

RTL_XOR

RTL_MEMORY ram RTL_RAM

rom RTL_ROM

RTL_MUX mux RTL_MUX

RTL_OPERATOR arithmetic RTL_ADD

RTL_SUB

equality RTL_EQ

RTL_NEQ

relational RTL_GEQ

RTL_GT

RTL_LEQ

RTL_LT

reduction RTL_REDUCTION_OR

RTL_REDUCTION_XOR

RTL_REGISTER flop RTL_REG

RTL_SPECIAL others RTL_BMERGE

RTL_BSEL

表 1‐3 : PRIMITIVE_GROUP、 PRIMITIVE_SUBGROUP、 PRIMITIVE_TYPE 別のセル

グループ サブグループ タイプ

Send Feedback

Page 13: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 13UG912 (v2013.4) 2013 年 12 月 20 日

セル

関連オブジェク ト   

セルは階層セルであったり、 リーフ セル (プリ ミ ティブ) であったり します。図 1-3 にあるよ うに、 リーフ セルには、外部ネッ ト リ ス ト を定義するためネッ トに接続されているピンがあ り ます。階層セルには階層ピンに関連付けられているポートが含められます。 この階層ピンは内部ネッ ト リ ス ト を定義するためネッ トに内部接続しています。

セルはターゲッ トのザイ リ ンクス FPGA のデバイス リ ソースに配置またはマップされます。フ リ ップフロ ップ、LUT、MUX などの基本ロジッ クの場合、 セルは BEL オブジェク トに配置され、 BRAM や DSP などの大型ロジッ ク セルの場合、 セルはサイ ト オブジェク トに配置されます。 BEL はさ らに大きなサイ トであるスライスにもま とめられるので、 セルは BEL およびサイ ト オブジェク トに関連付けるこ とができるのです。 サイ トはクロ ッ ク領域およびタイルにま とめられます。

また、 セルはデザインのタイ ミ ング パスに関連付けられるので、 DRC 違反にも関連付けるこ とができ、 デザインに関する問題をすばやく見つけ出し解決するのに役立ちます。

X-Ref Target - Figure 1-3

図 1‐3 : セル オブジェク ト

Send Feedback

Page 14: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 14UG912 (v2013.4) 2013 年 12 月 20 日

セル

プロパテ ィ  

次の表には、 すべてのセルのプロパティ と して、 階層セル オブジェク トでのプロパティを リ ス ト しています。

表 1‐4 : セル プロパティ  

PRIMITIVE_GROUP および PRIMITIVE_TYPE プロパティの値でフ ィルターし、特定タイプのセル オブジェク トのプロパティを確認できます。 目的の PRIMITIVE_TYPE からプロパティ を抜き出すため、 Tcl コンソールのコマンド ラインに次のコマンドをコピーして貼り付けてください。

階層セル プロパティ

• ASYNC_REG • IODELAY_GROUP • PWR_MODE

• BEL • IS_BEL_FIXED • RAM_STYLE

• BOX_TYPE • IS_BLACKBOX • REF_NAME

• bram_addr_begin • IS_CLOCK_GATED • REUSE_STATUS

• bram_addr_end • IS_FIXED • RLOC

• bram_slice_begin • IS_IMPORTED • RLOC_ORIGIN

• bram_slice_end • IS_LOC_FIXED • RLOC_RANGE

• BUFG • IS_PRIMITIVE • ROM_STYLE

• CHECK_LICENSE_TYPE • IS_REUSED • RPM

• CLASS • IS_SEQUENTIAL • RPM_GRID

• CONVERT_BRAM8 • keep • RTL_RAM_STYLE

• CORE_GENERATION_INFO • KEEP_HIERARCHY • SEL_VAL

• counter • LIB_CELL • SHREG_EXTRACT

• DCI_VALUE • LINE_NUMBER • SIM_COLLISION_CHECK

• DONT_TOUCH • LOAD_VAL • SITE

• ESSENTIAL_CLASSIFICATION_VALUE

• LOC • SOFT_HLUTNM

• FILE_NAME • LOCK_PINS • srl_bus_name

• FSM_ENCODING • LUTNM • srl_name

• H_SET • MACRO_NAME • TOOL_DERIVED_CLK_NAMES

• HD.ISOLATED • MAP • TOOL_INSERTED_BUFG

• HD.PARTITION • MAX_FANOUT • TRANSIENT_FILTER

• HD.RECONFIGURABLE • MEMDATA.SCOPED_BMM_FILE • TYPE

• HDPCBEL • METHODOLOGY_DRC_VIOS • U_SET

• HDPCLOC • NAME • USE_DSP48

• HIERARCHICALNAME • NODELAY • USE_LUTNM

• HLUTNM • ORIG_REF_NAME • USE_RLOC

• HU_SET • PARENT • width

• IMPORTED_FROM • PBLOCK • XBLKNM

• IMPORTED_TYPE • POWER • XILINX_LEGACY_PRIM

• INIT_VAL • POWER_OPTED_CE • XILINX_TRANSFORM_PINMAP

• INV • PRIMITIVE_COUNT • XLNX_LINE_COL

• IOB • PRIMITIVE_GROUP • XLNX_LINE_FILE

• IOBDELAY • PRIMITIVE_LEVEL • XSTLIB

• PRIMITIVE_SUBGROUP

Send Feedback

Page 15: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 15UG912 (v2013.4) 2013 年 12 月 20 日

セル

ヒン ト : report_property コマンドは、 現在のデザインで関連オブジェ ク トが見つからなかった場合、 オブジェク トが見つからないとい う内容の警告メ ッセージを返すこ とがあ り ます。 このコマンドの詳細は、 『Vivado Design Suite Tclコマンド リ ファレンス ガイ ド』 (UG835)[参照 7] を参照してください。

• 階層セル

report_property -all [lindex [get_cells -hier -filter {!IS_PRIMITIVE}] 0]

• BMEM

report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == BMEM.bram.RAMB18E1}] 0]report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == BMEM.bram.RAMB36E1}] 0]report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == BMEM.fifo.FIFO36E1}] 0]

• CARRY

report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == CARRY.others.CARRY4}] 0]report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == CARRY.others.MUXCY}] 0]

• CLK

report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == CLK.gclk.BUFG}] 0]report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == CLK.gclk.MMCME2_ADV}] 0]report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == CLK.rclk.BUFHCE}] 0]

• DMEM

report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == DMEM.srl.SRL16E}] 0]

• FLOP_LATCH

report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == FLOP_LATCH.flop.FDCE}] 0]report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == FLOP_LATCH.flop.FDPE}] 0]report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == FLOP_LATCH.flop.FDRE}] 0]report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == FLOP_LATCH.flop.FDSE}] 0]

Send Feedback

Page 16: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 16UG912 (v2013.4) 2013 年 12 月 20 日

セル

• I/O

report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == IO.ddr.ODDR}] 0]report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == IO.gt.GTXE2_CHANNEL}] 0]report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == IO.ibuf.IBUF}] 0]report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == IO.ibuf.IBUFDS}] 0]report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == IO.ibuf.IBUFDS_GTE2}] 0]report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == IO.obuf.OBUF}] 0]

• LUT

report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == LUT.others.LUT1}] 0]report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == LUT.others.LUT2}] 0]report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == LUT.others.LUT3}] 0]report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == LUT.others.LUT4}] 0]report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == LUT.others.LUT5}] 0]report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == LUT.others.LUT6}] 0]

• MULT

report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == MULT.dsp.DSP48E1}] 0]

• MUXFX

report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == MUXFX.others.MUXF7}] 0]report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == MUXFX.others.MUXF8}] 0]

• OTHERS

report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == OTHERS.others.GND}] 0]report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == OTHERS.others.VCC}] 0]report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == others.others.others}] 0]

• RTL_GATE

report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == RTL_GATE.buf.RTL_BUF}] 0]report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == RTL_GATE.buf.RTL_INV}] 0]report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == RTL_GATE.logical.RTL_AND}] 0]report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == RTL_GATE.logical.RTL_NAND}] 0]report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == RTL_GATE.logical.RTL_NOR}] 0]report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == RTL_GATE.logical.RTL_OR}] 0]

Send Feedback

Page 17: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 17UG912 (v2013.4) 2013 年 12 月 20 日

セル

report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == RTL_GATE.logical.RTL_XNOR}] 0]report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == RTL_GATE.logical.RTL_XOR}] 0]

• RTL_MEMORY

report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == RTL_MEMORY.ram.RTL_RAM}] 0]report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == RTL_MEMORY.rom.RTL_ROM}] 0]

• RTL_MUX

report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == RTL_MUX.mux.RTL_MUX}] 0]

• RTL_OPERATOR

report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == RTL_OPERATOR.arithmetic.RTL_ADD}] 0]report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == RTL_OPERATOR.arithmetic.RTL_SUB}] 0]report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == RTL_OPERATOR.equality.RTL_EQ}] 0]report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == RTL_OPERATOR.equality.RTL_NEQ}] 0]report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == RTL_OPERATOR.relational.RTL_GEQ}] 0]report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == RTL_OPERATOR.relational.RTL_GT}] 0]report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == RTL_OPERATOR.relational.RTL_LEQ}] 0]report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == RTL_OPERATOR.relational.RTL_LT}] 0]report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == RTL_OPERATOR.reduction.RTL_REDUCTION_OR}] 0]report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == RTL_OPERATOR.reduction.RTL_REDUCTION_XOR}] 0]

• RTL_REGISTER

report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == RTL_REGISTER.flop.RTL_REG}] 0]

• RTL_SPECIAL

report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == RTL_SPECIAL.others.RTL_BMERGE}] 0]report_property -all [lindex [get_cells -hier -filter {PRIMITIVE_TYPE == RTL_SPECIAL.others.RTL_BSEL}] 0]

Send Feedback

Page 18: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 18UG912 (v2013.4) 2013 年 12 月 20 日

ネッ ト

ネッ ト  

説明

ネッ ト というのは、 インターコネク ト されたピン、 ポートおよびワイヤのセッ ト を指します。 どのワイヤにもネッ ト名があ り、その名前でワイヤを区別します。2 本以上のワイヤに同じネッ ト名が付いているこ とがあ り ます。同じネット名が付いているワイヤは、 1 つのネッ トに含まれているこ とを示し、 こ う したワイヤに接続されているピンまたはポートはすべて電気的に接続されています。

RTL ソース ファ イルを 1 つのネッ ト リ ス ト デザインにエラボレーシ ョ ンまたはコンパイルしている と き、 ネッ ト リス ト デザインにネッ ト オブジェク トが追加されるたびにデフォルト ネッ ト名がそのオブジェク トに割り当てられます。 手動でネッ トに名前を付けるこ と もできます。

ネッ トは 1 つの信号から成るスカラー ネッ トであったり、 複数の信号から成るスカラー ネッ トのグループであるバス ネッ トである場合があ り ます。バスを使用する と関連信号を便利にまとめるこ とができ、回路図を簡潔で理解しや

X-Ref Target - Figure 1-4

図 1‐4 : ネッ ト  オブジェク ト  

Send Feedback

Page 19: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 19UG912 (v2013.4) 2013 年 12 月 20 日

ネッ ト

すいものにするこ とができます。 また、主となる回路とブロ ッ ク シンボルとの接続も明確になり ます。バスは特に次の場合において便利です。

• 回路図のあるサイ ドから、 も う一方へと多数の信号を配線する場合

• 1 つのブロ ッ ク シンボルに複数の信号を接続する場合

• 1 つの I/O マーカーに接続するこ とで、 階層レベルをまたぐ複数の信号を接続する場合

関連オブジェク ト  

デザイン ネッ ト リ ス トで、ネッ ト をセルのピンまたはポートに接続できます。デザインがターゲッ トのザイ リ ンクスFPGA にマップされる と、 ネッ トは、 デバイスのワイヤ、 ノード、 PIP などの配線リ ソースにマップされ、 BEL ピンを介して BE:L へ、 サイ ト ピンを介してサイ トへと接続されます。

また、ポート を介してデザインに供給されるクロ ッ クにネッ トは関連付けられ、デザインのタイ ミ ング パスへと接続されます。

デザインに関する問題をすばやく見つけ出し解決できるよ うに、 DRC 違反にネッ ト を関連付けるこ と もできます。

プロパティ

ネッ トに割り当てられているプロパティは次のとおりです。

表 1‐5 : ネッ ト  プロパティ  

名前 タイプ 読み出し専用 可視 値

AREA_GROUP string TRUE TRUE

BLKNM string TRUE TRUE

BUFFER_TYPE enum FALSE TRUE

BUFG enum TRUE TRUE

BUS_NAME string TRUE TRUE

BUS_START int TRUE TRUE

BUS_STOP int TRUE TRUE

BUS_WIDTH int TRUE TRUE

CLASS string TRUE TRUE net

CLOCK_BUFFER_TYPE enum FALSE TRUE

CLOCK_DEDICATED_ROUTE enum FALSE TRUE

CLOCK_REGION_ASSIGNMENT string FALSE TRUE

COLLAPSE bool TRUE TRUE

COOL_CLK bool TRUE TRUE

DATA_GATE bool TRUE TRUE

DCI_VALUE int FALSE TRUE

DIFF_TERM bool FALSE TRUE

DONT_TOUCH bool FALSE TRUE

DRIVE int TRUE FALSE

DRIVER_COUNT int TRUE TRUE 1

ESSENTIAL_CLASSIFICATION_VALUE int FALSE TRUE

FILE_NAME string TRUE TRUE

FLAT_PIN_COUNT int TRUE TRUE 2

FLOAT bool TRUE TRUE

Send Feedback

Page 20: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 20UG912 (v2013.4) 2013 年 12 月 20 日

ネッ ト

GATED_CLOCK bool FALSE TRUE

HBLKNM string TRUE TRUE

HIERARCHICALNAME string TRUE FALSE wave_gen.dac_spi_i0.active0_out

HU_SET string TRUE FALSE

IBUF_DELAY_VALUE double TRUE TRUE

IBUF_LOW_PWR bool FALSE TRUE

IFD_DELAY_VALUE double TRUE TRUE

IN_TERM enum TRUE TRUE

IOB enum FALSE TRUE

IOBDELAY enum FALSE TRUE

IOSTANDARD string TRUE FALSE

IO_BUFFER_TYPE enum FALSE TRUE

IS_CONTAIN_ROUTING bool TRUE TRUE 0

IS_REUSED bool TRUE TRUE 0

KEEP bool TRUE TRUE

KEEPER bool TRUE TRUE

LINE_NUMBER int TRUE TRUE

LOC string TRUE TRUE

MARK_DEBUG bool FALSE TRUE 0

MAXDELAY double TRUE TRUE

MAXSKEW double TRUE TRUE

MAX_FANOUT string FALSE TRUE

METHODOLOGY_DRC_VIOS string FALSE TRUE

NAME string TRUE TRUE dac_spi_i0/active0_out

NODELAY bool TRUE TRUE

NOREDUCE bool TRUE TRUE

OUT_TERM enum TRUE TRUE

PARENT string TRUE TRUE dac_spi_i0/active0_out

PARENT_CELL cell TRUE TRUE dac_spi_i0

PIN_COUNT int TRUE TRUE 2

PULLDOWN bool TRUE TRUE

PULLUP bool TRUE TRUE

PWR_MODE enum TRUE TRUE

RAM_STYLE enum FALSE TRUE

REUSE_STATUS enum TRUE TRUE

RLOC string TRUE TRUE

RLOC_ORIGIN string TRUE FALSE

RLOC_RANGE string TRUE FALSE

ROM_STYLE enum FALSE TRUE

ROUTE_STATUS enum TRUE TRUE UNPLACED

RPM_GRID enum TRUE TRUE

RTL_KEEP string TRUE FALSE

RTL_MAX_FANOUT string TRUE FALSE

Send Feedback

Page 21: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 21UG912 (v2013.4) 2013 年 12 月 20 日

ネッ ト

次のコマンドを使用してネッ ト オブジェク トのプロパティは確認できます。

report_property -all [lindex [get_nets] 0]

S bool TRUE TRUE

SCHMITT_TRIGGER bool TRUE TRUE

SLEW string TRUE TRUE

SUSPEND string TRUE TRUE

TYPE enum TRUE TRUE SIGNAL

USELOWSKEWLINES bool TRUE TRUE

USE_DSP48 enum FALSE TRUE

U_SET string TRUE FALSE

WEIGHT int FALSE TRUE

WIREAND bool TRUE TRUE

XBLKNM string TRUE TRUE

XLNX_LINE_COL int FALSE FALSE

Send Feedback

Page 22: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 22UG912 (v2013.4) 2013 年 12 月 20 日

ピン

ピン  

説明

ピンはプリ ミ ティブまたは階層セルの論理的な接続点です。 セルの内容はピンを介して抽出でき、 ロジッ クは簡単に使用できるよ うに簡易化されます。 また、 ピンは接続を 1 つ含むスカラーと して、 または複数の信号をまとめるバスピンと して定義するこ とができます。

X-Ref Target - Figure 1-5

図 1‐5 : ピン  オブジェク ト  

Send Feedback

Page 23: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 23UG912 (v2013.4) 2013 年 12 月 20 日

ピン

関連オブジェク ト

ピンはセルに接続されます。 またネッ ト によ りほかのセルのピンに接続する こ とができます。 また、 セルのピンはBEL オブジェク トの BEL ピンに関連しており、 セルがマップされているサイ トのサイ ト ピンにも関連しています。ク ロ ッ ク ド メ インの一部と してピンはクロ ッ クに関連付けられており、パスの起点、終点、中間点と して定義されている場合はタイ ミ ング パスの一部になり ます。

また、デザインに関する問題をすばやく見つけ出し解決できるよ うに、DRC 違反にピンを関連付けるこ と もできます。

プロパティ

ピンのプロパティには次のものがあ り ます。

表 1‐6 : ピン  プロパティ

プロパティ タイプ 読み出し専用 可視 値

BEL string FALSE TRUE

BUS_DIRECTION enum TRUE TRUE

BUS_NAME string TRUE TRUE

BUS_START int TRUE TRUE

BUS_STOP int TRUE TRUE

BUS_WIDTH int TRUE TRUE

CLASS string TRUE TRUE pin

CLOCK_DEDICATED_ROUTE enum FALSE TRUE

DCI_VALUE int FALSE TRUE

DIRECTION enum TRUE TRUE OUT

ESSENTIAL_CLASSIFICATION_VALUE int FALSE TRUE

FB_ACTIVE bool FALSE TRUE

HD.ASSIGNED_PPLOCS string* TRUE TRUE

HD.CLK_SRC string FALSE TRUE

HD.LOC_FIXED bool FALSE FALSE 0

HD.PARTPIN_LOCS string* FALSE TRUE

HD.PARTPIN_RANGE string* FALSE TRUE

HIERARCHICALNAME string TRUE FALSE IBUF_lb_sel_i0.O

HOLD_DETOUR int FALSE TRUE

HOLD_SLACK double TRUE TRUE 1E+39

IS_CLEAR bool TRUE TRUE 0

IS_CLOCK bool TRUE TRUE 0

IS_CONNECTED bool TRUE TRUE 1

IS_ENABLE bool TRUE TRUE 0

IS_INVERTED bool FALSE TRUE 0

IS_LEAF bool TRUE TRUE 1

IS_PRESET bool TRUE TRUE 0

IS_RESET bool TRUE TRUE 0

IS_REUSED bool TRUE TRUE 0

IS_SETRESET bool TRUE TRUE 0

LOGIC_VALUE string TRUE TRUE unknown

Send Feedback

Page 24: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 24UG912 (v2013.4) 2013 年 12 月 20 日

ピン

次のコマンドを使用してピンのプロパティは確認できます。

report_property -all [lindex [get_pins] 0 ]

NAME string TRUE TRUE IBUF_lb_sel_i0/O

PARENT_CELL cell TRUE TRUE IBUF_lb_sel_i0

REF_NAME string TRUE TRUE IBUF

REF_PIN_NAME string TRUE TRUE O

SETUP_SLACK double TRUE TRUE 1E+39

TARGET_SITE_PINS string* FALSE TRUE

XLNX_LINE_COL int FALSE FALSE

XLNX_LINE_FILE long FALSE FALSE

Send Feedback

Page 25: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 25UG912 (v2013.4) 2013 年 12 月 20 日

ポート

ポート

説明 

ポートは特殊タイプの階層ピンで、 階層デザインの最上位で外部との接続点とな り、 また階層セルのピンに内部ロジッ クを接続するため、階層セルやブロ ッ ク モジュールの内部接続点となり ます。 また、ポートは接続を 1 つ含むスカラーと して、 または複数の信号をまとめるバス ポート と して定義するこ とができます。

X-Ref Target - Figure 1-6

図 1‐6 :ポート  オブジェク ト  

Send Feedback

Page 26: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 26UG912 (v2013.4) 2013 年 12 月 20 日

ポート

関連オブジェク ト  

デザインの最上位にあるポートは、 IOSTANDARD が指定された状態で、デバイス パッケージのパッケージ ピンを介して、 デバイスの IOBANK を介して FPGA 外部へ接続します。

また、 ポートはシステムまたはボードからデザインにクロ ッ ク定義をマップするこ とができ、 set_input_delay またはset_output_delay 制約を使用してタイ ミ ング パスに割り当てる必要があ り ます。 制約の詳細は、 『Vivado Design Suiteユーザー ガイ ド : 制約の使用』 (UG903) を参照して ください。

階層セル内部では、 ポートはセルに割り当てられ、 セル内のネッ トに接続します。

プロパティ  

ポート オブジェク トのプロパティは次のとおりです。

表 1‐7 :  ポート  プロパティ

プロパティ タイプ 読み出し専用 可視 値

BOARD_PIN string FALSE TRUE

BUFFER_TYPE enum FALSE TRUE

BUS_DIRECTION enum TRUE TRUE

BUS_NAME string TRUE TRUE

BUS_START int TRUE TRUE

BUS_STOP int TRUE TRUE

BUS_WIDTH int TRUE TRUE

CLASS string TRUE TRUE ポート

CLOCK_BUFFER_TYPE enum FALSE TRUE

DIFFTERMTYPE bool FALSE FALSE 0

DIFF_PAIR_PORT port TRUE TRUE clk_pin_p

DIFF_PAIR_TYPE enum TRUE TRUE N

DIFF_TERM bool FALSE TRUE 0

DIRECTION enum FALSE TRUE IN

DQS_BIAS enum FALSE TRUE

DRIVE enum FALSE TRUE 0

DRIVE_STRENGTH enum FALSE FALSE 0

ESSENTIAL_CLASSIFICATION_VALUE int FALSE TRUE

HD.ASSIGNED_PPLOCS string* TRUE TRUE

HD.CLK_SRC string FALSE TRUE

HD.LOC_FIXED bool FALSE FALSE 0

HD.PARTPIN_LOCS string* FALSE TRUE

HD.PARTPIN_RANGE string* FALSE TRUE

HOLD_SLACK double TRUE TRUE 1E+39

IBUF_LOW_PWR bool FALSE TRUE 1

INTERFACE string FALSE TRUE

INTERMTYPE enum FALSE FALSE NONE

IN_TERM enum FALSE TRUE NONE

IOB enum FALSE TRUE

IOBANK int TRUE TRUE 33

Send Feedback

Page 27: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 27UG912 (v2013.4) 2013 年 12 月 20 日

ポート

次のコマンドを使用してポートのプロパティは確認できます。

report_property -all [lindex [get_ports] 0 ]

IOSTANDARD enum FALSE TRUE LVDS

IOSTD enum FALSE FALSE LVDS

IO_BUFFER_TYPE enum FALSE TRUE

IS_BEL_FIXED bool FALSE FALSE 1

IS_FIXED bool FALSE FALSE 1

IS_GT_TERM bool TRUE TRUE 0

IS_LOC_FIXED bool FALSE TRUE 1

IS_REUSED bool TRUE TRUE

KEEPER bool FALSE TRUE 0

LOAD double FALSE TRUE

LOC site FALSE TRUE IOB_X1Y75

LOGIC_VALUE string TRUE TRUE unknown

NAME string FALSE TRUE clk_pin_n

OFFCHIP_TERM string FALSE TRUE NONE

OUT_TERM enum FALSE TRUE

PACKAGE_PIN package_pin FALSE TRUE AD11

PIN_TYPE enum TRUE FALSE

PIO_DIRECTION enum FALSE TRUE

PULLDOWN bool FALSE TRUE 0

PULLTYPE string FALSE FALSE

PULLUP bool FALSE TRUE 0

SETUP_SLACK double TRUE TRUE 1E+39

SITE site FALSE FALSE IOB_X1Y75

SLEW enum FALSE TRUE

SLEWTYPE enum FALSE FALSE

UNCONNECTED bool TRUE TRUE 0

USE_INTERNAL_VREF enum FALSE TRUE

VCCAUX_IO enum FALSE TRUE

XLNX_LINE_COL int FALSE FALSE

XLNX_LINE_FILE long FALSE FALSE 131072

x_interface_info string FALSE TRUE

Send Feedback

Page 28: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 28UG912 (v2013.4) 2013 年 12 月 20 日

サイ ト

サイ ト

説明

サイ トは、 ターゲッ トのザイ リ ンクス FPGA で使用可能なさまざまなタイプのロジッ ク リ ソースの 1 つを表すデバイス オブジェク トです。

ルッ クアップテーブル (LUT)、 フ リ ップフロ ップ、 マルチプレクサ、 キャ リー ロジッ ク リ ソースなどの基本エレ メン ト (BEL) の集合体であるスライスがサイ トに含まれており、スライスからスライスを垂直方向に結ぶ専用キャ リーチェーンを使用して、 高速な加算、 減算、 比較演算をインプ リ メ ン トするために使用されます。 2 つのスライスが 1つのコンフ ィギャブル ロジッ ク ブロ ッ ク (CLB) にま とめられますが、 これはデバイスのタイル オブジェク トの 1 タイプです。

SLICEM は分散 RAM と してコンフ ィギュレーシ ョ ン可能です。分散メモ リは一部の LUT のコンフ ィギュレーシ ョ ン機能で、 小型の 64 ビッ ト メモ リ と して動作します。 SLICEL LUT はロジッ ク と してのみ機能し、 メモ リ と しては機能しません。

X-Ref Target - Figure 1-7

図 1‐7 :サイ ト  オブジェク ト  

Send Feedback

Page 29: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 29UG912 (v2013.4) 2013 年 12 月 20 日

サイ ト

サイ トには、 ブロ ッ ク RAM、 I/O ブロ ッ ク、 ク ロ ッ ク リ ソース、 GT ブロ ッ クなどさまざまなオブジェク ト も含まれています。

Vivado 合成を使用して HDL ソースから推論した り、 FPGA ラ イブラ リ からプ リ ミ テ ィブやマク ロをインスタンシエート した り、 Vivado IP カタログから IP コアを使用して、 スラ イス リ ソースを利用するこ とができます。 『ラ イブラ リ ガイ ド』 には、 インスタンシエート可能なプリ ミ ティブのリ ス トがあ り ます。

サイ ト タイプには次のよ うなものがあ り ます。

表 1‐8 :サイ ト  タイプ

関連オブジェク ト

28 ページの図 1-7にあるよ うに、サイ トはさまざまなネッ ト リ ス トおよびデバイス オブジェク トに関連付けられています。 リーフ セルのフ リ ップフロ ップおよびラ ッチは、 スライスなどのサイ トにマップされている BEL にマップされているか、 BRAM や DSP などのサイ トに直接マップされています。 BEL およびサイ トはタイルにまとめられ、 デバイスのクロ ッ ク領域に割り当てられます。

ポート、 ピン、 I/O バンク、 パッケージ ピンは I/O ブロ ッ ク (IOB) に関連していますが、 この IOB もサイ トです。 さらに、 サイ トにはピンまたはサイ ト ピンがあ り、 これらはノード、 ピン、 ネッ トにマップされます。

サイ ト  タイプ

• BSCAN • GTXE2_CHANNEL • OLOGICE3

• BSCAN_JTAG_MONE2 • GTXE2_COMMON • OPAD

• BUFG • GTZE2_OCTAL • OSERDESE2

• BUFGCTRL • IBUFDS_GTE2 • OUT_FIFO

• BUFG_LB • ICAP • PCIE_2_1

• BUFHCE • IDELAYCTRL • PCIE_3_0

• BUFIO • IDELAYE2 • PHASER_IN

• BUFMRCE • ILOGICE2 • PHASER_IN_ADV

• BUFR • ILOGICE3 • PHASER_IN_PHY

• CAPTURE • IN_FIFO • PHASER_OUT

• CFG_IO_ACCESS • IOB18 • PHASER_OUT_ADV

• DCI • IOB18M • PHASER_OUT_PHY

• DCIRESET • IOB18S • PHASER_REF

• DNA_PORT • IOB33 • PHY_CONTROL

• DSP48E1 • IOB33M • PLLE2_ADV

• EFUSE_USR • IOB33S • RAMB18E1

• FIFO18E1 • IOBM • RAMB36E1

• FIFO36E1 • IOBS • RAMBFIFO36E1

• FRAME_ECC • IPAD • SLICEL

• GLOBALSIG • ISERDESE2 • SLICEM

• GTHE2_CHANNEL • KEY_CLEAR • STARTUP

• GTHE2_COMMON • MMCME2_ADV • TIEOFF

• GTPE2_CHANNEL • ODELAYE2 • USR_ACCESS

• GTPE2_COMMON • OLOGICE2 • XADC

Send Feedback

Page 30: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 30UG912 (v2013.4) 2013 年 12 月 20 日

サイ ト

プロパテ ィ

ザイ リ ンクス FPGA には 80 種類を越えるサイ トがあ り ますが、 すべて次のプロパティを共有しています。

表 1‐9 :  サイ ト  プロパティ

サイ ト オブジェク トに割り当てられているプロパティはどのサイ ト タイプでも同じです。 29 ページの表 1-8にリ スト されているサイ ト タイプのプロパティを確認するには、 report_property コマンドを使用します。

report_property -all [lindex [get_sites -filter {SITE_TYPE == <SITE_TYPE>}] 0]

<SITE_TYPE> にはリ ス ト されているサイ ト タイプの 1 つが入り ます。 次に例を示します。

report_property -all [lindex [get_sites -filter {SITE_TYPE == DSP48E1}] 0]report_property -all [lindex [get_sites -filter {SITE_TYPE == RAMB36E1}] 0]report_property -all [lindex [get_sites -filter {SITE_TYPE == IBUFDS_GTE2}] 0]

プロパティ タイプ 読み出し専用 可視 値

ALTERNATE_SITE_TYPES string TRUE TRUE

CLASS string TRUE TRUE site

CLOCK_REGION string TRUE TRUE X0Y6

IS_BONDED bool TRUE TRUE 0

IS_CLOCK_BUFFER bool TRUE TRUE 0

IS_CLOCK_PAD bool TRUE TRUE 0

IS_GLOBAL_CLOCK_BUFFER bool TRUE TRUE 0

IS_GLOBAL_CLOCK_PAD bool TRUE TRUE 0

IS_PAD bool TRUE TRUE 0

IS_REGIONAL_CLOCK_BUFFER bool TRUE TRUE 0

IS_REGIONAL_CLOCK_PAD bool TRUE TRUE 0

IS_RESERVED bool TRUE TRUE 0

IS_TEST bool TRUE TRUE 0

IS_USED bool TRUE TRUE 0

MANUAL_ROUTING string FALSE TRUE

NAME string TRUE TRUE SLICE_X2Y349

NUM_ARCS int TRUE TRUE 153

NUM_BELS int TRUE TRUE 32

NUM_INPUTS int TRUE TRUE 37

NUM_OUTPUTS int TRUE TRUE 13

NUM_PINS int TRUE TRUE 50

PRIMITIVE_COUNT int TRUE TRUE 0

PROHIBIT bool FALSE TRUE 0

PROHIBIT_FROM_PERSIST bool TRUE TRUE 0

RPM_X int TRUE TRUE 21

RPM_Y int TRUE TRUE 698

SITE_PIPS string FALSE TRUE

SITE_TYPE enum TRUE TRUE SLICEM

Send Feedback

Page 31: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 31UG912 (v2013.4) 2013 年 12 月 20 日

第 2章

主なプロパティの説明

プロパティ情報本章では、 ザイ リ ンクス Vivado® Design Suite プロパティについて説明します。 各プロパティの説明には、 該当する場合は次の情報が含まれます。

• 主な使用方法を含むプロパティの説明

• プロパティをサポートするザイ リ ンクス FPGA デバイス アーキテクチャ (UltraScale™を含む)。 例外は注記されています。

• プロパティをサポートするオブジェク ト またはデバイス リ ソース。

• プロパティに割り当て可能な値

• Verilog、 VHDL、 XDC の構文

• プロパティの影響を受けるデザイン フローのステップ

• 関連プロパティへの相互参照

重要 : HDL と XDC の両方でプロパティが定義されている場合は、 XDC のほうが優先され、 HDL プロパティは上書きされます。

Vivado Design Suite でのこれらのプロパティの使用については、 『Vivado Design Suite ユーザー ガイ ド : 制約の使用』(UG903) を[参照 9]参照してください。

Send Feedback

Page 32: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 32UG912 (v2013.4) 2013 年 12 月 20 日

ASYNC_REG

ASYNC_REG

ASYNC_REG によ り次の点が指定されます。

• ソース ク ロ ッ クに相対して D 入力ピンで非同期データをレジスタが受信できる。

または

• レジスタが同期チェーン内の同期レジスタになる。

シ ミ ュレーシ ョ ン中にタイ ミ ング違反が発生する と、 デフォル ト ではレジスタ エレ メ ン ト から X または未知のステート (1 でも 0 でもない値) が出力されます。 この場合、 エレ メン トの駆動するものすべての入力が X と表示され、未知のステートになり ます。 このコンディシ ョ ンはデザイン全体に広がる可能性があ り、デザインの大部分が未知になったり、 シ ミ ュレータがこのステートから回復できなくなるこ とがあ り ます。 ASYNC_REG では、 タイ ミ ング違反が発生しても最後の既知の値を出力するよ うにレジスタを変更します。

ASYNC_REG を指定する と、 最適化、 配置、 配線にも影響し、 メ タステーブルになる可能性のある MTBF (平均故障間隔) が改善されます。 ASYNC_REG が指定されている と、 配置ツールで非同期チェーンのフ リ ップフロ ップ同士が近くに配置され、 MTBF を最長にできます。 ASYNC_REG が設定され直接接続されているレジスタに、互換性のある制御セッ トがあ り、 またレジスタ数がスライスの使用可能な リ ソース数を超えない場合は、 グループにまとめられて1 つのスライスに一緒に配置されます。

注記 : また、 Vivado 合成は、 MTBF を短縮できるよ う な方法でレジスタや周辺ロジッ クを最適化しないため、 このツールでの自動推論にも ASYNC_REG は影響します。

X-Ref Target - Figure 2-1

図 2‐1 : クロック  ド メインの同期

Send Feedback

Page 33: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 33UG912 (v2013.4) 2013 年 12 月 20 日

ASYNC_REG

次は、 32 ページの図 2-1 にあるフ リ ップフロ ップを 2 つ使用した、 または 1 段のシンクロナイザーの Verilog 例です。レジスタは、 個別のクロ ッ ク ド メ インからの値を同期させます。 ASYNC_REG プロパティの値が TRUE なのでシンクロナイザー段に適用されます。

(* ASYNC_REG = "TRUE" *) reg sync_0, sync_1;

always @(posedge clk) beginsync_1 <= sync_0;sync_0 <= en;...

ASYNC_REG プロパティを使用する と、複数のレジスタができるだけ近くに配置できるよ うに、グループにま とめられます。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• セル (get_cells)

° レジスタ (FD、 FDCE、 FDPE、 FDRE、 FDSE)

X-Ref Target - Figure 2-2

図 2‐2 : レジスタのグループ化

Send Feedback

Page 34: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 34UG912 (v2013.4) 2013 年 12 月 20 日

ASYNC_REG

• FALSE (デフォルト )

レジスタは最適化で削除されるか、 SRL、 DSP、 または RAMB などのブロ ッ クに吸収されます。 特殊なシ ミ ュレーシ ョ ン、 配置、 配線規則は適用されません。

• TRUE

レジスタは同期チェーンの一部で、 インプリ メンテーシ ョ ンまで保持され、チェーンのその他のレジスタの近くに配置されて、 MTBF レポートに使用されます。

構文

Verilog 構文

Verilog 属性はレジスタのインスタンシエーシ ョ ンまたは reg 宣言の直前に配置します。

(* ASYNC_REG = "{TRUE|FALSE}" *)

Verilog の構文例

// Designates sync_regs as receiving asynchronous data(* ASYNC_REG = "TRUE" *) reg [2:0] sync_regs;

VHDL 構文

VHDL 属性は次のよ うに宣言します。

attribute ASYNC_REG : string;

VHDL 属性は次のよ うに指定します。

attribute ASYNC_REG of name: label is "{TRUE|FALSE}";

• name は、 次のどちらかになり ます。

° インスタンシエート されたレジスタのインスタンス名

または

° 宣言されている信号でレジスタに推論されるもの

VHDL の構文例

attribute ASYNC_REG : string;signal sync_regs : std_logic_vector(2 downto 1);-- Designates sync_regs as receiving asynchronous dataattribute ASYNC_REG of sync_regs: label is "TRUE";

XDC 構文

set_property ASYNC_REG value [get_cells instance_name]

Send Feedback

Page 35: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 35UG912 (v2013.4) 2013 年 12 月 20 日

ASYNC_REG

• instance_name はレジスタ セルです。

XDC の構文例

# Designates sync_regs as receiving asynchronous dataset_property ASYNC_REG TRUE [get_cells sync_regs*]

影響を受けるステップ

• launch_xsim

• synth_design

• place_design

• route_design

• phys_opt_design

• power_opt_design

• report_drc

• write_verilog

• write_vhdl

Send Feedback

Page 36: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 36UG912 (v2013.4) 2013 年 12 月 20 日

BEL

BEL

BEL はレジスタまたは LUT のスライス内での特定の配置を指定します。通常 LOC プロパティ と一緒に使用して、 レジスタまたは LUT の正確な配置を指定します。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• セル (get_cells)

° レジスタ (FD、 FDCE、 FDPE、 FDRE、 FDSE)

° LUT (LUT1、 LUT2、 LUT3、 LUT4、 LUT5、 LUT6、 LUT6_2)

° SRL (SRL16E、 SRLC32E)

° LUTRAM (RAM32X1S、 RAM64X1S)

• BEL = <name>

BEL のロジッ ク コンテンツ次第で、 BEL の名前は変わり ます。 また、 BEL 名には BEL のサイ ト名を含めるこ ともできます。 たとえば、 BSCAN_X0Y0/BSCAN、 IPAD_X0Y54/IPAD、 BUFGCTRL_X0Y16/BUFG、SLICE_X1Y199/A5FF などが有効な BEL 名です。

構文

Verilog 構文 

Verilog 属性は LUT または レジスタのインスタンシエーシ ョ ン直前に配置します。推論されたレジスタ、 SRL またはLUTRAM の reg 宣言の前に配置するこ と もできます。

(* BEL = "site_name" *)

Verilog の構文例

// Designates placed_reg to be placed in FF site A5FF(* BEL = "A5FF" *) reg placed_reg;

Send Feedback

Page 37: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 37UG912 (v2013.4) 2013 年 12 月 20 日

BEL

VHDL 構文

VHDL 属性は次のよ うに宣言します。

attribute BEL : string;

インスタンシエート されたインスタンスの場合は、 次のよ うに指定します。

attribute BEL of instance_name : label is "site_name";

• instance_name には LUT、 SRL、 LUTRAM などのインスタンシエート されたレジスタのインスタンス名が入り ます。

VHDL の構文例

-- Designates instantiated register instance placed_reg to be placed in FF site A5FFattribute BEL of placed_reg : label is "A5FF";

推論されたインスタンスの場合、 VHDL 属性は次のよ うに指定します。

attribute BEL of signal_name : signal is "site_name";

• signal_name は LUT、 SRL、 LUTRAM などの推論されたレジスタの信号名になり ます。

VHDL の構文例

-- Designates instantiated register instance placed_reg to be placed in FF site A5FFattribute BEL of placed_reg : signal is "A5FF";

XDC 構文

set_property BEL site_name [get_cells instance_name]

• instance_name はレジスタ、 LUT、 SRL、 または LUTRAM インスタンスになり ます。

XDC の構文例

# Designates placed_reg to be placed in FF site A5FFset_property BEL A5FF [get_cells placed_reg]

影響を受けるステップ

• デザインのフロアプラン

• place_design

関連項目

103 ページの 「LOC」

Send Feedback

Page 38: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 38UG912 (v2013.4) 2013 年 12 月 20 日

BUFFER_TYPE

BUFFER_TYPE

デフォル ト では、 Vivado 合成は、 ク ロ ッ ク ポー ト に対し、 入力バッ フ ァーと グローバル ク ロ ッ ク バッ フ ァー(IBUF/BUFG) の組み合わせを推論し、 入力ポー ト に対し て入力バッ フ ァーを推論し ます。 しかし、 手動でBUFFER_TYPE プロパティを指定し、 Vivado 合成のデフォルト動作を上書きするこ とができます。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• BUFFER_TYPE 属性は最上位ポート (all_inputs、 all_outputs、 get_ports) に設定できます。

• ibuf : デフォルトの IBUF/BUFG ペアが不要なクロ ッ ク ポートにこの値を指定します。 この場合はクロ ッ クに対して IBUF のみが推論されます。

• none : 入力または出力バッファーを使用しないよ う指定します。 ク ロ ッ ク ポートに none を指定する と、 バッファーは使用されません。

構文

Verilog 構文 

(* buffer_type = "none" *) input in1; //this will result in no buffers(* buffer_type = "ibuf" *) input clk1; //this will result in a clock with no bufg

VHDL 構文

entity test is port(in1 : std_logic_vector (8 downto 0);clk : std_logic;out1 : std_logic_vector(8 downto 0));attribute buffer_type : string;attribute buffer_type of in1 : signal is "none";end test;

Send Feedback

Page 39: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 39UG912 (v2013.4) 2013 年 12 月 20 日

BUFFER_TYPE

XDC 構文

BUFFER_TYPE プロパティは、 XDC 制約ファイルのポート オブジェク トにも使用できます。

set_property BUFFER_TYPE <value> [get_ports <port_name>]

• <value> には、 BUFFER_TYPE の有効な値を指定します。

• <port_name> には、 プロパティを設定するポート名を指定します。

影響を受けるステップ

• 合成

Send Feedback

Page 40: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 40UG912 (v2013.4) 2013 年 12 月 20 日

CFGBVS

CFGBVS

ザイ リ ンクス デバイスは 3.3V、 2.5V、 1.8V の I/O でコンフ ィギュレーシ ョ ン インターフェイスをサポート します。コンフ ィギュレーシ ョ ン インターフェイスには、バンク 0 の JTAG ピン、バンク 0 の専用コンフ ィギュレーシ ョ ン ピン、 バンク 14 と 15 の特定コンフ ィギュレーシ ョ ン モードに関連したピンが含まれます。

バンク 0 で適切なコンフ ィギュレーシ ョ ン インターフェイス電圧をサポートするには、 I/O バンクを 3.3V/2.5 または1.8V 操作用にコンフ ィギュレーシ ョ ンするため、CFGBVS (Configuration Bank Voltage Select) ピンを VCC0 または GNDにそれぞれ設定する必要があ り ます。 CFGBVS は、 VCCO_0 と GND を参照するロジッ ク入力ピンです。 CFGBVS ピンが VCCO_0 電源に接続されている場合、 コンフ ィギュレーシ ョ ン中、 バンク 0 の I/O は 3.3V または 2.5 V での操作をサポート します。 CFGBVS ピンが GND に接続されている場合、 コンフ ィギュレーシ ョ ン中、 バンク 0 の I/O は1.8V での操作をサポート します。

CFGBVS ピンの設定によ り常にバンク 0 の I/O 電圧サポートが決ま り ます。 バンク 14 および 15 のバンク タイプがHR のデバイスの場合は、 「CONFIG_VOLTAGE」 プロパティによって I/O 電圧サポートが決ま り ます。

重要 : CFGBVS が 1.8V I/O 操作用に GND に設定されている場合、 ザイ リ ンクス FPGA への損傷を避けるため、 バンク 0 への VCCO_0 電源および I/O 信号は 1.8V 以下である必要があ り ます。

CFGBVS の詳細については、 『7 シ リーズ FPGA コンフ ィギュレーシ ョ ン ユーザー ガイ ド』 (UG470)[参照 1]または『UltraScale アーキテクチャ コンフ ィギュレーシ ョ ン ユーザー ガイ ド』 (UG570)[参照 4] を参照してください。

デザイ ンの CONFIG_MODE 設定の互換性を確認するため、 Report DRC コマン ド が CFGBVS およびCONFIG_VOLTAGE をチェッ ク します。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• デザイン (current_design、 get_designs)

• VCCO : 3.3V/2.5V 操作用に I/O バンク 0 をコンフ ィギュレーシ ョ ン

• GND : 1.8V 操作用に I/O バンク 0 をコンフ ィギュレーシ ョ ン

構文

Verilog 構文

該当なし

VHDL 構文

該当なし

Send Feedback

Page 41: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 41UG912 (v2013.4) 2013 年 12 月 20 日

CFGBVS

XDC 構文

set_property CFGBVS [VCCO | GND] [current_design]

XDC の構文例

# Configure I/O Bank 0 for 3.3V/2.5V operationset_property CFGBVS VCCO [get_designs impl_1]

影響を受けるステップ

• I/O 配置

• DRC レポート

• write_bitstream

関連項目

46 ページの 「CONFIG_MODE」

48 ページの 「CONFIG_VOLTAGE」

Send Feedback

Page 42: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 42UG912 (v2013.4) 2013 年 12 月 20 日

CLOCK_DEDICATED_ROUTE

CLOCK_DEDICATED_ROUTE

CLOCK_DEDICATED_ROUTE プロパティは、 ターゲッ ト デバイスに対するクロ ッ ク配置ルールに厳密に従うべきかど うかを設定します。

外部ユーザー ク ロ ッ クは、ク ロ ッ ク対応入力 (CCIO) と呼ばれる差動クロ ッ ク ピンのペアから FPGA に供給する必要があ り ます。 これらの CCIO は、 さまざまなク ロ ッ ク供給機能のタイ ミ ングを確約するため、 内部のグローバルおよびリージ ョナル ク ロ ッ ク リ ソースへの専用、 高速配線を提供します。 ク ロ ッ ク配置ルールの詳細については、 『7 シリーズ FPGA ク ロ ッキング ユーザー ガイ ド』 (UG472)[参照 3]または 『UltraScale アーキテクチャ ク ロ ッキング ユーザー ガイ ド』 (UG572)[参照 6] を参照してください。

通常、ターゲッ トの FPGA の専用クロ ッ ク ツ リーから クロ ッ ク配線を外したり、標準配線チャネルを使用する といった目的で、 クロ ッ ク コンポーネン ト を配置する必要が出てきたと きに、 CLOCK_DEDICATED_ROUTE プロパティは使用されます。 専用配線が使用できない場合は、 CLOCK_DEDICATED_ROUTE を FALSE に設定する と、 ク ロ ッ クソースがロード ク ロ ッ ク バッファーに比べて最適ではない位置に配置されている と き、ク ロ ッ ク配置 DRC がエラーから警告になり ます。

注意 : CLOCK_DEDICATED_ROUTE を False にする と、 ク ロ ッ ク遅延が最適ではなくなる可能性があ り、 タイ ミ ングなどの問題が発生するこ とがあ り ます。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• グローバル ク ロ ッ ク バッファー (BUFG、 BUFGCE、 BUFGMUX、 BUGCTRL) の入力に接続されたネッ ト(get_nets)

• TRUE : ク ロ ッ ク配置 DRC 違反がエラーと してレポート されます (デフォルト )。

• FALSE : ク ロ ッ ク配置 DRC 違反が警告に格下げされます。 専用高速クロ ッ ク配線が使用されないよ うにするため、 ク ロ ッ ク コンポーネン ト (BUFG、 MMCM、 PLL など) が配置されるたびにこれを使用する必要があ り ます。

• BACKBONE : 基本的なクロ ッ ク配置ルールに違反するロケーシ ョ ン制約を割り当てる場合はこの値を使用する必要があるこ とがあ り ますが、 通常は推奨されません。 MMCM または PLL がソースの CCIO ピンからかなり離れた位置に配置される場合はこの値を使用します。 ワイヤの長さが長くなる分、 CCIO から MMCM までのタイミ ング パスに遅延が追加されますが、 これは MMCM や PLL フ ィードバッ クによっては完全には削除されません。 遅延が追加されてもデザインのタイ ミ ングが満たされる場合は BACKBONE を使用します。

構文

Verilog 構文

該当なし

VHDL 構文

該当なし

Send Feedback

Page 43: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 43UG912 (v2013.4) 2013 年 12 月 20 日

CLOCK_DEDICATED_ROUTE

XDC 構文

set_property CLOCK_DEDICATED_ROUTE [TRUE | FALSE | BACKBONE] [get_nets net_name]

• net_name は、 グローバル ク ロ ッ ク バッファーの入力に接続された信号名です。

XDC の構文例

# Designates clk_net to have relaxed clock placement rulesset_property CLOCK_DEDICATED_ROUTE FALSE [get_nets clk_net]

影響を受けるステップ

• place_design

• report_drc

Send Feedback

Page 44: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 44UG912 (v2013.4) 2013 年 12 月 20 日

CLOCK_ROOT

CLOCK_ROOT

ク ロ ッ ク ド ラ イバー、 またはルート をターゲッ ト デバイスの特定クロ ッ ク領域に割り当てるために使用します。

CLOCK_ROOT プロパティはデバイス全体でクロ ッ ク スキューを管理しやすくするこ とを目的に使用します。デフォルトでは、すべてのロードに対し、ベス ト な状態でクロ ッ ク遅延のバランスを取るこ とができるよ う、 ク ロ ッ ク ルートがクロ ッ ク ネッ ト ワークの中央に自動的に配置配線ツールによ り割り当てられます。CLOCK_ROOT プロパティを使用する と、 このクロ ッ ク ルート を手動で割り当てるこ とができます。

CLOCK_ROOT プロパティは、 グローバル ネッ ト、 またはそれを駆動するセルに設定するこ とができます。階層ネットの場合は、ネッ トの任意箇所にこのプロパティを割り当てるこ とができますが、プロパティは最上位クロ ッ ク ネットに設定されます。 この割り当てを知らせる メ ッセージが表示されます。

CLOCK_ROOT プロパティはクロ ッ ク リ ソースの配置中に検証・使用されるため、配置前に割り当てておく必要があり ます。 しかし、 配置後に割り当てる場合は、 配置を実行してそれをデザインに反映させる必要があ り ます。

アーキテクチャ  サポート

UltraScale デバイス

適用可能なオブジェク ト

• ネッ ト - グローバル ク ロ ッ ク ネッ ト (get_nets)

• セル - ク ロ ッ ク ネッ ト を駆動するグローバル ク ロ ッ ク バッファー (get_cells)

° BUFGCE

° BUFCTRL

° BUFGCE_DIV

° BUFG_GT

• <clock_region>

ターゲッ ト デバイスのク ロ ッ ク領域の名前を指定します。 または get_clock_regions コマンドによ り渡される クロ ッ ク領域オブジェク トになり ます。

• <object>

ク ロ ッ ク ネッ ト またはネッ ト セグメン ト、 またはクロ ッ ク ネッ ト を駆動するセル (複数指定可能)

構文

Verilog 構文

該当なし

VHDL 構文

該当なし

Send Feedback

Page 45: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 45UG912 (v2013.4) 2013 年 12 月 20 日

CLOCK_ROOT

XDC 構文

set_property CLOCK_ROOT <clock_region> <List of clock nets>

または

set_property CLOCK_ROOT <clock_region> <List of cells driving clock nets>

XDC の構文例

set_property CLOCK_ROOT X0Y0 [get_nets {clk1 clk2}]set_property CLOCK_ROOT [get_clock_regions X0Y0] [get_nets {clk1 clk2}]set_property CLOCK_ROOT X0Y0 [get_cells {clk1_BUFGCE}]

影響を受けるステップ

• 配置

• 配線

Send Feedback

Page 46: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 46UG912 (v2013.4) 2013 年 12 月 20 日

CONFIG_MODE

CONFIG_MODE

CONFIG_MODE プロパテ ィは、 ピン割り当て、 DRC レポート、 ビ ッ ト ス ト リーム生成に対し、 どのデバイス コンフ ィギュレーシ ョ ン モードを使用するかを定義します。

重要 : COMPATIBLE_CONFIG_MODES プロパティは 2013. 3 リ リースで中止とな り、この CONFIG_MODE プロパティに置き換えられています。

ザイ リ ンクス FPGA は、 特別なコンフ ィギュレーシ ョ ン ピンを使用して、 アプ リ ケーシ ョ ン別のコンフ ィギュレーシ ョ ン データまたはビッ ト ス ト リームを内部メモ リに読み込むこ とによって、コンフ ィギュレーシ ョ ンされます。コンフ ィギュレーシ ョ ン データパスには一般的に 2 種類あ り ます。 必要なデバイス ピンの数を最小限に抑えるために使用されるシ リ アル データパス、 よ り 高速なコ ンフ ィ ギュ レーシ ョ ン用のパラ レル データパスの 2 つです。CONFIG_MODE プロパティを使用して、 デザインに対しどのモードを使用するかを定義します。

デバイス コンフ ィギュレーシ ョ ン モードの詳細については、『7 シ リーズ FPGA コンフ ィギュレーシ ョ ン ユーザー ガイ ド』 (UG470)[参照 1]または 『UltraScale アーキテクチャ コンフ ィギュレーシ ョ ン ユーザー ガイ ド』 (UG570)[参照 4]を参照してください。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• デザイン (current_design)

• S_SERIAL

• M_SERIAL

• S_SELECTMAP

• M_SELECTMAP

• B_SCAN

• S_SELECTMAP+READBACK

• M_SELECTMAP+READBACK

• B_SCAN+READBACK

• S_SELECTMAP32

• S_SELECTMAP32+READBACK

• S_SELECTMAP16

• S_SELECTMAP16+READBACK

• SPIx1

• SPIx2

• SPIx4

• BPI8

• BPI16

Send Feedback

Page 47: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 47UG912 (v2013.4) 2013 年 12 月 20 日

CONFIG_MODE

構文

Verilog 構文

該当なし

VHDL 構文

該当なし

XDC 構文

set_property CONFIG_MODE <value> [current_design]

<value> にはコンフ ィギュレーシ ョ ン モードを指定します。

XDC の構文例

# Specify using Configuration Mode Serial Peripheral Interface, 4-bit widthset_property COMPATIBLE_CONFIG_MODES {{Master SPI x4}} [current_design]

影響を受けるステップ

• I/O 配置

• place_design

• report_drc

• write_bitstream

Send Feedback

Page 48: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 48UG912 (v2013.4) 2013 年 12 月 20 日

CONFIG_VOLTAGE

CONFIG_VOLTAGE

ザイ リ ンクス デバイスは 3.3V、 2.5V、 1.8V の I/O でコンフ ィギュレーシ ョ ン インターフェイスをサポート します。コンフ ィギュレーシ ョ ン インターフェイスには、バンク 0 の JTAG ピン、バンク 0 の専用コンフ ィギュレーシ ョ ン ピン、バンク 14 と 15 の特定コンフ ィギュレーシ ョ ン モードに関連したピンが含まれます。CONFIG_VOLTAGE プロパティや VCCO_0 電圧は 3.3、 2.5、 1.8、 または 1.5 に設定できます。

バンク 0 のピンの I/O 電圧サポート を決めるには、 CONFIG_VOLTAGE を正しいコンフ ィギュレーシ ョ ン電圧に設定する必要があ り ます。 コンフ ィギュレーシ ョ ン電圧の詳細については、 『7 シ リーズ FPGA コンフ ィギュレーシ ョ ンユーザー ガイ ド』 (UG470)[参照 1]または 『UltraScale アーキテクチャ コンフ ィ ギュレーシ ョ ン ユーザー ガイ ド』(UG570)[参照 4] を参照してください。

CFGBVS ピンの設定によ り常にバンク 0 の I/O 電圧サポートが決ま り ます。 バンク 14 および 15 のバンク タイプがHR のデバイスの場合は、 CONFIG_VOLTAGE プロパティによって I/O 電圧サポートが決ま り ます。

デザインで CONFIG_MODE 設定の互換性を確認するため、Report DRC チェッ クがバンク 0、14、15 で実行されます。DRC は、そのバンクに対する IOSTANDARD および CONFIG_VOLTAGE 設定に基づいて出力されます。コンフ ィギュレーシ ョ ン電圧は、 IBIS モデルをエクスポートする際にも使用されます。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• デザイン (current_design、 get_designs)

• 1.5、 1.8、 2.5、 または 3.3

構文

Verilog 構文

該当なし

VHDL 構文

該当なし

XDC 構文

set_property CONFIG_VOLTAGE {1.5 | 1.8 | 2.5 | 3.3} [current_design]

XDC の構文例

# Configure I/O Bank 0 for 3.3V/2.5V operationset_property CONFIG_VOLTAGE 1.8 [get_designs impl_1]

Send Feedback

Page 49: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 49UG912 (v2013.4) 2013 年 12 月 20 日

CONFIG_VOLTAGE

影響を受けるステップ

• place_design

• report_drc

• write_bitstream

関連項目

40 ページの 「CFGBVS」

46 ページの 「CONFIG_MODE」

Send Feedback

Page 50: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 50UG912 (v2013.4) 2013 年 12 月 20 日

DCI_CASCADE

DCI_CASCADE

DCI_CASCADE は、 ハイ パフォーマンス (HP) I/O バンクのグループ内でのマスター /スレーブ関係を定義します。 デ

ジタル制御インピーダンス (DCI) の基準電圧は、 マスターの I/O バンクからスレーブの I/O バンクまでチェーン接続

されています。

DCI_CASCADE は、 どの隣接バンクが DCI カスケード機能を使用するかを指定するので、 基準抵抗器をマスター バンク と共有するこ とにな り ます。 同じ I/O バンク列にある複数の I/O バンクが DCI を使用していて、 同じ VRN/VRP抵抗値を使用する場合、 1 ペアのピンだけを高精度抵抗器に接続すればよいよ うにするため、 内部 VRN および VRPノードがカスケード されます。 DCI_CASCADE はマスター バンク、 およびこの機能に関連付けられているすべてのスレーブ バンクを識別します。 詳細については、 『7 シ リーズ FPGA SelectIO リ ソース ユーザー ガイ ド』 (UG471)[参照 2]または 『UltraScale アーキテクチャ SelectIO リ ソース ユーザー ガイ ド』 (UG571)[参照 5] を参照してください。

アーキテクチャ  サポート

• Kintex®-7 デバイス

• Kintex UltraScale デバイス

• Virtex®-7 デバイス

• Virtex UltraScale デバイス

• 大型の Zynq® デバイス

適用可能なオブジェク ト

• I/O バンク (get_iobanks)

° ハイ パフォーマンス (HP) バンク タイプ

有効なハイ パフォーマンス (HP) バンク番号

構文

Verilog 構文

該当なし

VHDL 構文

該当なし

Send Feedback

Page 51: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 51UG912 (v2013.4) 2013 年 12 月 20 日

DCI_CASCADE

XDC 構文

set_property DCI_CASCADE {slave_banks} [get_iobanks master_bank]

• slave_banks はスレーブ バンクのバンク番号のリ ス トです。

• master_bank は指定されたマスター バンクのバンク番号です。

XDC の構文例

# Designate Bank 14 as a master DCI Cascade bank and Banks 15 and 16 as its slavesset_property DCI_CASCADE {15 16} [get_iobanks 14]

影響を受けるステップ

• I/O 配置

• place_design

• DRC

• write_bitstream

• report_power

Send Feedback

Page 52: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 52UG912 (v2013.4) 2013 年 12 月 20 日

DIFF_TERM

DIFF_TERM

差動終端 (DIFF_TERM) プロパティは入力および双方向ポートの差動 I/O 規格をサポート します。 ビルト インされた、100オームの差動終端をイネーブル/ディ スエーブルするのに使用します。 詳細は、 『7 Series FPGAs SelectIO リ ソースユーザー ガイ ド』 (UG471) [参照 2]を参照してください。

DIFF_TERM は、 差動の入力および双方向ポート バッファーに差動終端を使用する必要があ り、 また Vivado ツールがポートにオンチップ終端を追加する必要のあるこ とを示します。

アーキテクチャ  サポート

7 シ リーズ デバイス

推奨 : UltraScale アーキテクチャ デバイスの場合は、差動終端をイネーブルにするため、 「DIFF_TERM_ADV」 を使用する必要があ り ます。

適用可能なオブジェク ト

• ポート (get_ports)

° 差動入力バッファーに接続された入力ポート または双方向ポート

• セル (get_cells)

° 差動入力または双方向バッファー (すべてのタイプの IBUFDS および IOBUFDS)

• 次の I/O 規格のいずれかを使用しているエレ メン ト :

° LVDS

° LVDS_25

° MINI_LVDS_25

° PPDS_25

° RSDS_25

• FALSE (デフォルト )

差動終端はディ スエーブルになり ます。

• TRUE

差動終端はイネーブルになり ます。

構文

推奨 : 言語テンプレート または 『Vivado Design Suite 7 シ リーズ ラ イブラ リ ガイ ド 』 (UG953) [参照 12]からのインスタンシエーシ ョ ン テンプレート を使用して、 適切な構文を指定してください。

Send Feedback

Page 53: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 53UG912 (v2013.4) 2013 年 12 月 20 日

DIFF_TERM

Verilog 構文

DIFF_TERM を設定するには、 インスタンシエート された差動バッファーに DIFF_TERM パラ メーターを割り当てます。

Verilog の構文例

次の例では、 clk_ibufds という IBUFDS インスタンスで差動終端をイネーブルにしています。

// IBUFDS:Differential Input Buffer // Virtex-7 // Xilinx HDL Language Template, version 2013.4 IBUFDS #( .DIFF_TERM("TRUE"), // Differential Termination .IBUF_LOW_PWR("TRUE"), // Low power="TRUE", Highest performance="FALSE" for the specified IOSTANDARD .IOSTANDARD("DEFAULT") // Specify the input I/O standard ) clk_ibufds ( .O(clk), // Buffer output .I(CLK_p), // Diff_p buffer input (connect directly to top-level port) .IB(CLK_n) // Diff_n buffer input (connect directly to top-level port) ); // End of clk_ibufds instantiation

VHDL 構文

DIFF_TERM を設定するには、 インスタンシエート された差動バッファーに DIFF_TERM ジェネ リ ッ クを割り当てます。

VHDL の構文例

次の例では、 clk_ibufds という IBUFDS インスタンスで差動終端をイネーブルにしています。

-- IBUFDS:Differential Input Buffer-- Xilinx HDL Language Template, version 2013.4 clk_ibufds :IBUFDS generic map ( DIFF_TERM => TRUE, -- Differential Termination IBUF_LOW_PWR => TRUE, -- Low power (TRUE) vs. performance (FALSE) setting IOSTANDARD => "DEFAULT") port map ( O => clk, -- Buffer output I => CLK_p, -- Diff_p buffer input (connect directly to top-level port) IB => CLK_n -- Diff_n buffer input (connect directly to top-level port) ); -- End of clk_ibufds instantiation

XDC 構文

set_property DIFF_TERM TRUE [get_ports port_name]

• set_property DIFF_TERM はポート オブジェク トに割り当てるこ とができます。

• port_name は差動バッファーに接続される入力ポート または双方向ポートです。

Send Feedback

Page 54: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 54UG912 (v2013.4) 2013 年 12 月 20 日

DIFF_TERM

XDC の構文例

# Enables differential termination on port named CLK_pset_property DIFF_TERM TRUE [get_ports CLK_p]

その他の XDC の構文例

このプロパティは、 バッファー インスタンスに適用できます。

set_property DIFF_TERM TRUE [get_cells instance_name]

• instance_name は入力または双方向差動バッファー インスタンスです。

# Enables differential termination on buffer instance clk_ibufdsset_property DIFF_TERM TRUE [get_ports clk_ibufds]

影響を受けるステップ

• I/O 配置

• report_ssn

• report_power

関連項目

• 55 ページの 「DIFF_TERM_ADV」

• 82 ページの 「IBUF_LOW_PWR」

• 96 ページの 「IOSTANDARD」

Send Feedback

Page 55: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 55UG912 (v2013.4) 2013 年 12 月 20 日

DIFF_TERM_ADV

DIFF_TERM_ADV

アドバンス差動終端 (DIFF_TERM_ADV) プロパティは UltraScale アーキテクチャでのみ使用するもので、入力または双方向ポートの、 ビルト インされた 100 オームの差動終端をイネーブル/ディ スエーブルするために使用します。

DIFF_TERM_ADV は入力および双方向ポート にのみ使用でき、 また適切な VCCO 電圧でしか使用できません。 100オームの実効差動終端を提供するには、 I/O バンクの VCCO は、HP I/O バンクの場合は 1.8V に接続し、 HR I/O バンクの場合は 2.5V に接続する必要があ り ます。 詳細は、 『UltraScale Series FPGAs SelectIO リ ソース ユーザー ガイ ド』(UG571) [参照 5]を参照してください。

ヒン ト : 7 シ リーズ デザインを UltraScale アーキテクチャに移行するには、「DIFF_TERM」 プロパティを使用する と適切な DIFF_TERM_ADV 値に自動的にアップデート されます。

DIFF_TERM_ADV および DIFF_TERM は、 差動の入力および双方向ポート バッファーに差動終端を使用する必要があ り、 また Vivado Design Suite がポートにオンチップ終端を追加する必要のあるこ とを示します。

アーキテクチャ  サポート

UltraScale

適用可能なオブジェク ト

• ポート (get_ports)

° 差動入力バッファーに接続された入力ポート または双方向ポート

• セル (get_cells)

° 差動入力または双方向バッファー (すべてのタイプの IBUFDS および IOBUFDS)

• 次の I/O 規格のいずれかを使用しているオブジェク ト :

° LVDS、 LVDS_25 および MINI_LVDS_25

° LVPECL

° PPDS_25

° RSDS_25

° SLVS_400_25、 および SLVS_400_18

Send Feedback

Page 56: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 56UG912 (v2013.4) 2013 年 12 月 20 日

DIFF_TERM_ADV

• TERM_100 - オンチップ差動終端で100 オームを使用する。

• TERM_NONE (デフォルト ) - オンチップ差動終端を使用しない。

注記 : UltraScale デバイスには DIFF_TERM プロパティ も使用できます。

° DIFF_TERM = TRUE の場合、 DIFF_TERM_ADV = TERM_100 になり ます。

° DIFF_TERM = FALSE の場合、 DIFF_TERM_ADV = TERM_NONE になり ます。

構文

Verilog 構文

該当なし

VHDL 構文

該当なし

XDC 構文

set_property DIFF_TERM_ADV TERM_100 [get_ports port_name]

• set_property DIFF_TERM_ADV は入力または双方向ポート、 または差動バッファーに割り当てるこ とができます。

• port_name は差動バッファーに接続される入力ポート または双方向ポートです。

XDC の構文例

# Enables differential termination on port named CLK_pset_property DIFF_TERM_ADV TERM_100 [get_ports CLK_p]

影響を受けるステップ

• I/O 配置

• report_ssn

• report_power

関連項目

• 52 ページの 「DIFF_TERM」

• 96 ページの 「IOSTANDARD」

Send Feedback

Page 57: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 57UG912 (v2013.4) 2013 年 12 月 20 日

DONT_TOUCH

DONT_TOUCH

DONT_TOUCH は、 最適化がバウンダ リ を越えて実行されないよ うにするため、 ユーザー階層またはインスタンシエート されたコンポーネン ト を最適化しないよ うに指定します。 これでフロアプラン、 解析、 デバッグがしやすくなり ますが、 最適化が抑止されるので、 デザインが大き く、 遅くなってしま う こ とがあ り ます。

推奨 : DONT_TOUCH が適用されているモジュール インスタンスの出力すべてにレジスタを付けます。 この属性は、合成前に適用する と最も効果的です。

また、 合成およびバ ッ ク エン ド の最適化までネ ッ ト を保持で き る よ う にする ため、 デバ ッ グ用にネ ッ ト にDONT_TOUCH を設定するこ と もできます。ネッ トに設定された DONT_TOUCH はそのネッ トが保持されるこ とのみを確約し、ド ライバーや駆動されているロジッ クは変更する可能性があ り ます。階層ネッ トの場合は、DONT_TOUCHが設定されている部分のみが保持されるので、保持する必要のなるセグメン トにはすべて DONT_TOUCH を設定する必要があ り ます。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• セル (get_cells)

• ネッ ト (get_nets)

• FALSE (デフォルト )

階層を越えて最適化されます。

• TRUE

最適化が階層バウンダ リ を越えて行われず、階層が保持されます。 インスタンシエート されたコンポーネン ト またはネッ トが最適化によ り削除されてしまわないよ う、 保持されます。

Send Feedback

Page 58: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 58UG912 (v2013.4) 2013 年 12 月 20 日

DONT_TOUCH

構文

Verilog 構文

Verilog 属性をユーザーの階層インスタンシエーシ ョ ンの直前に配置します。

(* DONT_TOUCH = "{TRUE|FALSE}" *)

Verilog の構文例

// Preserve the hierarchy of instance CLK1_rst_sync(* DONT_TOUCH = "TRUE" *) reset_sync #( .STAGES(5) ) CLK1_rst_sync ( .RST_IN(RST | ~LOCKED), .CLK(clk1_100mhz), .RST_OUT(rst_clk1) );

VHDL 構文

VHDL 属性は次のよ うに宣言します。

attribute DONT_TOUCH : string;

VHDL 属性は次のよ うに指定します。

attribute DONT_TOUCH of name: label is "{TRUE|FALSE}";

• name はユーザー定義のインスタンスの名前です。

VHDL の構文例

attribute DONT_TOUCH : string;-- Preserve the hierarchy of instance CLK1_rst_syncattribute DONT_TOUCH of CLK1_rst_sync: label is "TRUE";… CLK1_rst_sync : reset_sync PORT MAP ( RST_IN => RST_LOCKED, CLK => clk1_100mhz, RST_OUT => rst_clk1 );

Send Feedback

Page 59: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 59UG912 (v2013.4) 2013 年 12 月 20 日

DONT_TOUCH

XDC 構文

set_property DONT_TOUCH {TRUE|FALSE} [get_cells <instance_name>]set_property DONT_TOUCH {TRUE|FALSE} [get_nets <net_name>]

• instance_name はリーフ セルまたは階層セルになり ます。

• net_name は階層ネッ トの名前になり ます。

XDC の構文例

# Preserve the hierarchy of instance CLK1_rst_syncset_property DONT_TOUCH TRUE [get_cells CLK1_rst_sync]

# Preserve all segments of the hierarchical net named by the Tcl variablesset_property DONT_TOUCH [get_nets -segments $hier_net]

影響を受けるステップ

• synth_design

• opt_design

• phys_opt_design

• floorplanning

Send Feedback

Page 60: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 60UG912 (v2013.4) 2013 年 12 月 20 日

DQS_BIAS

DQS_BIAS

DQS_BIAS は差動入力バッファーまたは双方向バッファー プリ ミ ティブ (IBUFDS、 IOBUFDS) のプロパティです。

これは一部の擬似差動 I/O 規格 (DIFF_SSTL) と真の差動 I/O 規格 (LVDS) の入力にオプシ ョ ンの DC バイアスを加えます。バッファーを駆動しているものが何もない場合、擬似差動 I/O 規格でロジッ ク ステートが未知にならないよ うに、 DQS_BIAS は弱いバイアスを加えます。

DQS_BIAS は、 一部の DQS メモ リ インターフェイス ピンに必要なプルアップ/プルダウンを提供します。

推奨 : DQS_BIAS はデザインのロジッ ク ファンクシ ョ ンに影響を与えるため、シ ミ ュレーシ ョ ンを正し くサポートするには、 Verilog パラ メーター文、 または VHDL generic_map を使用して定義する必要があ り ます。 しかし、 これはXDC プロパティ と してもサポート されています。

ハイ パフォーマンス (HP) I/O バンクでは、 DQS_BIAS は LVDE などの差動入力をサポートするために使用できます。DQS_BIAS を使用するこ とによ り、 AC カップルされた LVDS アプリ ケーシ ョ ンで DC バイアスを加えるこ とができます。 詳細は、 『7 シ リーズ FPGA SelectIO リ ソース ユーザー ガイ ド』 (UG471)[参照 2]または 『UltraScale アーキテクチャ SelectIO リ ソース ユーザー ガイ ド』 (UG571)[参照 5] を参照してください。

注記 :真の差動 I/O 規格のハイ レンジ (HR) I/O バンクでは DQS_BIAS は使用できません。

アーキテクチャ  サポート

UltraScale または 7 シ リーズ アーキテクチャ

適用可能なオブジェク ト

• ポート (get_ports)

° 差動入力バッファー : IBUFDS、 IBUFDS_IBUFDISABLE、 IBUFDS_INTERMDISABLE、 IBUFDSE3

° 差動 I/O バッファー : IOBUFDS、 IOBUFDS_DCIEN、 IOBUFDS_INTERMDISABLE、 IOBUFDSE3、 IBUFGDS

• TRUE : 入力および双方向バッファーに DC バイアスを加えます。

• FALSE : バッファーの DQS_BIAS をディ スエーブルにします。

注記 : 「EQUALIZATION」 = EQ_NONE のと き、 DQS_BIAS は FALSE に設定する必要があ り ます。 これ以外のEQUALIZATION の値であれば (EQ_LEVEL1、 EQ_LEVEL2...)、 DQS_BIAS は TRUE または FALSE のどちらにでも設定できます。

構文

Verilog 構文

インスタンシエート された差動バッファーに DQS_BIAS パラ メーターを割り当てます。

注記 : I/O バッファーを推論する際にこの属性を設定するには、 適切な Verilog 属性構文を最上位出力ポート宣言の前に配置します。

Send Feedback

Page 61: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 61UG912 (v2013.4) 2013 年 12 月 20 日

DQS_BIAS

Verilog の構文例

次の例では、 clk_ibufds という IBUFDS インスタンスで差動終端をイネーブルにしています。

// IBUFDS:Differential Input Buffer// Virtex UltraScale// Xilinx HDL Language Template, version 2013.4IBUFDS #(.DIFF_TERM_ADV("TERM_100"), // Differential Termination.DQS_BIAS("FALSE"), // (FALSE, TRUE).IBUF_LOW_PWR("TRUE"), // .IOSTANDARD("LVDS_25") // Specify the input I/O standard) clk_ibufds (

.O(clk), // Buffer output

.I(CLK_p), // Diff_p buffer input (connect directly to top-level port)

.IB(CLK_n) // Diff_n buffer input (connect directly to top-level port));// End of clk_ibufds instantiation

VHDL 構文

インスタンシエート された差動バッファーにジェネ リ ッ ク DQS_BIAS を割り当てます。

VHDL の構文例

次の例では、 clk_ibufds という IBUFDS インスタンスで DQS_BIAS をイネーブルにしています。

-- IBUFDS:Differential Input Buffer-- Virtex UltraScale-- Xilinx HDL Language Template, version 2013.4

clk_ibufds :IBUFDSgeneric map (DIFF_TERM_ADV => TERM_100, -- Differential TerminationDQS_BIAS => "TRUE" -- (FALSE, TRUE)IOSTANDARD => "LVDS_25")

port map ( O => clk, -- Buffer output I => CLK_p, -- Diff_p buffer input (connect directly to top-level port) IB => CLK_n -- Diff_n buffer input (connect directly to top-level port) ); -- End of clk_ibufds instantiation

XDC 構文

XDC ファ イルでは DQS_BIAS 属性を次のよ うな構文で使用します。

set_property DQS_BIAS [TRUE | FALSE] [get_cells instance_name]

• set_property DQS_BIAS は入力または双方向ポート、 または差動バッファーに割り当てるこ とができます。

• instance_name は入力または双方向差動バッファー インスタンスです。

XDC の構文例

# Enable DQS_BIAS on the specified bufferset_property DQS_BIAS TRUE [get_cells clk_ibufds]

Send Feedback

Page 62: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 62UG912 (v2013.4) 2013 年 12 月 20 日

DQS_BIAS

影響を受けるステップ

• 合成

• シ ミ ュレーシ ョ ン

関連項目 

• 66 ページの 「EQUALIZATION」

Send Feedback

Page 63: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 63UG912 (v2013.4) 2013 年 12 月 20 日

DRIVE

DRIVE

DRIVE は、プログラム可能な出力駆動電流をサポートする I/O 規格でコンフ ィギュレーシ ョ ンされた出力バッファーに対し、 出力バッファーの駆動電流を mA で指定します。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• ポート (get_ports)

° 接続された出力ポート または双方向ポート

• セル (get_cells)

° 出力バッファー (すべてのタイプの OBUF)

整数値 :

• 2

• 4

• 6

• 8

• 12 (デフォルト )

• 16

• 24 (UltraScale アーキテクチャにはこの値は使用できません)

構文

Verilog 構文

I/O バッファーを推論する際にこの属性を設定するには、適切な Verilog 属性構文を最上位出力ポート宣言の前に配置します。

(* DRIVE = "{2|4|6|8|12|16|24}" *)

Verilog の構文例

// Sets the drive strength on the STATUS output port to 2 mA(* DRIVE = "2" *) output STATUS,

その他の Verilog の構文例

出力または双方向バッファーがインスタンシエート される場合、インスタンシエート された出力バッファーに DRIVEパラ メーターを割り当てる と、 DRIVE を設定できます。

Send Feedback

Page 64: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 64UG912 (v2013.4) 2013 年 12 月 20 日

DRIVE

推奨 : 言語テンプレー ト または 『Vivado Design Suite 7 シ リ ーズ ラ イブラ リ ガイ ド 』 (UG953) [参照 12]、 または『UltraScale アーキテクチャ ラ イブラ リ ガイ ド』 (UG974) [参照 13]からのインスタンシエーシ ョ ン テンプレート を使用して、 適切な構文を指定してください。

次の例では、 status_obuf という名前の OBUF インスタンスに駆動電流を 2mA に設定しています。

// OBUF:Single-ended Output Buffer // Virtex-7 // Xilinx HDL Language Template, version 2013.4OBUF #( .DRIVE(2), // Specify the output drive strength .IOSTANDARD("DEFAULT"), // Specify the output I/O standard .SLEW("SLOW") // Specify the output slew rate ) status_obuf ( .O(STATUS), // Buffer output (connect directly to top-level port) .I(status_int) // Buffer input );// End of status_obuf instantiation

VHDL 構文

I/O バッファーを推論する際にこの属性を設定するには、 適切な VHDL 属性構文を最上位出力ポート宣言の前に配置します。

VHDL 属性は次のよ うに宣言します。

attribute DRIVE : integer;

VHDL 属性は次のよ うに指定します。

attribute DRIVE of port_name : signal is value;

• port_name は最上位出力ポートです。

VHDL の構文例

STATUS : out std_logic;attribute DRIVE : integer;-- Sets the drive strength on the STATUS output port to 2 mAattribute DRIVE of STATUS : signal is 2;

その他の VHDL の構文例

出力または双方向バッファーがインスタンシエート される場合、インスタンシエート された出力バッファーに DRIVEジェネ リ ッ クを割り当てる と、 DRIVE を設定できます。

The following example sets the drive strength on the OBUF instance named status_obuf to 2 mA. -- OBUF:Single-ended Output Buffer -- Virtex-7 -- Xilinx HDL Language Template, version 2013.4status_obuf :OBUF generic map ( DRIVE => 2, IOSTANDARD => "DEFAULT", SLEW => "SLOW") port map (

Send Feedback

Page 65: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 65UG912 (v2013.4) 2013 年 12 月 20 日

DRIVE

O => STATUS, -- Buffer output (connect directly to top-level port) I => status_int -- Buffer input );-- End of status_obuf instantiation

XDC 構文

set_property DRIVE value [get_ports port_name]

• port_name は出力または双方向ポートです。

XDC の構文例

# Sets the drive strength of the port STATUS to 2 mAset_property DRIVE 2 [get_ports STATUS]

影響を受けるステップ

• I/O 配置

• ノ イズ レポート

• 消費電力レポート

関連項目

詳細は、 『Vivado Design Suite 7 シ リーズ FPGA ラ イブラ リ ガイ ド』 (UG953) [参照 12]または 『UltraScale アーキテクチャ ライブラ リ ガイ ド』 (UG974) [参照 13]を参照してください。

• OBUF

• OBUFT

• IOBUF

Send Feedback

Page 66: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 66UG912 (v2013.4) 2013 年 12 月 20 日

EQUALIZATION

EQUALIZATION

EQUALIZATION は伝送ラインでの周波数に依存した減衰をなくすめ、 差動レシーバーで使用でき、 特定の I/O 規格をインプ リ メン ト します。

リ ニア レシーバーの EQUALIZATION はレシーバーで AC ゲインを提供し、伝送ラインでの高周波ロスを補正します。

ヒン ト : 全体的なシグナル インテグ リティを向上させるため、 レシーバーでのイコライゼーシ ョ ンは、 ト ランス ミ ッターでの 「PRE_EMPHASIS」 と組み合わせるこ とができます。

アーキテクチャ  サポート

UltraScale デバイス

適用可能なオブジェク ト

• ポート (get_ports)

重要 : EQUALIZATION の値は特にキャ リブレーシ ョ ンされていません。 デザインで使用されている周波数と伝送ラインに合わせたベス ト な設定を決めるには、 シ ミ ュレーシ ョ ンを実行するこ とを推奨します。 場合によっては、 イコライゼーシ ョ ンのレベルが低いほうが高い場合よ り もよい結果を生むこ とがあ り ます。イコライゼーシ ョ ンのレベルを上げ過ぎる と、 信号の質を改善するよ り も悪化させるこ とがあ り ます。

EQUALIZATION 属性に使用できる値は次のとおりです。

• HP I/O バンク

° EQ_LEVEL0

° EQ_LEVEL1

° EQ_LEVEL2

° EQ_LEVEL3

° EQ_LEVEL4

° EQ_NONE (デフォルト )

• HR I/O バンク

° EQ_LEVEL0、 EQ_LEVEL0_DC_BIAS

° EQ_LEVEL1、 EQ_LEVEL1_DC_BIAS

° EQ_LEVEL2、 EQ_LEVEL2_DC_BIAS

° EQ_LEVEL3、 EQ_LEVEL3_DC_BIAS

° EQ_LEVEL4、 EQ_LEVEL4_DC_BIAS

° EQ_NONE (デフォルト )

Send Feedback

Page 67: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 67UG912 (v2013.4) 2013 年 12 月 20 日

EQUALIZATION

構文

Verilog 構文

該当なし

VHDL 構文

該当なし

XDC 構文

XDC ファ イルでは EQUALIZATION 属性を次のよ うな構文で使用します。

set_property EQUALIZATION value [get_ports port_name]

• set_property EQUALIZATION は入力バッファーでのリニア イコライゼーシ ョ ンをイネーブルにします。

• <Value> には指定のポートに対しサポート されている EQUALIZATION 値の 1 つが入り ます。

• port_name は差動バッファーに接続される入力ポート または双方向ポートです。

影響を受けるステップ

関連項目 

• 112 ページの 「LVDS_PRE_EMPHASIS」

• 134 ページの 「PRE_EMPHASIS」

Send Feedback

Page 68: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 68UG912 (v2013.4) 2013 年 12 月 20 日

FSM_ENCODING

FSM_ENCODING

FSM_ENCODING は、 合成中のステート マシンのエンコード方法を指定します。

デフォルトでは、デザインに対しベス ト なソ リ ューシ ョ ンを決める内部アルゴ リズムに基づいて、Vivado 合成ツールがステート マシンのエンコーディング プロ ト コルを選択します。 しかし、 FSM_ENCODING プロパティを使用する場合は、 ユーザーがステート マシンのエンコーディングを指定するこ とができます。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• ステート マシン レジスタ

• off - Vivado 合成のステート マシン エンコーディングをディ スエーブルにします。 この場合、 ステート マシンはロジッ ク と して合成されます。

• one_hot

• sequential

• johnson

• gray

• auto - FSM_ENCODING が指定されていない場合のデフォルト値です。Vivado 合成でベス ト なステート マシン エンコード形式が選択されます。 この場合、 同じデザインでもそれぞれの FSM に異なるエンコードが使用されるこ とがあ り ます。

Verilog 構文

(* fsm_encoding = "one_hot" *) reg [7:0] my_state;

VHDL 構文

type count_state is (zero, one, two, three, four, five, six, seven);signal my_state : count_state;attribute fsm_encoding : string;attribute fsm_encoding of my_state : signal is "sequential";

XDC 構文

該当なし

影響を受けるステップ

• 合成

Send Feedback

Page 70: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 70UG912 (v2013.4) 2013 年 12 月 20 日

FSM_SAFE_STATE

FSM_SAFE_STATE 

Vivado 合成は、 「FSM_ENCODING」 プロパティ または Vivado 合成の -fsm_extraction コマンド ライン オプシ ョ ンで指定されるさまざまなコンフ ィギュレーシ ョ ンで、有限ステート マシンの抽出をサポート しています。詳細は、『VivadoDesign Suite ユーザー ガイ ド : 合成』 (UG901)[参照 8] を参照してください。

しかし、 ステート マシンは、デザインがエラーになってしま う無効ステート、 または到達不可能なステートに遷移するこ とがあ り ます。有限ステート マシン (FSM) が無効なステートに遷移した場合、 FSM が Vivado 合成で合成されると きに、 FSM_SAFE_STATE は回復ステート を定義します。

ヒン ト : 到達不可能ステートは、 デフォルト ケースを使用してステート マシンの HDL 定義で管理するこ と もできますが、 FSM_SAFE_STATE プロパティはデザインの安全装置と して使用するこ とができます。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• デザイン (current_design)

• reset_state - Vivado 合成で指定されているよ うに RESET ステート までステート マシンを再実行します。

• power_on_state - Vivado 合成で指定されているよ うに POWER_ON ステート までステート マシンを再実行します。

構文

Verilog 構文

(* fsm_safe_state = "reset_state" *) reg [2:0] state;

VHDL 構文

attribute fsm_safe_state : string;attribute fsm_safe_state of state : signal is "power_on_state";

XDC 構文

該当なし

影響を受けるステップ

• 合成

Send Feedback

Page 72: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 72UG912 (v2013.4) 2013 年 12 月 20 日

H_SET および HU_SET

H_SET および HU_SETHDL ソース ファ イルで定義されているよ うに、 デザインの階層に基づいてまとめられたロジッ ク エレ メン トの集合体が階層セッ トです。 H_SET、 HU_SET、 U_SET は HDL デザイン ソース ファイル内の属性で、 合成されたデザインやインプリ メ ン ト されたデザインには現われません。 これらは、 RPM (Relatively Placed Macro) を RTL デザインで定義する と きに使用されます。これらのプロパティの使用および RPM の定義については、『Vivado Design Suite ユーザーガイ ド : 制約の使用』 (UG903) を[参照 9]参照してください。

デザインの階層にあるロジッ ク セルに RLOC プロパティが設定されている と、 H_SET は暗示的に使用されます。 ある階層ブロ ッ ク内のロジッ ク エレ メン トで、RLOC プロパティが設定されているものは、同じ階層セッ ト (H_SET) に自動的に割り当てられます。

モジュールのインスタンス名に基づいて、 各階層モジュールに H_SET プロパテ ィが割り当てられます。 各階層モジュールに H_SET 名は 1 つしかない場合があ り、またその階層内のすべてのロジッ ク エレ メン トは、その H_SET のエレ メン トになり ます。

注記 : HU_SET または U_SET が定義されていないが、 RLOC が定義されている場合は、 H_SET のみが定義されます。

また、 デザインの階層に依存しない ユーザー定義階層セッ ト (HU_SET) またはユーザー定義セッ ト (U_SET) を手動で作成するこ とができます。

1 つの階層モジュールに対し複数の HU_SET 名を定義し、特定階層のインスタンスを特定 HU_SET に割り当てるこ とができます。 これで、 1 つの階層モジュールのロジッ ク エレ メン ト を複数の HU_SET に分けるこ とができます。

重要 : H_SET または HU_SET を使用している場合、合成されたデザインで RPM の階層を保持するには、 Vivado 合成で KEEP_HIERARCHY プロパティ も必要になり ます。

RTL ソース ファ イルに RLOC もある場合は、 H_SET、 HU_SET、 U_SET プロパティは、 合成後ネッ ト リ ス ト でセルに対する読み出し専用の RPM プロパティに変換されます。 Vivado Design Suite のテキス ト エディ ターで RTL ソースファ イルを開く と、 HU_SET および U_SET が表示されますが、 セル オブジェク トの [Properties] ビューでは RPM プロパティが表示されます。

アーキテクチャ  サポート

すべてのアーキテクチャ

Send Feedback

Page 73: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 73UG912 (v2013.4) 2013 年 12 月 20 日

H_SET および HU_SET

適用可能なオブジェク ト

次のデザイン エレ メ ン ト 、 またはそのカテゴ リーで HU_SET は使用するこ とができます。 詳細は、 『Vivado DesignSuite 7 シ リーズ FPGA ラ イブラ リ ガイ ド』 (UG953) [参照 12]または 『UltraScale アーキテクチャ ラ イブラ リ ガイ ド』 (UG974) [参照 13]を参照して ください。

• レジスタ

• LUT

• マクロ インスタンス

• RAMS

• RAMD

• RAMB18/FIFO18

• RAMB36/FIFO36

• DSP48

• NAME : HU_SET の名前

構文

Verilog 構文

これは、 合成後ネッ ト リ ス トで RPM を定義する階層ブロ ッ クのセッ トの内容を定義するため、 RLOC プロパティ と組み合わせた Verilog 構文です。 Verilog 属性はロジッ ク エレ メン トのインスタンシエーシ ョ ン直前に配置します。

(* RLOC = "X0Y0", HU_SET = "h0" *) FD sr0 (.C(clk), .D(sr_1n), .Q(sr_0));

Verilog 例

これは、モジュールでのシフ ト レジスタ フ リ ップフロ ップの RLOC および HU_SET プロパティを定義する Verilog モジュールです。

module ffs ( input clk, input d, output q );

wire sr_0, sr_0n; wire sr_1, sr_1n; wire sr_2, sr_2n; wire sr_3, sr_3n; wire sr_4, sr_4n; wire sr_5, sr_5n; wire sr_6, sr_6n; wire sr_7, sr_7n; wire inr, inrn, outr;

inv i0 (sr_0, sr_0n); inv i1 (sr_1, sr_1n); inv i2 (sr_2, sr_2n);

Send Feedback

Page 74: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 74UG912 (v2013.4) 2013 年 12 月 20 日

H_SET および HU_SET

inv i3 (sr_3, sr_3n); inv i4 (sr_4, sr_4n); inv i5 (sr_5, sr_5n); inv i6 (sr_6, sr_6n); inv i7 (sr_7, sr_7n); inv i8 (inr, inrn);

(* RLOC = "X0Y0", HU_SET = "h0" *) FD sr0 (.C(clk), .D(sr_1n), .Q(sr_0)); (* RLOC = "X0Y0", HU_SET = "h0" *) FD sr1 (.C(clk), .D(sr_2n), .Q(sr_1)); (* RLOC = "X0Y1", HU_SET = "h0" *) FD sr2 (.C(clk), .D(sr_3n), .Q(sr_2)); (* RLOC = "X0Y1", HU_SET = "h0" *) FD sr3 (.C(clk), .D(sr_4n), .Q(sr_3)); (* RLOC = "X0Y0", HU_SET = "h1" *) FD sr4 (.C(clk), .D(sr_5n), .Q(sr_4)); (* RLOC = "X0Y0", HU_SET = "h1" *) FD sr5 (.C(clk), .D(sr_6n), .Q(sr_5)); (* RLOC = "X0Y1", HU_SET = "h1" *) FD sr6 (.C(clk), .D(sr_7n), .Q(sr_6)); (* RLOC = "X0Y1", HU_SET = "h1" *) FD sr7 (.C(clk), .D(inrn), .Q(sr_7)); (* LOC = "SLICE_X0Y0" *) FD inq (.C(clk), .D(d), .Q(inr)); FD outq (.C(clk), .D(sr_0n), .Q(outr));

assign q = outr; endmodule // ffs

先ほどの例では、 ffs モジュールのインスタンスに KEEP_HIERARCHY プロパティを指定して、 階層を保持し、 合成されたデザインで RPM を定義する必要があ り ます。

module top ( input clk, input d, output q );

wire c1, c2;

(* KEEP_HIERARCHY = "YES" *) ffs u0 (clk, d, c1); (* KEEP_HIERARCHY = "YES" *) ffs u1 (clk, c1, c2); (* KEEP_HIERARCHY = "YES" *) ffs u2 (clk, c2, q);

endmodule // top

VHDL 構文

VHDL 属性は次のよ うに宣言します。

attribute HU_SET : string;

VHDL 制約は次のよ うに指定します。

attribute HU_SET of {component_name | entity_name | label_name} :{component|entity|label} is "NAME";

• {component_name | entity_name | label_name} にはデザイン エレ メン ト を指定します。

• {component|entity|label} は指定したデザイン エレ メン トのインスタンス ID です。

• "NAME" には HU_SET の名前を指定します。

Send Feedback

Page 75: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 75UG912 (v2013.4) 2013 年 12 月 20 日

H_SET および HU_SET

XDC 構文

HU_SET プロパティは XDC 制約を使用しては定義できません。 RLOC と と もにロジッ ク エレ メン トに設定されている HU_SET プロパティは、RPM を定義し、その結果合成されたデザインのネッ ト リ ス トに読み出し専用の RPM プロパティが設定されます。

ヒ ン ト : デザイ ン内で RPM のよ う に機能するマ ク ロ オブジェ ク ト を Vivado Design Suite で定義するには、create_macro または update_macro を使用します。 これらのコマンドの詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835)[参照 7] を参照してください。

影響を受けるステップ

• デザインのフロアプラン

• place_design

• synth_design

関連項目

• 99 ページの 「KEEP_HIERARCHY」

• 143 ページの 「RLOC」

• 147 ページの 「RLOCS」

• 149 ページの 「RLOC_ORIGIN」

• 154 ページの 「RPM」

• 155 ページの 「RPM_GRID」

• 160 ページの 「U_SET」

Send Feedback

Page 76: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 76UG912 (v2013.4) 2013 年 12 月 20 日

HIODELAY_GROUP

HIODELAY_GROUP

HIODELAY_GROUP は IDELAYCTRL コンポーネン ト を関連する IDELAY または ODELAY インスタンス と と もにグループにま とめ、 配置および複製が正し く行われるよ うにするプロパティです。

HIODELAY_GROUP を使用して IDELAYCTRL にグループ名を割り当てる場合は、 同じ HIODELAY_GROUP プロパティを使用してそのグループに IDELAY または ODELAY セルも関連付ける必要があ り ます。

重要 : HIODELAY_GROUP には複数のセルを含めるこ とができますが、1 つのセルに 1 つの HIODELAY_GROUP しか割り当てられません。

次の例は、 set_property を使用して、 特定の IDELAYCTRL に関連付けられている IDELAY/ODELAY エレ メン トをすべてグループにま とめています。

set_property HIODELAY_GROUP IO_DLY1 [get_cells MY_IDELAYCTRL_inst]set_property HIODELAY_GROUP IO_DLY1 [get_cells MY_IDELAY_inst]set_property HIODELAY_GROUP IO_DLY1 [get_cells MY_ODELAY_inst]

HIODELAY_GROUP と  IODELAY_GROUP の相違点

HIODELAY_GROUP は各階層に 1つしか使用できません。 HIODELAY_GROUP は、 次の場合に使用します。

• IDELAYCTRL を含む 1 モジュールのインスタンスが複数ある場合

および

• ほかの論理階層にある IDELAY または ODELAY と、 そのインスタンスをま とめるつも りがない場合

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• セル (get_cells)

° IDELAY、 ODELAY、 または IDELAYCTRL インスタンス

任意の指定グループ名

構文

Verilog 構文

Verilog 属性は IDELAY、 ODELAY、 または IDELAYCTRL のインスタンシエーシ ョ ン直前に配置します。

(* HIODELAY_GROUP = "value" *)

Send Feedback

Page 77: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 77UG912 (v2013.4) 2013 年 12 月 20 日

HIODELAY_GROUP

Verilog の構文例

// Specifies a group name of DDR_INTERFACE to an instantiated IDELAYCTRL// IDELAYCTRL:IDELAYE2/ODELAYE2 Tap Delay Value Control// Virtex-7// Xilinx HDL Language Template, version 2013.4// Specifies DDR_INTERFACE group name for IDELAYs/ODELAYs and IDELAYCTRL (* HIODELAY_GROUP = “DDR_INTERFACE” *) IDELAYCTRL DDR_IDELAYCTRL_inst ( .RDY(), // 1-bit output:Ready output .REFCLK(REFCLK), // 1-bit input:Reference clock input .RST(1’b0) // 1-bit input:Active high reset input ); // End of DDR_IDELAYCTRL_inst instantiation

VHDL 構文

VHDL 属性は次のよ うに宣言します。

attribute HIODELAY_GROUP : string;

インスタンシエート されたインスタンスの場合は、 次のよ うに指定します。

attribute HIODELAY_GROUP of instance_name : label is "group_name";

• instance_name はインスタンシエート された IDELAY、 ODELAY、 または IDELAYCTRL のインスタンス名です。

VHDL の構文例

// Specifies a group name of DDR_INTERFACE to an instantiated IDELAYCTRLattribute HIODELAY_GROUP :STRING;attribute HIODELAY_GROUP of DDR_IDELAYCTRL_inst: label is "DDR_INTERFACE";begin -- IDELAYCTRL:IDELAYE2/ODELAYE2 Tap Delay Value Control -- Virtex-7 -- Xilinx HDL Language Template, version 2013.4 DDR_IDELAYCTRL_inst :IDELAYCTRL port map ( RDY => open, -- 1-bit output:Ready output REFCLK => REFCLK, -- 1-bit input:Reference clock input RST => ‘0’ -- 1-bit input:Active high reset input ); -- End of DDR_IDELAYCTRL_inst instantiation

XDC 構文

set_property HIODELAY_GROUP group_name [get_cells instance_name]

• instance_name は IDELAY、 ODELAY、 または IDELAYCTRL のインスタンス名です。

XDC の構文例

# Specifies a group name of DDR_INTERFACE to an instantiated IDELAYCTRLset_property HIODELAY_GROUP DDR_INTERFACE [get_cells DDR_IDELAYCTRL_inst]

Send Feedback

Page 78: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 78UG912 (v2013.4) 2013 年 12 月 20 日

HIODELAY_GROUP

影響を受けるステップ

place_design

関連項目

93 ページの 「IODELAY_GROUP」

詳細は、 『Vivado Design Suite 7 シ リーズ FPGA ラ イブラ リ ガイ ド』 (UG953) [参照 12]または 『UltraScale アーキテクチャ ライブラ リ ガイ ド』 (UG974) [参照 13]を参照してください。

• IDELAYCTRL

• IDELAYE2

• ODELAYE2

Send Feedback

Page 79: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 79UG912 (v2013.4) 2013 年 12 月 20 日

HLUTNM

HLUTNM

HLUTNM を使用する と、互換性のある入力を持つ 2 つの LUT5、SRL16 または LUTRAM コンポーネン トが同じ LUT6サイ トに配置されます。階層ごとに HLUTNM を使用して、 どちら も同じグループ名で互換性のあるインスタンス タイプである必要があ り ます。

HLUTNM と  LUTNM の相違点

HLUTNM は各階層に 1 つしか使用できません。

• 複数の LUT コンポーネン ト を含む 1 モジュールの複数のインスタンスをグループにまとめる場合に HLUTNMを使用します。

• 別の階層にある 2 つの LUT コンポーネン ト を同じグループにま とめる場合は LUTNM を使用します。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• セル (get_cells)

° LUT (LUT1、 LUT2、 LUT3、 LUT4、 LUT5)

° SRL (SRL16E)

° LUTRAM (RAM32X1S)

グループ名

構文

Verilog 構文

Verilog 属性は LUT のインスタンシエーシ ョ ン直前に配置します。

Verilog 属性は、 同じ論理階層でペアで使用する必要があ り ます。

(* HLUTNM = "group_name" *)

Verilog の構文例

// Designates state0_inst to be placed in same LUT6 as state1_inst // LUT5:5-input Look-Up Table with general output (Mapped to a LUT6) // Virtex-7 // Xilinx HDL Language Template, version 2013.4 (* HLUTNM = "LUT_group1" *) LUT5 #( .INIT(32'ha2a2aea2) // Specify LUT Contents ) state0_inst ( .O(state_out[0]), // LUT general output

Send Feedback

Page 80: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 80UG912 (v2013.4) 2013 年 12 月 20 日

HLUTNM

.I0(state_in[0]), // LUT input .I1(state_in[1]), // LUT input .I2(state_in[2]), // LUT input .I3(state_in[3]), // LUT input .I4(state_in[4]) // LUT input ); // End of state0_inst instantiation // LUT5:5-input Look-Up Table with general output (Mapped to a LUT6) // Virtex-7 // Xilinx HDL Language Template, version 2013.4 (* HLUTNM = "LUT_group1" *) LUT5 #( .INIT(32'h00330073) // Specify LUT Contents ) state1_inst ( .O(state_out[1]), // LUT general output .I0(state_in[0]), // LUT input .I1(state_in[1]), // LUT input .I2(state_in[2]), // LUT input .I3(state_in[3]), // LUT input .I4(state_in[4]) // LUT input ); // End of state1_inst instantiation

VHDL 構文

VHDL 属性は次のよ うに宣言します。

attribute HLUTNM : string;

インスタンシエート されたインスタンスの場合は、 次のよ うに指定します。

attribute HLUTNM of instance_name : label is "group_name";

• instance_name は LUT1、 LUT2、 LUT3、 LUT4、 LUT5、 SRL16、 または LUTRAM インスタンスです。

VHDL 属性は、 同じ論理階層でペアで使用する必要があ り ます。

VHDL の構文例

-- Designates state0_inst to be placed in same LUT6 as state1_instattribute HLUTNM : string;attribute HLUTNM of state0_inst : label is "LUT_group1";attribute HLUTNM of state1_inst : label is "LUT_group1";begin -- LUT5:5-input Look-Up Table with general output (Mapped to SliceM LUT6) -- Virtex-7 -- Xilinx HDL Language Template, version 2013.4 state0_inst :LUT5 generic map ( INIT => X"a2a2aea2") -- Specify LUT Contents port map ( O => state_out(0), -- LUT general output I0 => state_in(0), -- LUT input I1 => state_in(1), -- LUT input I2 => state_in(2), -- LUT input I3 => state_in(3), -- LUT input I4 => state_in(4) -- LUT input );

Send Feedback

Page 81: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 81UG912 (v2013.4) 2013 年 12 月 20 日

HLUTNM

-- End of state0_inst instantiation -- LUT5:5-input Look-Up Table with general output (Mapped to SliceM LUT6) -- Virtex-7 -- Xilinx HDL Language Template, version 2013.4 State1_inst :LUT5 generic map ( INIT => X"00330073") -- Specify LUT Contents port map ( O => state_out(1), -- LUT general output I0 => state_in(0), -- LUT input I1 => state_in(1), -- LUT input I2 => state_in(2), -- LUT input I3 => state_in(3), -- LUT input I4 => state_in(4) -- LUT input ); -- End of state1_inst instantiation

XDC 構文

set_property HLUTNM group_name [get_cells instance_name]

• instance_name は LUT1、 LUT2、 LUT3、 LUT4、 LUT5、 SRL16、 または LUTRAM インスタンスです。

XDC の構文例

# Designates state0_inst LUT5 to be placed in same LUT6 as state1_instset_property HLUTNM LUT_group1 [get_cells state0_inst]set_property HLUTNM LUT_group1 [get_cells state1_inst]

影響を受けるステップ

• place_design

関連項目

• 109 ページの 「LUTNM」

Send Feedback

Page 82: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 82UG912 (v2013.4) 2013 年 12 月 20 日

IBUF_LOW_PWR

IBUF_LOW_PWR

IBUF_LOW_PWR プロパティは、 パフォーマンス と消費電力のト レードオフをオプシ ョ ンで提供します。

このプロパティは I/O バッファー インスタンスに適用されます。デフォルトでは TRUE に設定され、低電力モードで入力バッファーがインプ リ メン ト されます。 FALSE に設定した場合は、 ハイ パフォーマンス モードになり ます。

消費電力の変更は XPE (XPower Estimator) または Vivado Design Suite の report_power コマンドを使用して予測できます。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• SSTL や HSTL などの VREF ベースの I/O 規格、または LVDS や DIFF_HSTL などの差動規格が指定されている入力ポート (get_ports) または入力バッファー (get_cells)

• TRUE : 低電力モードで入力または双方向バッファーをインプリ メン ト します。

• FALSE : ハイ パフォーマンス モードで入力または双方向バッファーをインプリ メン ト します。

構文

Verilog 構文

バッ フ ァー モジ ュール定義またはイ ン ス タ ンシエー ト されたバッ フ ァーにパラ メ ーターを割 り 当てて、IBUF_LOW_PWR を設定します。

VHDL 構文

ジェネ リ ッ クをエンティティ定義またはインスタンスに割り当てて、 IBUF_LOW_PWR を設定します。

XDC 構文

DIRECTION が IN または INOUT のポート オブジェク トに IBUF_LOW_PWR をプロパティ と して割り当てます。

set_property IBUF_LOW_PWR TRUE [get_ports port_name]

• set_property IBUF_LOW_PWR はポート オブジェク トに割り当てるこ とができます。

• port_name は入力または双方向ポートです。

Send Feedback

Page 83: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 83UG912 (v2013.4) 2013 年 12 月 20 日

IBUF_LOW_PWR

影響を受けるステップ

• report_power

• report_timing

関連項目

• 96 ページの 「IOSTANDARD」

Send Feedback

Page 84: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 84UG912 (v2013.4) 2013 年 12 月 20 日

IN_TERM

IN_TERM

IN_TERM は、 キャ リブレート されない入力終端のインピーダンス値を指定し、 ハイ レンジ (HR) バンク入力でのみサポー ト されています。 ハイ パフォーマンス (HP) バン クの入力には、 オンチップ終端の DCI (Digital ControlledImpedance) の 「IOSTANDARD」 を使用します。

重要 : UltraScale アーキテクチャの場合、 キャ リブレート されない終端を指定するには、 IN_TERM ではなく 「ODT」を使用してください。

終端は常に入力にあ り、 また出力バッファーが ト ラ イステートになっている場合は双方向ピンにあ り ます。 しかし、キャ リブレート されない分割終端オプシ ョ ンと、 ト ラ イステートの分割終端 DCI との重要な違いは、 DCI の場合は、VRN および VRP ピンでの外部基準抵抗にキャ リブレートするのですが、 この機能の場合は、 温度、 プロセス、 電圧の変動を補正するためのキャ リブレーシ ョ ン ルーチンのない内部抵抗を使用する点です。 このオプシ ョ ンには、 40、50、 60オームのテブナン等価抵抗値を指定できます。詳細は、 『7 シ リーズ FPGA SelectIO リ ソース ユーザー ガイ ド』(UG471) [参照 2] を参照してください。

アーキテクチャ  サポート

ハイ レンジ (HR) バンク入力でのみ 7 シ リーズ デバイスはサポート されています。

適用可能なオブジェク ト

• ポート (get_ports)

° 接続された入力ポート または双方向ポート

• セル (get_cells)

° 入力バッファー (すべてのタイプの IBUF)

• NONE (デフォルト )

• UNTUNED_SPLIT_40

• UNTUNED_SPLIT_50

• UNTUNED_SPLIT_60

Send Feedback

Page 85: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 85UG912 (v2013.4) 2013 年 12 月 20 日

IN_TERM

構文

Verilog 構文

この属性を設定するには、 適切な Verilog 属性構文を最上位出力ポート宣言の前に配置します。

(* IN_TERM = "{NONE|UNTUNED_SPLIT_40|UNTUNED_SPLIT_50|UNTUNED_SPLIT_60}" *)

Verilog の構文例

// Sets an on-chip input impedance of 50 Ohms to input ACT5(* IN_TERM = "UNTUNED_SPLIT_50" *) input ACT5,

VHDL 構文

この属性を設定するには、 適切な VHDL 属性構文を最上位出力ポート宣言の前に配置します。

VHDL 属性は次のよ うに宣言します。

attribute IN_TERM : string;

VHDL 属性は次のよ うに指定します。

attribute IN_TERM of port_name : signal is value;

• port_name は最上位出力ポートです。

VHDL の構文例

ACT5 : in std_logic;attribute IN_TERM : string;-- Sets an on-chip input impedance of 50 Ohms to input ACT5attribute IN_TERM of ACT5 : signal is “UNTUNED_SPLIT_50”;

XDC 構文

set_property IN_TERM value [get_ports port_name]

• IN_TERM はポート オブジェク ト、およびポート オブジェク トに接続されているネッ トに割り当てるこ とができます。

• port_name は出力または双方向ポートです。

XDC の構文例

# Sets an on-chip input impedance of 50 Ohms to input ACT5set_property IN_TERM UNTUNED_SPLIT_50 [get_ports ACT5]

影響を受けるステップ

• I/O 配置

• ノ イズ レポート

• 消費電力レポート

Send Feedback

Page 87: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 87UG912 (v2013.4) 2013 年 12 月 20 日

INTERNAL_VREF

INTERNAL_VREF

INTERNAL_VREF は、 バンクの内部レギュレーターの使用を指定して、 基準電圧を必要とする規格の基準電圧を供給します。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• I/O バンク (get_iobanks)

• 0.60

• 0.675

• 0.75

• 0.90

構文

Verilog 構文

該当なし

VHDL 構文

該当なし

XDC 構文

set_property INTERNAL_VREF {value} [get_iobanks bank]

• value は基準電圧値です。

XDC の構文例

# Designate Bank 14 to have a reference voltage of 0.75 Voltsset_property INTERNAL_VREF 0.75 [get_iobanks 14]

影響を受けるステップ

• I/O 配置

• place_design

Send Feedback

Page 89: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 89UG912 (v2013.4) 2013 年 12 月 20 日

IOB

IOB

IOB は、 I/O タイ ミ ングを改善するため、 入力または出力ロジッ ク (I/O ブロ ッ ク ) にレジスタを配置するよ うに指定します。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• ポート (get_ports)

° レジスタに接続されるポートすべて

• セル (get_cells)

° 最上位ポートに直接接続されるレジスタ

• FALSE (デフォルト )

• TRUE

構文

Verilog 構文

この属性を設定するには、 適切な Verilog 属性構文を最上位出力ポート宣言の前に配置します。

(* IOB = "{TRUE|FALSE}" *)

Verilog の構文例

// Place the register connected to ACK in the input logic site(* IOB = "TRUE" *) input ACK,

その他の Verilog の構文例

IOB 属性は、 最上位ポートに接続されたインスタンシエート されたまたは推論されたレジスタに配置できます。

Place the register connected to ACK in the input logic site.input ACK;(* IOB = “TRUE” *) reg ack_reg = 1’b0;always @(posedge CLK) ack_reg = 1’b0;

VHDL 構文

この属性を設定するには、 適切な VHDL 属性構文を最上位出力ポート宣言の前に配置します。

VHDL 属性は次のよ うに宣言します。

Send Feedback

Page 90: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 90UG912 (v2013.4) 2013 年 12 月 20 日

IOB

attribute IOB : string;

VHDL 属性は次のよ うに指定します。

attribute IOB of <port_name>: signal is "{TRUE|FALSE}";

• port_name は最上位出力ポートです。

VHDL の構文例

ACK : in std_logic;attribute IOB : string;-- Place the register connected to ACK in the input logic siteattribute IOB of ACK: signal is "TRUE";

その他の VHDL の構文例

IOB 属性は、最上位ポートに接続されたインスタンシエート されたまたは推論されたレジスタに配置できます。入力ロジッ ク サイ トに ACK に接続されたレジスタを配置します。

XDC 構文

set_property IOB value [get_ports port_name]

• value は TRUE または FALSE です。

XDC の構文例

# Place the register connected to ACK in the input logic siteset_property IOB TRUE [get_ports ACK]

影響を受けるステップ

• place_design

Send Feedback

Page 91: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 91UG912 (v2013.4) 2013 年 12 月 20 日

IOBDELAY

IOBDELAY

IOBDELAY (Input Output Block Delay) プロパティは、 システム同期データ入力を取り込むための入力ホールド タイムを緩和するため、 ILOGIC ブロ ッ クの遅延の追加 ・削除を指定します。

ILOGIC ブロ ッ クは I/O ブロ ッ ク (IOB) の隣にあ り、 IOB を介して FPGA にデータが入るたびにそのデータを取り込む同期エレ メン ト を含んでいます。7 シ リーズ デバイスの ILOGIC ブロ ッ クは、HP I/O バンクでは ILOGICE2 と して、HR I/O バンクでは ILOGICE3 と してコンフ ィギュレーシ ョ ンするこ とができます。 ILOGICE2 と ILOGICE3 は機能的には同じですが、 ILOGICE3 には IOBDELAY と と もにコンフ ィギュレーシ ョ ンできるゼロ ホールド遅延エレ メン ト(ZHOLD) があるのが違いです。 IOBDELAY の使用については、 『7 シ リーズ FPGA SelectIO リ ソース ユーザー ガイド』 (UG471)[参照 2]または 『UltraScale アーキテクチャ SelectIO リ ソース ユーザー ガイ ド』 (UG571)[参照 5] を参照してください。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• 入力バッファー (get_cells)

• ネッ ト (get_nets)

• NONE : IBUF および入力フ リ ップフロ ップ (IFD) パスの両方に対し、 遅延を OFF に設定します。

• IBUF

° I/O コンポーネン ト内の任意のレジスタに対し、 遅延を OFF に設定します。

° ILOGIC ブロ ッ クまでのバッファーの付いたパスに対し、 遅延を ON に設定します。

• IFD

° I/O コンポーネン ト内の IFF レジスタに対し、 遅延を ON に設定します。

° ILOGIC ブロ ッ クまでのバッファーの付いたパスに対し、 遅延を OFF に設定します。

• BOTH : IBUF および IFD パスの両方に対し、 遅延を ON に設定します。

Send Feedback

Page 92: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 92UG912 (v2013.4) 2013 年 12 月 20 日

IOBDELAY

構文

Verilog 構文

Verilog 制約をモジュールまたはインスタンシエーシ ョ ンの直前に配置します。

Verilog 制約は次のよ うに指定します。

(* IOBDELAY = {NONE|BOTH|IBUF|IFD} *)

VHDL 構文

VHDL 制約は次のよ うに宣言します。

attribute iobdelay: string;

VHDL 制約は次のよ うに指定します。

attribute iobdelay of {component_name |label_name }:{component|label} is “{NONE|BOTH|IBUF|IFD}”;

XDC 構文

set_property IOBDELAY value [get_cells cell_name]

• value には、 NONE、 IBUF、 IFD、 BOTH のいずれかが入り ます。

XDC の構文例

set_property IOBDELAY "BOTH" [get_nets {data0_I}]

影響を受けるステップ

• タイ ミ ング

• 配置

• 配線

Send Feedback

Page 93: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 93UG912 (v2013.4) 2013 年 12 月 20 日

IODELAY_GROUP

IODELAY_GROUP

IODELAY_GROUP は IDELAYCTRL セルを関連する IDELAY および ODELAY セルと と もにグループにまとめ、 配置および複製が正し く行われるよ うにします。

IODELAY_GROUP を使用して IDELAYCTRL にグループ名を割り当てる場合は、同じ IODELAY_GROUP プロパティを使用してそのグループに IDELAY または ODELAY セルも関連付ける必要があ り ます。

重要 : IODELAY_GROUP には複数のセルを含めるこ とができますが、 1 つのセルに 1 つの IODELAY_GROUP しか割り当てられません。 .

次の例は、 set_property を使用して、 特定の IDELAYCTRL に関連付けられている IDELAY/ODELAY エレ メン トをすべてグループにま とめています。

set_property IODELAY_GROUP IO_DLY1 [get_cells MY_IDELAYCTRL_inst]set_property IODELAY_GROUP IO_DLY1 [get_cells MY_IDELAY_inst]set_property IODELAY_GROUP IO_DLY1 [get_cells MY_ODELAY_inst]

IODELAY_GROUP と  HIODELAY_GROUP の相違点 

IODELAY_GROUP では階層を越えて複数のエレ メン ト をグループにま とめるこ とができます。 階層の異なる I/O 遅延コンポーネン ト をグループにまとめるには IODELAY_GROUP を使用します。

HIODELAY_GROUP は、 同じ階層モジュールにある I/O 遅延コンポーネン ト をグループにまとめます。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• セル (get_cells)

° IDELAY、 ODELAY、 または IDELAYCTRL インスタンス

指定したグループ名

Send Feedback

Page 94: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 94UG912 (v2013.4) 2013 年 12 月 20 日

IODELAY_GROUP

構文

Verilog 構文

Verilog 属性は IDELAY、 ODELAY、 または IDELAYCTRL のインスタンシエーシ ョ ン直前に配置します。

(* IODELAY_GROUP = "value" *)

Verilog の構文例

// Specifies a group name of DDR_INTERFACE to an instantiated IDELAYCTRL// IDELAYCTRL:IDELAYE2/ODELAYE2 Tap Delay Value Control// Virtex-7// Xilinx HDL Language Template, version 2013.4// Specifies DDR_INTERFACE group name for IDELAYs/ODELAYs and IDELAYCTRL (* IODELAY_GROUP = “DDR_INTERFACE” *) IDELAYCTRL DDR_IDELAYCTRL_inst ( .RDY(), // 1-bit output:Ready output .REFCLK(REFCLK), // 1-bit input:Reference clock input .RST(1’b0) // 1-bit input:Active high reset input ); // End of DDR_IDELAYCTRL_inst instantiation

VHDL 構文

VHDL 属性は次のよ うに宣言します。

attribute IODELAY_GROUP : string;

インスタンシエート されたインスタンスの場合は、 次のよ うに指定します。

attribute IODELAY_GROUP of instance_name : label is "group_name";

• instance_name はインスタンシエート された IDELAY、 ODELAY、 または IDELAYCTRL のインスタンス名です。

VHDL の構文例

// Specifies a group name of DDR_INTERFACE to an instantiated IDELAYCTRLattribute IODELAY_GROUP :STRING;attribute IODELAY_GROUP of DDR_IDELAYCTRL_inst: label is "DDR_INTERFACE";begin -- IDELAYCTRL:IDELAYE2/ODELAYE2 Tap Delay Value Control -- Virtex-7 -- Xilinx HDL Language Template, version 2013.4 DDR_IDELAYCTRL_inst :IDELAYCTRL port map ( RDY => open, -- 1-bit output:Ready output REFCLK => REFCLK, -- 1-bit input:Reference clock input RST => ‘0’ -- 1-bit input:Active high reset input ); -- End of DDR_IDELAYCTRL_inst instantiation

Send Feedback

Page 95: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 95UG912 (v2013.4) 2013 年 12 月 20 日

IODELAY_GROUP

XDC 構文

set_property IODELAY_GROUP group_name [get_cells instance_name]

• group_name はユーザー指定の IODELAY_GROUP 名です。

• instance_name は IDELAY、 ODELAY、 または IDELAYCTRL のインスタンス名です。

XDC の構文例

# Specifies a group name of DDR_INTERFACE to an instantiated IDELAYCTRLset_property IODELAY_GROUP DDR_INTERFACE [get_cells DDR_IDELAYCTRL_inst]

影響を受けるステップ

• 配置

関連項目

• 76 ページの 「HIODELAY_GROUP」

• 詳細は、『Vivado Design Suite 7 シ リーズ FPGA ライブラ リ ガイ ド』 (UG953) [参照 12]または 『UltraScale アーキテクチャ ライブラ リ ガイ ド』 (UG974) [参照 13]を参照してください。

° IDELAYCTRL

° IDELAYE2

° ODELAYE2

Send Feedback

Page 96: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 96UG912 (v2013.4) 2013 年 12 月 20 日

IOSTANDARD

IOSTANDARD

IOSTANDARD は、 ターゲッ ト デバイスで入力、 出力、 または双方向ポート をコンフ ィギュレーシ ョ ンするのにどのプログラマブル I/O 規格を使用するかを指定します。

重要 : Vivado Design Suite がデザイ ンから ビ ッ ト ス ト リ ームを生成する前に、 I/O バン ク のすべてのポー ト でIOSTANDARD を定義する必要があ り ます。

1 つの I/O バンクに複数の異なる IOSTANDARD を含めるこ とができますが、 これらの IOSTANDARD には互換性がなくてはな り ません。 1 つの I/O バンクに異なる入力、 出力、 双方向 I/O 規格を組み合わせる場合は、 次のルールに従ってください。

1. 同じ出力 CCO 要件を持つ出力規格は、 同じバンクにま とめるこ とができます。

2. 同じ CCO および VREF要件を持つ入力規格は、 同じバンクにま とめるこ とができます。

3. 同じ CCO 要件を持つ入力規格および出力規格は、 同じバンクにま とめるこ とができます。

4. ほかの規格と双方向 I/O 規格を組み合わせる場合は、 双方向規格が最初の 3 つのルールに沿っているこ とを確認してください。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• ポート (get_ports)

° 任意のポート - I/O ポートの RTL ソースで IOSTANDARD を定義するか、 ポート セルの XDC 制約と して定義します。

• セル (get_cells)

° I/O バッファー (すべてのタイプの IBUF、OBUF、IOBUF) - インスタンシエート されたバッファーの場合、セルの属性と して IOSTANDARD を RTL で定義する必要があ り ます。 XDC 構文はサポート されていません。

ターゲッ トにするザイ リ ンクス FPGA によって有効な I/O 規格は異なり ます。 デバイス別の IOSTANDARD 値については、 『7 シ リ ーズ FPGA SelectIO リ ソース ユーザー ガイ ド』 (UG471)[参照 2]または 『UltraScale アーキテクチャSelectIO リ ソース ユーザー ガイ ド』 (UG571)[参照 5] を参照してください。

構文

Verilog 構文

I/O バッファーを推論する際にこの属性を設定するには、適切な Verilog 属性構文を最上位出力ポート宣言の前に配置します。

(* IOSTANDARD = "value" *)

Send Feedback

Page 97: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 97UG912 (v2013.4) 2013 年 12 月 20 日

IOSTANDARD

Verilog の構文例

// Sets the I/O Standard on the STATUS output to LVCMOS12(* IOSTANDARD = "LVCMOS12" *) output STATUS,

その他の Verilog の構文例

I/O バッファーがインスタンシエート される場合、 インスタンシエート される出力バッファーに IOSTANDARD パラメーターを割り当てる と、 IOSTANDARD を設定できます。

推奨 : 言語テンプレー ト または 『Vivado Design Suite 7 シ リ ーズ ラ イブラ リ ガイ ド 』 (UG953) [参照 12]、 または『UltraScale アーキテクチャ ラ イブラ リ ガイ ド』 (UG974) [参照 13]からのインスタンシエーシ ョ ン テンプレート を使用して、 正しい構文を指定してください。

次の例では、 LVCMOS12 への STATUS 出力の I/O 規格を設定しています。

// OBUF:Single-ended Output Buffer// Xilinx HDL Language Template, version 2013.4OBUF #( .DRIVE(12), // Specify the output drive strength .IOSTANDARD("LVCMOS12"), // Specify the output I/O standard .SLEW("SLOW") // Specify the output slew rate ) status_obuf ( .O(STATUS), // Buffer output (connect directly to top-level port) .I(status_int) // Buffer input );// End of status_obuf instantiation

VHDL 構文

I/O バッファーを推論する際にこの属性を設定するには、 適切な VHDL 属性構文を最上位出力ポート宣言の前に配置します。

VHDL 属性は次のよ うに宣言します。

attribute IOSTANDARD : string;

VHDL 属性は次のよ うに指定します。

attribute IOSTANDARD of <port_name>: signal is "<standard>";

• port_name は最上位出力ポートです。

VHDL の構文例

STATUS : out std_logic;attribute IOSTANDARD : string;-- Sets the I/O Standard on the STATUS output to LVCMOS12attribute IOSTANDARD of STATUS: signal is "LVCMOS12";

その他の VHDL の構文例

I/O バッファーがインスタンシエート される と きに IOSTANDARD を設定するには、 インスタンシエート される I/Oバッファーに IOSTANDARD ジェネ リ ッ クを割り当てます。次の例では、LVCMOS12 への STATUS 出力の I/O 規格を設定しています。

-- OBUF:Single-ended Output Buffer

Send Feedback

Page 98: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 98UG912 (v2013.4) 2013 年 12 月 20 日

IOSTANDARD

-- Xilinx HDL Language Template, version 2013.4status_obuf :OBUF generic map ( DRIVE => 12, IOSTANDARD => "LVCMOS12", SLEW => "SLOW") port map ( O => STATUS, -- Buffer output (connect directly to top-level port) I => status_int -- Buffer input );-- End of status_obuf instantiation

XDC 構文

set_property IOSTANDARD value [get_ports port_name]

• port_name は最上位ポートです。

XDC の構文例

# Sets the I/O Standard on the STATUS output to LVCMOS12set_property IOSTANDARD LVCMOS12 [get_ports STATUS]

影響を受けるステップ

• I/O 配置

• ノ イズ レポート

• 消費電力レポート

• DRC レポート

• place_design

関連項目

詳細は、 『Vivado Design Suite 7 シ リーズ FPGA ラ イブラ リ ガイ ド』 (UG953) [参照 12]または 『UltraScale アーキテクチャ ライブラ リ ガイ ド』 (UG974) [参照 13]を参照してください。

• OBUF

• OBUFT

• IOBUF

Send Feedback

Page 99: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 99UG912 (v2013.4) 2013 年 12 月 20 日

KEEP_HIERARCHY

KEEP_HIERARCHY

KEEP_HIERARCHY は、最適化がバウンダ リ を越えて実行されないよ うにするため、ユーザー階層の保持を指示します。 これでフロアプラン、 解析、 デバッグがしやすくな り ますが、 最適化が抑止されるので、 デザインが大き く、 遅くなってしま う こ とがあ り ます。

推奨 : 悪影響が出ないよ うにするには、KEEP_HIERARCHY が適用されているモジュール インスタンスの出力すべてにレジスタを付けます。 この属性は、 合成前に適用する と最も効果的です。

アーキテクチャ  サポート

すべて

適用可能なオブジェク ト

• セル (get_cells)

° ユーザー定義のインスタンス

• FALSE (デフォルト )

階層を越えて最適化されます。

• TRUE

最適化が階層バウンダ リ を越えて行われず、 階層が保持されます。

構文

Verilog 構文

Verilog 属性をユーザーの階層インスタンシエーシ ョ ンの直前に配置します。

(* KEEP_HIERARCHY = "{TRUE|FALSE}" *)

Verilog の構文例

// Preserve the hierarchy of instance CLK1_rst_sync(* KEEP_HIERARCHY = "TRUE" *) reset_sync #( .STAGES(5) ) CLK1_rst_sync ( .RST_IN(RST | ~LOCKED), .CLK(clk1_100mhz), .RST_OUT(rst_clk1) );

VHDL 構文

VHDL 属性は次のよ うに宣言します。

Send Feedback

Page 100: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 100UG912 (v2013.4) 2013 年 12 月 20 日

KEEP_HIERARCHY

attribute KEEP_HIERARCHY : string;

VHDL 属性は次のよ うに指定します。

attribute KEEP_HIERACHRY of name: label is "{TRUE|FALSE}";

• name はユーザー定義のインスタンスの名前です。

VHDL の構文例

attribute KEEP_HIERARCHY : string;-- Preserve the hierarchy of instance CLK1_rst_syncattribute KEEP_HIERARCHY of CLK1_rst_sync: label is "TRUE";… CLK1_rst_sync : reset_sync PORT MAP ( RST_IN => RST_LOCKED, CLK => clk1_100mhz, RST_OUT => rst_clk1 );

XDC 構文

set_property KEEP_HIERARCHY {TRUE|FALSE} [get_cells instance_name]

• instance_name はレジスタ インスタンスです。

XDC の構文例

# Preserve the hierarchy of instance CLK1_rst_syncset_property KEEP_HIERARCHY TRUE [get_cells CLK1_rst_sync]

影響を受けるステップ

• デザインのフロアプラン

• opt_design

• phys_opt_design

• synth_design

Send Feedback

Page 101: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 101UG912 (v2013.4) 2013 年 12 月 20 日

KEEPER

KEEPER

KEEPER は、 ト ラ イステート出力または双方向ポートにウ ィーク ド ラ イバーを適用し、駆動されていないと きに値を

保持します。 KEEPER プロパティが設定されている出力ネッ トの値を保持します。

たとえば、ロジッ ク 1 がネッ トに駆動されている場合、KEEPER はウ ィークまたは抵抗 1 をそのネッ トに駆動します。その後ネッ ト ド ラ イバーが ト ライステート状態になる と、 KEEPER はウ ィークまたは抵抗 1 をネッ トに駆動し続け、値を保持します。

入力バッファー (IBUF など)、 ト ラ イステート出力バッファー (OBUFT など)、 および双方向バッファー (IOBUF など) には、 ウ ィーク プルアップ抵抗、 ウ ィーク プルダウン抵抗、 またはウ ィーク キーパー回路を含めるこ とができます。バッファーに接続されているネッ ト オブジェク トに次のプロパティの 1 つを加えるこ とで、 この機能を使用できます。

• PULLUP

• PULLDOWN

• KEEPER

アーキテクチャ  サポート

すべて

適用可能なオブジェク ト

• I/O バッファーに接続されているネッ ト (get_nets)

• TRUE | YES : ネッ トの値を保持するためキーパー回路を使用します。

• FALSE | NO : キーパー回路を使用しません。 デフォルトです。

Send Feedback

Page 102: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 102UG912 (v2013.4) 2013 年 12 月 20 日

KEEPER

構文

Verilog 構文

Verilog 制約をモジュールまたはインスタンシエーシ ョ ンの直前に配置します。

Verilog 制約は次のよ うに指定します。

(* KEEPER = " {YES|NO|TRUE|FALSE}" *)

VHDL 構文

VHDL 制約は次のよ うに宣言します。

attribute keeper: string;

VHDL 制約は次のよ うに指定します。

attribute keeper of signal_name : signal is “{YES|NO|TRUE|FALSE}”;

XDC 構文

set_property KEEPER {TRUE|FALSE} [get_nets net_name]

• net_name には、 IBUF、 OBUFT、 または IOBUF セルに接続されているネッ ト名が入り ます。

XDC の構文例

# Use a keeper circuit to preserve the value on the specified netset_property KEEPER true [get_nets n1]

影響を受けるステップ

• 論理から物理へのマッピング

関連項目

136 ページの 「PULLDOWN」

139 ページの 「PULLUP」

Send Feedback

Page 103: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 103UG912 (v2013.4) 2013 年 12 月 20 日

LOC

LOC

LOC は、 ターゲッ トのザイ リ ンクス FPGA のデバイス リ ソースにロジッ ク セルを配置します。

推奨 : デバイス パッケージの物理的ピンに I/O ポート を割り当てるには、 LOC ではな く PACKAGE_PIN プロパティを使用します。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• セル (get_cells)

° プリ ミ ティブ セルすべて

サイ ト名 (SLICE_X15Y14 または RAMB18_X6Y9 など)

構文

Verilog 構文

Verilog 属性はコンポーネン トのインスタンシエーシ ョ ンの直前に配置します。

推論されたレジスタの SRL または LUTRAM の reg を 1 つのデバイス サイ トに配置できる場合は、この Verilog 属性はその reg 宣言前にも配置できます。

(* LOC = "site_name" *)// Designates placed_reg to be placed in Slice site SLICE_X0Y0(* LOC = "SLICE_X0Y0" *) reg placed_reg;

VHDL 構文

VHDL 属性は次のよ うに宣言します。

attribute LOC : string;

インスタンシエート されるインスタンスの場合は、 次のよ うに指定します。

attribute LOC of instance_name : label is "site_name";

Send Feedback

Page 104: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 104UG912 (v2013.4) 2013 年 12 月 20 日

LOC

• instance_name はインスタンシエート されるプリ ミ ティブのインスタンス名です。

VHDL の構文例

-- Designates instantiated register instance placed_reg to be placed-- in Slice site SLICE_X0Y0attribute LOC of placed_reg : label is "SLICE_X0Y0";

推論されたインスタンスの場合、 VHDL 属性は次のよ うに指定します。

attribute LOC of signal_name : signal is "site_name";

• signal_name は 1 つのサイ トに配置できる、 推論されたプリ ミ ティブの信号名です。

VHDL の構文例

-- Designates inferred register placed_reg to be placed in Slice site SLICE_X0Y0attribute LOC of placed_reg : signal is "SLICE_X0Y0";

XDC 構文

set_property LOC site_name [get_cells instance_name]

• instance_name はプリ ミ ティブ インスタンスです。

XDC の構文例

# Designates placed_reg to be placed in Slice site SLICE_X0Y0set_property LOC SLICE_X0Y0 [get_cells placed_reg]

影響を受けるステップ

• デザインのフロアプラン

• place_design

関連項目

• 36 ページの 「BEL」

• 120 ページの 「PACKAGE_PIN」

• 122 ページの 「PBLOCK」

Send Feedback

Page 105: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 105UG912 (v2013.4) 2013 年 12 月 20 日

LOCK_PINS

LOCK_PINS

LOCK_PINS はセル プロパティで、ザイ リ ンクス FPGA デバイス リ ソースの物理 LUT 入力 (A6、 A5、 A4...) への論理LUT 入力 (I0、 I1、 I2...) のマッピングを指定します。 タイ ミ ング ク リ ティカルな LUT 入力を、最高速の A6 および A5の物理 LUT 入力にマップするために使用するのが一般的です。

デフォルトでは、LUT ピンは番号の大きいものから小さいものへ順番にマップされます。最大番号の論理ピンは最大番号の物理ピンにマップされます。

• A6LUT に配置された LUT6 のデフォルトのピン マッピングは次のよ うになり ます。

I5:A6 I4:A5 I3:A4 I2:A3 I1:A2 I0:A1

• A5LUT に配置された LUT5 のデフォルトのピン マッピングは次のよ うになり ます。

I5:A5 I4:A4 I3:A3 I2:A2 I1:A1

• A6LUT に配置された LUT2 のデフォルトのピン マッピングは次のよ うになり ます。

I1:A6 I0:A5

LOCK_PINS プロパティは Vivado 配線で使用されますが、 タイ ミ ングを改善できるよ う な場合でも、 ロ ッ ク されている LUT でのピン マッピングは変更されません。 LOCK_PINS は指定配線でも重要です。 指定配線によ り接続されているピンが別のピンと スワ ップされる場合、 指定配線はその LUT の接続と一致しな くな り、 エラーにな り ます。 指定配線ネッ トによ り駆動される LUT セルすべてのピンは、 LOCK_PINS を使用してロ ッ ク しておく必要があ り ます。指定配線の詳細は、 『Vivado Design Suite ユーザー ガイ ド : インプリ メンテーシ ョ ン』 (UG904) [参照 10]を参照してください。

注記 : DONT_TOUCH は LOCK_PINS を暗示しません。

「phys_opt_design -critical_pin_opt」 とい う コマンドで最適化を実行する場合、 LOCK_PINS プロパティが設定されているセルは最適化されず、 LOCK_PINS で指定されている ピン マ ッ ピングは保持されます。phys_opt_design コマンドの詳細は、『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835)[参照 7] を参照してください。

LOCK_PINS プロパティがセルから削除される と、 ピン マッピングは消去され、 ピンを自由にスワップできるよ うになり ます。 しかし、 現行のピン割り当てはすぐに変更にはなり ません。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• LUT セル (get_cells)

• LOCK_PINS {I0:A6 I1:A5} : 1 つまたは複数のピン マッピング ペア。論理ピンと物理ピンのペアを使用して、LUT 論理ピンが LUT 物理ピンに割り当てられます。

° LOCK_PINS の値にはピン マッピングを順不同にリ ス トでき、 HDL の場合はカンマで、 XDC の場合はホワイ ト スペースで区切り ます。

° インスタンス ピンの範囲は LUT1 の場合は I0、 LUT6 の場合は I0 から I5 までになり ます。 物理ピンの範囲は、 LUT6 の場合は A6 (最速) から A1 まで、 LUT5 の場合は A5 (最速) から A1 までになり ます。

Send Feedback

Page 106: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 106UG912 (v2013.4) 2013 年 12 月 20 日

LOCK_PINS

ヒン ト : ISE でサポート されている ALL の値、 または ALL を暗示する値なしは、 Vivado Design Suite ではサポート されていません。 すべてのピンをロ ッ クするには、 各ピンを明示的に指定する必要があ り ます。 リ ス ト されていない論理ピンは、 デフォルトのマッピングで物理ピンにマップされます。

構文

Verilog 構文

LOCK_PINS の値は Verilog 属性と して、 インスタンシエート された LUT セル (LUT6、 LUT5 など) に配置して割り当てるこ とができます。

次の例は、 LOCK_PINS を使用して、 I1 を A5 に、 I2 を A6 にピン マップし、 LUT_inst_0 という LUT セルに配置しています。

(* LOCK_PINS = "I1:A5, I2:A6" *) LUT6 #(.INIT(64'h1) ) LUT_inst_0 (...

Verilog 例

module top ( i0, i1, i2, i3, i4, i5, o0); input i0; input i1; input i2; input i3; input i4; input i5; output o0;

(* LOCK_PINS = "I1:A5,I2:A6" *) LUT6 #( .INIT(64'h0000000000000001)) LUT_inst_0 (.I0(i0), .I1(i1), .I2(i2), .I3(i3), .I4(i4), .I5(i5), .O(o0));endmodule

VHDL 構文

LOCK_PINS の値は VHDL 属性と して、 インスタンシエート された LUT セル (LUT6、 LUT5 など) に配置して割り当てるこ とができます。

次の例は、 LOCK_PINS を使用して、 I1 を A5 に、 I2 を A6 にピン マップし、 LUT_inst_0 という LUT セルに配置しています。

attribute LOCK_PINS : string;

Send Feedback

Page 107: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 107UG912 (v2013.4) 2013 年 12 月 20 日

LOCK_PINS

attribute LOCK_PINS of LUT_inst_0 : label is "I1:A5, I2:A6";...

VHDL の例

entity top is port ( i0, i1, i2, i3, i4, i5 : in std_logic; o0 : out std_logic);end entity top;

architecture struct of top is

attribute lock_pins : string; attribute lock_pins of LUT_inst_0 : label is "I1:A5, I2:A6";

begin LUT_inst_0 :LUT6 generic map ( INIT => "1" ) port map ( I0 => i0, I1 => i1, I2 => i2, I3 => i3, I4 => i4, I5 => i5, O => o0 );end architecture struct;

XDC 構文

Vivado Design Suite で set_property という Tcl コマンドを使用し、LUT セルに LOCK_PINS プロパティを設定できます。

set_property LOCK_PINS {pin pairs} [get_cells instance_name]

• instance_name には LUT セルが 1 つまたは複数入り ます。

重要 : XDC の場合は Tcl リ ス ト構文に合わせるため、ピン ペアをホワイ ト スペースで区切る必要があ り ますが、HDL構文の場合はカンマで値を区切る必要があ り ます。

XDC の構文例

% set myLUT2 [get_cells u0/u1/i_365]% set_property LOCK_PINS {I0:A5 I1:A6} $myLUT2% get_property LOCK_PINS $myLUT2I0:A5 I1:A6% reset_property LOCK_PINS $myLUT2% set myLUT6 [get_cells u0/u1/i_768]% set_property LOCK_PINS I0:A6 ; # mapping of I1 through I5 are dont-cares

影響を受けるステップ

• phys_opt_design

Send Feedback

Page 108: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 108UG912 (v2013.4) 2013 年 12 月 20 日

LOCK_PINS

• route_design

関連項目

• 36 ページの 「BEL」

• 57 ページの 「DONT_TOUCH」

• 103 ページの 「LOC」

Send Feedback

Page 109: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 109UG912 (v2013.4) 2013 年 12 月 20 日

LUTNM

LUTNM

LUTNM を使用する と、互換性のある入力を持つ 2 つの LUT5、 SRL16 または LUTRAM コンポーネン トが同じ LUT6サイ トに配置されます。 LUTNM はペアで指定する必要があ り、 どちら も同じグループ名で互換性のあるインスタンス タイプである必要があ り ます。

LUTNM と  HLUTNM の相違点 

HLUTNM は、別のユーザー階層にある 2 つの LUT コンポーネン ト を統合するために使用できます。同じユーザー階層にある 2 つの LUT コンポーネン ト を一緒のグループにする場合は LUTNM を使用します。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• セル (get_cells)

° LUT (LUT1、 LUT2、 LUT3、 LUT4、 LUT5)

° SRL (SRL16E)

° LUTRAM (RAM32X1S)

一意のグループ名

構文

Verilog 構文

Verilog 属性は LUT のインスタンシエーシ ョ ン直前に配置します。 Verilog 属性は、 同じ論理階層のペアで使用する必要があ り ます。

(* LUTNM = "group_name" *)

Verilog の構文例

// Designates state0_inst to be placed in same LUT6 as state1_inst// LUT5:5-input Look-Up Table with general output (Mapped to a LUT6)(* LUTNM = "LUT_group1" *) LUT5 #( .INIT(32'ha2a2aea2) // Specify LUT Contents ) state0_inst ( .O(state_out[0]), // LUT general outpu .I0(state_in[0]), // LUT input .I1(state_in[1]), // LUT input .I2(state_in[2]), // LUT input .I3(state_in[3]), // LUT input .I4(state_in[4]) // LUT input );

Send Feedback

Page 110: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 110UG912 (v2013.4) 2013 年 12 月 20 日

LUTNM

// End of state0_inst instantiation // LUT5:5-input Look-Up Table with general output (Mapped to a LUT6) // Virtex-7 // Xilinx HDL Language Template, version 2013.4 (* LUTNM = "LUT_group1" *) LUT5 #( .INIT(32'h00330073) // Specify LUT Contents ) state1_inst ( .O(state_out[1]), // LUT general output .I0(state_in[0]), // LUT input .I1(state_in[1]), // LUT input .I2(state_in[2]), // LUT input .I3(state_in[3]), // LUT input .I4(state_in[4]) // LUT input ); // End of state1_inst instantiation

VHDL 構文

VHDL 属性は次のよ うに宣言します。

attribute LUTNM : string;

インスタンシエート されたインスタンスの場合は、 次のよ うに指定します。

attribute LUTNM of instance_name : label is "group_name";

• instance_name は LUT1、 LUT2、 LUT3、 LUT4、 LUT5、 SRL16、 または LUTRAM インスタンスです。

VHDL 属性は、 同じ論理階層のペアで使用する必要があ り ます。

VHDL の構文例

-- Designates state0_inst to be placed in same LUT6 as state1_instattribute LUTNM : string;attribute LUTNM of state0_inst : label is "LUT_group1";attribute LUTNM of state1_inst : label is "LUT_group1";begin -- LUT5:5-input Look-Up Table with general output (Mapped to SliceM LUT6)state0_inst :LUT5

generic map ( INIT => X"a2a2aea2") -- Specify LUT Contents port map ( O => state_out(0), -- LUT general output I0 => state_in(0), -- LUT input I1 => state_in(1), -- LUT input I2 => state_in(2), -- LUT input I3 => state_in(3), -- LUT input I4 => state_in(4) -- LUT input ); -- End of state0_inst instantiation -- LUT5:5-input Look-Up Table with general output (Mapped to SliceM LUT6) -- Virtex-7 -- Xilinx HDL Language Template, version 2013.4 State1_inst :LUT5 generic map ( INIT => X"00330073") -- Specify LUT Contents port map (

Send Feedback

Page 111: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 111UG912 (v2013.4) 2013 年 12 月 20 日

LUTNM

O => state_out(1), -- LUT general output I0 => state_in(0), -- LUT input I1 => state_in(1), -- LUT input I2 => state_in(2), -- LUT input I3 => state_in(3), -- LUT input I4 => state_in(4) -- LUT input ); -- End of state1_inst instantiation

XDC 構文

set_property LUTNM group_name [get_cells instance_name]

• instance_name は LUT1、 LUT2、 LUT3、 LUT4、 LUT5、 SRL16、 または LUTRAM インスタンスです。

XDC の構文例

# Designates state0_inst LUT5 to be placed in same LUT6 as state1_instset_property LUTNM LUT_group1 [get_cells U1/state0_inst]set_property LUTNM LUT_group1 [get_cells U2/state1_inst]

影響を受けるステップ

• place_design

関連項目

• 「HLUTNM」

Send Feedback

Page 112: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 112UG912 (v2013.4) 2013 年 12 月 20 日

LVDS_PRE_EMPHASIS

LVDS_PRE_EMPHASIS

UltraScale では、 伝送ラ イ ンで高周波ロ スが発生する高周波信号のシグナル イ ンテグ リ テ ィ を改善するため、LVDS_PRE_EMPHASIS プロパティが使用されます。

ある I/O 規格をインプリ メン トする ド ラ イバーでの伝送ライン ロスを補うため、 LVDS ト ランス ミ ッ ターのプリエンファシスは信号遷移で電圧をブース ト します。DDR4 HP I/O バンクおよび LVDS TX HP/HR I/O バンクのプリエンファシスは、 シンボル間の干渉を低減し、 伝送ライン ロスの影響を最低限に抑えるために使用できます。

ヒン ト : 全体的なシグナル インテグ リ テ ィ を向上させるため、 ト ランス ミ ッ ターでのプ リエンファシスは、 レシーバーでの 「EQUALIZATION」 と組み合わせるこ とができます。

ト ランス ミ ッ ターのプリエンファシスは、レシーバー側でのシグナル インテグ リティにも重要です。プリエンファシスは信号エッジ レート を高め、 それは周辺信号のクロス トーク も高めます。

プ リエンファシスの影響は伝送ライン特性に依存しているため、 影響が最小限のものである こ とを確認するにはシミ ュレーシ ョ ンが必要です。信号をオーバーエンファシスする と、信号の質は改善されるよ りむしろ悪化する可能性があ り ます。

アーキテクチャ  サポート

UltraScale デバイス

適用可能なオブジェク ト

• ポート (get_ports)

• TRUE - 差動入力および双方向バッファーのプリエンファシスをイネーブルにし、 LVDS I/O をインプリ メン ト します。

• FALSE (デフォルト ) - プリエンファシスをイネーブルにしません。

構文

Verilog 構文 

該当なし

VHDL 構文 

該当なし

XDC 構文

XDC ファ イルでは LVDS_PRE_EMPHASIS 属性を次のよ うな構文で使用します。

set_property LVDS_PRE_EMPHASIS <TRUE|FALSE> [get_ports port_name]

Send Feedback

Page 113: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 113UG912 (v2013.4) 2013 年 12 月 20 日

LVDS_PRE_EMPHASIS

• set_property LVDS_PRE_EMPHASIS は、 ト ランス ミ ッ ターでプリエンファシスをイネーブルにします。

• port_name は差動バッファーに接続される出力ポート または双方向ポートです。

関連項目 

• 66 ページの 「EQUALIZATION」

• 134 ページの 「PRE_EMPHASIS」

Send Feedback

Page 114: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 114UG912 (v2013.4) 2013 年 12 月 20 日

MARK_DEBUG

MARK_DEBUG

MARK_DEBUG は、ChipScope™ ツールを使用してネッ ト をデバッグする必要があるこ とを指定します。これによ り、その信号に対して最適化が実行されなくなる可能性があ り ますが、後で FPGA 操作中にこの信号の値が監視しやすくなり ます。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• ネッ ト (get_nets)

° 内部アレイにアクセス可能なネッ トすべて

注記 :一部のネッ トには専用コネクティビティなどがあ り、 デバッグのと きに表示されなくなるよ う なものがあ り ます。

• TRUE

• FALSE

構文

Verilog 構文

この属性を設定するには、 適切な Verilog 属性構文を最上位出力ポート宣言の前に配置します。

(* MARK_DEBUG = "{TRUE|FALSE}" *)

Verilog の構文例

// Marks an internal wire for ChipScope debug(* MARK_DEBUG = "TRUE" *) wire debug_wire,

Send Feedback

Page 115: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 115UG912 (v2013.4) 2013 年 12 月 20 日

MARK_DEBUG

VHDL 構文

この属性を設定するには、 適切な VHDL 属性構文を最上位出力ポート宣言の前に配置します。

VHDL 属性は次のよ うに宣言します。

attribute MARK_DEBUG : string;

VHDL 属性は次のよ うに指定します。

attribute MARK_DEBUG of signal_name : signal is “{TRUE|FALSE}”;

• signal_name は内部信号です。

VHDL の構文例

signal debug_wire : std_logic;attribute MARK_DEBUG : string;-- Marks an internal wire for ChipScope debugattribute MARK_DEBUG of debug_wire : signal is “TRUE”;

XDC 構文

set_property MARK_DEBUG value [get_nets net_name]

• net_name は信号名です。

XDC の構文例

# Marks an internal wire for ChipScope debugset_property MARK_DEBUG TRUE [get_nets debug_wire]

影響を受けるステップ

• place_design

• ChipScope

関連項目

• 「DONT_TOUCH」

Send Feedback

Page 116: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 116UG912 (v2013.4) 2013 年 12 月 20 日

ODT

ODT

ODT (On-Die Termination) プロパティは、 サポート されている I/O 規格の DCI (digitally controlled impedance) および非DCI バージ ョ ンの両方に対し、 ODT の値を定義するのに使用します。 外部抵抗ではなく ODT を使用する利点は、 レシーバー側のスタブを完全に除去するこ とでシグナル インテグ リティが改善される点です。

ODT は、 HSTL、 SSTL、 POD、 および HSUL 規格の入力で分割終端またはシングル終端をサポート しています。 I/Oバンクの VCCO は、 予期どおりの動作を得るため、 ODT 属性に合わせた電圧レベルに接続しておく必要があ り ます。I/O 規格に必要な VCCO レベルの詳細については、 『UltraScale SelectIO ユーザー ガイ ド』 (UG571) [参照 5]を参照してください。

パラレル終端をサポートする I/O 規格の場合は、 DCI は VCCO /2 の電圧レベルのテブナン等価抵抗または分割終端抵抗を作成します。 POD および HSUL 規格の場合は、 DCI は VCCO 電圧レベルのシングル終端をサポート しています。終端抵抗の正確な値は ODT の値によって決ま り ます。 分割抵抗の DCI に使用可能な ODT 値は、 RTT_40、 RTT_48、RTT_60、 RTT_NONE です。

注記 : DCI はハイ パフォーマンス (HP) I/O バンクでのみ使用可能です。ハイ レンジ (HR) I/O バンクでは DCI はサポート されていません。

HR および HP の I/O バンクの両方に、オプシ ョ ンのキャ リブレーシ ョ ンなしのオンチップ分割終端機能があ り、 これは、 HSTL および SSTL 規格に対し、 ターゲッ ト抵抗値の 2 倍の抵抗を付加する 2 つの内部抵抗器を使用してテブナン等価回路を作成します。 POD および HSUL の I/O 規格の場合は、 キャ リブレーシ ョ ンなしのオンチップ シングル終端機能があ り ます。終端は常に入力にあ り、 また出力バッファーが ト ライステートになっている場合は双方向ポートにあ り ます。

DCI ベースの I/O 規格を使用するこ とによ り、デザインで DCI またはキャ リブレート なしの終端のどちらが使用されるかが決ま り ます。DCI とキャ リブレート なしの両方の I/O 規格で、終端抵抗の値は ODT 属性によって決ま り ます。

しかし、 キャ リブレート なしのオプシ ョ ンと DCI との重要な違いは、 DCI の場合は、 VRN および VRP ピンでの外部基準抵抗にキャ リブレートするのですが、 キャ リブレート なしの入力終端機能の場合は、 温度、 プロセス、 電圧の変動を補正するためのキャ リブレーシ ョ ン ルーチンのない、ODT 属性によって決定される内部抵抗を使用する点です。

アーキテクチャ  サポート

UltraScale デバイス

Send Feedback

Page 117: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 117UG912 (v2013.4) 2013 年 12 月 20 日

ODT

適用可能なオブジェク ト

• ポート (get_ports)

° 入力および双方向バッファーに接続されているもの

• RTT_40

• RTT_48

• RTT_60

• RTT_120

• RTT_240

• RTT_NONE

注記 :使用可能な I/O 規格およびコンフ ィギュレーシ ョ ンすべてに対し、 すべての値が使用できるわけではあ りません。

構文

Verilog 構文 

該当なし

VHDL 構文 

該当なし

XDC 構文

XDC ファ イルでは ODT 属性を次のよ うな構文で使用します。

set_property ODT <VALUE> [get_ports port_name]

• set_property ODT は ODT をイネーブルにします。

• <Value> には指定の IOSTANDARD に対しサポート されている ODT 値の 1 つが入り ます。

• port_name は差動バッファーに接続される入力ポート または双方向ポートです。

関連項目

• 96 ページの 「IOSTANDARD」

Send Feedback

Page 118: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 118UG912 (v2013.4) 2013 年 12 月 20 日

OFFSET_CNTRL

OFFSET_CNTRL

レシーバー オフセッ ト制御である OFFSET_CNTRL は、 プロセス変動を補正するため、 UltraScale デバイスで一部のI/O 規格に対し使用できます。 OFFSET_CNTRL はハイ パフォーマンス (HP) I/O にのみ割り当てるこ とができます。

I/O 規格のサブセッ トの場合、HP I/O バンクで、±35 mV までのプロセス変動が原因で発生する入力バッファーのオフセッ ト をキャンセルするオプシ ョ ンが UltraScale アーキテクチャにはあ り ます。

この機能は入力および双方向バッファー プリ ミ ティブに対し使用できます。

オフセッ ト キャ リブレーシ ョ ンでは、 ユーザーのインターコネク ト ロジッ ク デザインに制御ロジッ クを構築することが求められます。 詳細は、 『UltraScale Series FPGAs SelectIO リ ソース ユーザー ガイ ド』 (UG571) [参照 5]を参照してください。

アーキテクチャ  サポート

UltraScale デバイス

適用可能なオブジェク ト

• 入力または双方向バッファー (get_cells) :

° IBUFE3

° IBUFDSE3

° IOBUFE3

° IOBUFDSE3

OFFSET_CNTRL 属性に使用できる値は次のとおりです。

• CNTRL_NONE (デフォルト ) - オフセッ ト キャンセルをイネーブルにしません。

• FABRIC - 任意の I/O バンクでオフセッ ト キャンセルを実行します。

重要 : オフセッ ト キャンセルを実行するには、 デバイスにオフセッ ト制御回路が必要です。

Send Feedback

Page 119: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 119UG912 (v2013.4) 2013 年 12 月 20 日

OFFSET_CNTRL

構文

Verilog 構文

該当なし

VHDL 構文

該当なし

XDC 構文

XDC ファ イルでは OFFSET_CNTRL 属性を次のよ うな構文で使用します。

set_property OFFSET_CNTRL value [get_ports port_name]

• set_property OFFSET_CNTRL はオフセッ ト キャンセル機能をイネーブルにします。

• <Value> には有効な OFFSET_CNTRL 値のいずれかが入り ます。

• port_name は接続されている入力または双方向ポートです。

影響を受けるステップ

• 配置

• 配線

Send Feedback

Page 120: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 120UG912 (v2013.4) 2013 年 12 月 20 日

PACKAGE_PIN

PACKAGE_PIN

PACKAGE_PIN は、 デバイスの物理的なパッケージ ピンに論理デザインの最上位ポート を配置します。

推奨 : デバイス パッケージの物理的ピンに I/O ポート を割り当てるには、 LOC ではな く PACKAGE_PIN プロパティを使用します。 ターゲッ ト ザイ リ ンクス FPGA のデバイス リ ソースにロジッ ク セルを割り当てるには、 LOC プロパティを使用します。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• ポート (get_ports)

° 最上位ポート

パッケージ ピン名

構文

Verilog 構文

Verilog 属性をポート宣言の直前に配置します。

(* PACKAGE_PIN = "pin_name" *)

Verilog の構文例

// Designates port CLK to be placed on pin B26(* PACKAGE_PIN = "B26" *) input CLK;

VHDL 構文

VHDL 属性は次のよ うに宣言します。

attribute PACKAGE_PIN : string;

VHDL 属性は次のよ うに指定します。

attribute PACKAGE_PIN of port_name : signal is "pin_name";

VHDL の構文例

-- Designates CLK to be placed on pin B26attribute PACKAGE_PIN of CLK : signal is "B26";

Send Feedback

Page 121: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 121UG912 (v2013.4) 2013 年 12 月 20 日

PACKAGE_PIN

XDC 構文

set_property PACKAGE_PIN pin_name [get_ports port_name]

XDC の構文例

# Designates CLK to be placed on pin B26set_property PACKAGE_PIN B26 [get_ports CLK]

影響を受けるステップ

• ピン配置

• place_design

関連項目

「LOC」

Send Feedback

Page 122: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 122UG912 (v2013.4) 2013 年 12 月 20 日

PBLOCK

PBLOCK

PBLOCK は Vivado Design Suite で Pblock に割り当てられるセルに設定する読み出し専用のプロパティです。

Pblock とはセルの集合体で、 1 つまたは複数の長方形のエ リ ア/領域を指し、 このエ リ アで Pblock に含められるデバイス リ ソースを指定します。 Pblock は、 関連ロジッ クをグループにまとめて、 ターゲッ ト デバイスのある領域にそれを割り当てるため、フロアプランニング中に使用されます。デザインのフロアプランニングでの Pblock の使用については、 『Vivado Design Suite ユーザー ガイ ド : デザイン解析およびクロージャ テクニッ ク 』 (UG906) [参照 11] を参照してください。

Pblock は create_pblock Tcl コマンドを使用して作成し、 add_cells_to_pblock コマンドを使用してセルに追加します。 次のコードで Pblock を定義します。

create_pblock Pblock_usbEngineadd_cells_to_pblock [get_pblocks Pblock_usbEngine] [get_cells -quiet [list usbEngine1]]resize_pblock [get_pblocks Pblock_usbEngine] -add {SLICE_X8Y105:SLICE_X23Y149}resize_pblock [get_pblocks Pblock_usbEngine] -add {DSP48_X0Y42:DSP48_X1Y59}resize_pblock [get_pblocks Pblock_usbEngine] -add {RAMB18_X0Y42:RAMB18_X1Y59}resize_pblock [get_pblocks Pblock_usbEngine] -add {RAMB36_X0Y21:RAMB36_X1Y29}

1 行目は Pblock を作成し、 それに名前を指定します。

2 行目は Pblock にロジッ ク セルを割り当てます。 この場合、 指定の階層モジュールにあるセルがすべて Pblock に割り当てられます。 特定の Pblock に割り当てられたセルには PBLOCK プロパティが割り当てられます。

後続のコマンド resize_pblock は、 Pblock 内に含まれるデバイス リ ソースの範囲を指定して、 Pblock のサイズを定義します。 Pblock には、 SLICE、 DSP48、 RAMB18、 RAMB36 という 4 つのデバイス リ ソース タイプのグ リ ッ ドに分かれています。 これらのタイプに当てはまらないロジッ クは、 デバイスの任意位置に配置するこ とができます。 特定の階層レベルにブロ ッ ク RAM のみを制約するには、 それ以外の Pblock グ リ ッ ドをディ スエーブルにします (または単に定義しない)。

上記の Tcl コマンドの詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835)[参照 7] を参照してください。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• セル (get_cells)

• NAME : セルが割り当てられる Pblock の名前です。 この Pblock 名は、 create_pblock コマンドで Pblock を作成する と きに定義します。

Send Feedback

Page 123: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 123UG912 (v2013.4) 2013 年 12 月 20 日

PBLOCK

構文

Verilog 構文

該当なし

VHDL 構文

該当なし

XDC 構文

Pblock は 次の Tcl コマンドを使用して、 XDC ファ イルあるいは直接デザインで定義するこ とができます。

create_pblock <pblock_name>

XDC の例

次のコードで Pblock を定義します。

create_pblock Pblock_usbEngineadd_cells_to_pblock [get_pblocks Pblock_usbEngine] [get_cells -quiet [list usbEngine1]]resize_pblock [get_pblocks Pblock_usbEngine] -add {SLICE_X8Y105:SLICE_X23Y149}resize_pblock [get_pblocks Pblock_usbEngine] -add {DSP48_X0Y42:DSP48_X1Y59}resize_pblock [get_pblocks Pblock_usbEngine] -add {RAMB18_X0Y42:RAMB18_X1Y59}resize_pblock [get_pblocks Pblock_usbEngine] -add {RAMB36_X0Y21:RAMB36_X1Y29}

影響を受けるステップ

• デザインのフロアプラン

• place_design

関連項目

• 36 ページの 「BEL」

• 103 ページの 「LOC」

Send Feedback

Page 124: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 124UG912 (v2013.4) 2013 年 12 月 20 日

POST_CRC

POST_CRC

POST_CRC は、コンフ ィギュレーシ ョ ン ロジッ クの巡回冗長検査 (CRC) というエラー検出機能のオン/オフを設定し、コンフ ィギュレーシ ョ ン メモ リへの変更があれば通知されるよ うにします。

POST_CRC プロパティ をイネーブルにする と、 ビッ ト ス ト リームにあらかじめ計算された CRC 値が生成されます。コンフ ィギュレーシ ョ ン データ フレームが読み込まれる と、デバイスはコンフ ィギュレーシ ョ ン データ パケッ トから CRC 値を計算します。 コンフ ィギュレーシ ョ ン データ フレームの読み込みが終了する と、 コンフ ィギュレーシ ョン ビッ ト ス ト リームはデバイスに対し Check CRC 命令を出力し、それに続いてあらかじめ計算された CRC 値が出力されます。デバイスによ り計算された CRC 値がビッ ト ス ト リームの期待 CRC 値に一致しないと、デバイスは INIT_Bを Low にし、 コンフ ィギュレーシ ョ ンを中止します。 詳細は、 『7 シ リーズ FPGA コンフ ィギュレーシ ョ ン ユーザーガイ ド』 (UG470) [参照 1] を参照してください。

CRC がディ スエーブルになっている場合、CRC 値の代わりに定数値がビッ ト ス ト リームに挿入され、デバイスで CRC値は算出されません。

アーキテクチャ  サポート

• Artix®-7

• Virtex-7

• Kintex-7

適用可能なオブジェク ト

• デザイン (current_design)

° 現在のインプ リ メン ト済みのデザイン

• DISABLE : Post CRC チェッ ク機能をディ スエーブルにします (デフォルト )。

• ENABLE : Post CRC チェッ ク機能をイエーブルにします。

構文

Verilog 構文

該当なし

VHDL 構文

該当なし

XDC 構文

set_property POST_CRC ENABLE | DISABLE [current_design]

XDC の構文例

set_property POST_CRC Enable [current_design]

Send Feedback

Page 125: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 125UG912 (v2013.4) 2013 年 12 月 20 日

POST_CRC

影響を受けるステップ

• write_bitstream

• launch_runs

関連項目

• 126 ページの 「POST_CRC_ACTION」

• 128 ページの 「POST_CRC_FREQ」

• 130 ページの 「POST_CRC_INIT_FLAG」

• 132 ページの 「POST_CRC_SOURCE」

Send Feedback

Page 126: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 126UG912 (v2013.4) 2013 年 12 月 20 日

POST_CRC_ACTION

POST_CRC_ACTION 

POST_CRC_ACTION はコンフ ィギュレーシ ョ ン ロジッ ク CRC エラー検出モードに使用されます。 このプロパティは、 CRC の不一致が検出されたと きのデバイスの対処方法を決めるもので、 その対処方法には、エラー訂正、操作実行、 コンフ ィギュレーシ ョ ン停止があ り ます。

リードバッ ク中、 シンド ローム ビッ トは毎フレーム計算されます。 シングル ビッ ト エラーが検出される と、 リードバッ クはすぐに中止されます。 POST_CRC_ACTION プロパティによ り訂正が行われる場合、 リードバッ ク CRC ロジッ クがシングル ビッ ト エラーの訂正を実行します。 エラーが出ているフレームは再度リードバッ ク され、 シンドロームの情報を使用して、エラーになっているビッ トは修正されてフレームに書き戻されます。POST_CRC_ACTIONが Correct_And_Continue に設定されている場合は、 リ ードバッ ク ロジ ッ クが最初のア ド レスから再開始し ます。Correct_And_Halt に設定されている場合は、リードバッ ク ロジッ クは訂正後に停止します。詳細は、『7 シ リーズ FPGAコンフ ィギュレーシ ョ ン ユーザー ガイ ド』 (UG470) [参照 1] を参照してください。

「POST_CRC」 が ENABLE に設定されている場合にのみこのプロパティは使用できます。

アーキテクチャ  サポート

• Artix-7

• Virtex-7

• Kintex-7

適用可能なオブジェク ト

• デザイン (current_design)

° 現在のインプ リ メン ト済みのデザイン

• HALT : CRC の不一致が検出される と、 ビッ ト ス ト リームのリードバッ ク、比較 CRC の計算、そしてあらかじめ計算された CRC との比較が中止になり ます。

• CONTINUE : CRC の比較によ り CRC の不一致が検出される と、ビッ ト ス ト リームのリードバッ ク、比較 CRC の計算、 あらかじめ計算された CRC との比較は続行します。

• CORRECT_AND_CONTINUE : CRC の比較によ り CRC の不一致が検出される と、それは訂正され、 ビッ ト ス トリームのリードバッ ク、 比較 CRC の計算、 あらかじめ計算された CRC との比較は続行します。

• CORRECT_AND_HALT : CRC の不一致が検出される と、 それは訂正され、 ビッ ト ス ト リームのリードバッ ク、比較 CRC の計算、 あらかじめ計算された CRC との比較は中止になり ます。

Send Feedback

Page 127: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 127UG912 (v2013.4) 2013 年 12 月 20 日

POST_CRC_ACTION

構文

Verilog 構文

該当なし

VHDL 構文

該当なし

XDC 構文

set_property POST_CRC_ACTION <VALUE> [get_ports port_name]

• <VALUE> には POST_CRC_ACTION プロパティで使用可能な値の 1 つが入り ます。

XDC の構文例

set_property POST_CRC_ACTION correct_and_continue [current_design]

影響を受けるステップ

• write_bitstream

• launch_runs

関連項目

• 124 ページの 「POST_CRC」

• 128 ページの 「POST_CRC_FREQ」

• 130 ページの 「POST_CRC_INIT_FLAG」

• 132 ページの 「POST_CRC_SOURCE」

Send Feedback

Page 128: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 128UG912 (v2013.4) 2013 年 12 月 20 日

POST_CRC_FREQ

POST_CRC_FREQ

POST_CRC_FREQ は、 現在のデザインに対し、 コンフ ィギュレーシ ョ ン CRC チェッ クが実行される周波数を設定します。

「POST_CRC」 が ENABLE に設定されている場合にのみこのプロパティは使用できます。 POST_CRC プロパティをイネーブルにする と、ビッ ト ス ト リームの予め計算されている値と、コンフ ィギュレーシ ョ ン メモ リ セルを リードバックするこ とで計算される内部 CRC 値を周期的に比較できるよ うにな り ます。

POST_CRC_FREQ は、 リードバッ クの周波数を MHz で定義し、 デフォルト値は 1MHz です。

アーキテクチャ  サポート

• Artix-7

• Virtex-7

• Kintex-7

適用可能なオブジェク ト

• デザイン (current_design)

° 現在のインプ リ メン ト済みのデザイン

• MHz で周波数を定数で指定します。 使用できる値は次のとおりです。

° 1 2 3 4 6 7 8 10 12 13 16 17 22 25 26 27 33 40 44 50 66 100

° デフォルト = 1 MHz

構文

Verilog 構文

該当なし

VHDL 構文

該当なし

XDC 構文

set_property POST_CRC_FREQ <VALUE> [current_design]

• <VALUE> には POST_CRC_FREQ プロパティで使用可能な値の 1 つが入り ます。

XDC の構文例

set_property POST_CRC_FREQ 50 [current_design]

Send Feedback

Page 129: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 129UG912 (v2013.4) 2013 年 12 月 20 日

POST_CRC_FREQ

影響を受けるステップ

• write_bitstream

• launch_runs

関連項目

• 124 ページの 「POST_CRC」

• 126 ページの 「POST_CRC_ACTION」

• 130 ページの 「POST_CRC_INIT_FLAG」

• 132 ページの 「POST_CRC_SOURCE」

Send Feedback

Page 130: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 130UG912 (v2013.4) 2013 年 12 月 20 日

POST_CRC_INIT_FLAG

POST_CRC_INIT_FLAG

POST_CRC_INIT_FLAG は、SEU (Single Event Upset) エラー信号の出力と して INIT_B ピンをイネーブルにするかど うかを決定します。

エラー コンディシ ョ ンは常に FRAME_ECC サイ トから出力されます。 しかし、 POST_CRC_INIT_FLAG がイネーブルになっている場合 (デフォルト )、 CRC エラーが発生する と INIT_B ピンもそれをフラグします。

「POST_CRC」 が ENABLE に設定されている場合にのみこのプロパティは使用できます。

アーキテクチャ  サポート

• Artix-7

• Virtex-7

• Kintex-7

適用可能なオブジェク ト

• デザイン (current_design)

° 現在のインプ リ メン ト済みのデザイン

• DISABLE : INIT_B ピンを使用せず、 FRAME_ECC サイ トが CRC エラー信号のソースになり ます。

• ENABLE : INIT_B ピンはイネーブルになり、 これが CRC エラー信号のソースになり ます。 これがデフォルト設定です。

構文

Verilog 構文

該当なし

VHDL 構文

該当なし

XDC 構文

set_property POST_CRC_INIT_FLAG ENABLE | DISABLE [curent_design]

XDC の構文例

set_property POST_CRC_INIT_FLAG Enable [current_design]

影響を受けるステップ

• write_bitstream

Send Feedback

Page 131: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 131UG912 (v2013.4) 2013 年 12 月 20 日

POST_CRC_INIT_FLAG

• launch_runs

関連項目

• 124 ページの 「POST_CRC」

• 126 ページの 「POST_CRC_ACTION」

• 128 ページの 「POST_CRC_FREQ」

• 132 ページの 「POST_CRC_SOURCE」

Send Feedback

Page 132: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 132UG912 (v2013.4) 2013 年 12 月 20 日

POST_CRC_SOURCE

POST_CRC_SOURCE

POST_CRC_SOURCE は、 コンフ ィギュレーシ ョ ン メモ リへの変更通知用にコンフ ィギュレーシ ョ ン ロジッ ク CRCのエラー検出機能が使用される と きの、 CRC 値のソースを指定します。

「POST_CRC」 が ENABLE に設定されている場合にのみこのプロパティは使用できます。

POST_CRC プロパティ をイネーブルにする と、 ビッ ト ス ト リームにあらかじめ計算された CRC 値が生成されます。コンフ ィギュレーシ ョ ン データ フレームが読み込まれる と、デバイスはコンフ ィギュレーシ ョ ン データ パケッ トから CRC 値を計算します。POST_CRC_SOURCE プロパティは、期待 CRC 値が予め計算された値から く るものなのか、または最初のリードバッ クのコンフ ィギュレーシ ョ ン データから得られるものなのかを定義します。

アーキテクチャ  サポート

• Artix-7

• Virtex-7

• Kintex-7

適用可能なオブジェク ト

• デザイン (current_design)

° 現在のインプ リ メン ト済みのデザイン

• PRE_COMPUTED : ビッ ト ス ト リームから期待 CRC 値を決定します。 これがデフォルト設定です。

• FIRST_READBACK : この後繰り返される リードバッ クでの比較用に、最初のリードバッ クから実際の CRC 値を取得します。

構文

Verilog 構文

該当なし

VHDL 構文

該当なし

XDC 構文

set_property POST_CRC_SOURCE FIRST_READBACK | PRE_COMPUTED [current_design]

XDC の構文例

set_property POST_CRC_SOURCE PRE_COMPUTED [current_design]

Send Feedback

Page 133: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 133UG912 (v2013.4) 2013 年 12 月 20 日

POST_CRC_SOURCE

影響を受けるステップ

• write_bitstream

• launch_runs

関連項目

• 124 ページの 「POST_CRC」

• 126 ページの 「POST_CRC_ACTION」

• 128 ページの 「POST_CRC_FREQ」

• 130 ページの 「POST_CRC_INIT_FLAG」

Send Feedback

Page 134: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 134UG912 (v2013.4) 2013 年 12 月 20 日

PRE_EMPHASIS

PRE_EMPHASIS

伝送ラインで高周波ロスが発生する高周波信号のシグナル インテグ リティを改善するため、 PRE_EMPHASIS プロパティが使用されます。 ト ランス ミ ッ ター プリエンファシス (PRE_EMPHASIS) 機能によ り、 ある I/O 規格の信号ド ライバーにプリエンファシスを使用できるよ うになり ます。

ヒン ト : 全体的なシグナル インテグ リ テ ィ を向上させるため、 ト ランス ミ ッ ターでのプ リエンファシスは、 レシーバーでの 「EQUALIZATION」 と組み合わせるこ とができます。

理想的な信号は、周波数のシンボル間隔内でロジッ ク遷移を実行します。 しかしロスの多い伝送ラインではシンボル間隔が長くなる可能性があ り ます。 伝送ライン ロスを踏まえ、 プ リエンファシスは遷移で電圧ゲインを提供します。周波数ド メ インでは、 プ リエンファシスによ りデータ ス ト リームの各遷移で高周波が引き上げられます。

プリエンファシスの選択は、レシーバー側でのシグナル インテグ リティにも重要です。プリエンファシスは信号エッジ レート を高め、 それは周辺信号のクロス トーク も高めます。

プリエンファシスのクロス トークおよび信号不連続性の影響は伝送ライン特性に依存しているため、影響が最小限のものであるこ とを確認するにはシ ミ ュレーシ ョ ンが必要です。信号をオーバーエンファシスする と、信号の質は改善されるよ りむしろ悪化する可能性があ り ます。

アーキテクチャ  サポート

UltraScale

適用可能なオブジェク ト

• ポート (get_ports)

PRE_EMPHASIS 属性に使用できる値は次のとおりです。

• RDRV_NONE (デフォルト ) - ト ランス ミ ッ ターのプリエンファシスをイネーブルにしません。

• RDRV_240 - プリエンファシスをイネーブルにします。

構文

Verilog 構文 

該当なし

VHDL 構文 

該当なし

XDC 構文

XDC ファ イルでは PRE_EMPHASIS 属性を次のよ うな構文で使用します。

set_property PRE_EMPHASIS value [get_ports port_name]

Send Feedback

Page 135: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 135UG912 (v2013.4) 2013 年 12 月 20 日

PRE_EMPHASIS

• set_property PRE_EMPHASIS は、 ト ランス ミ ッ ターでプリエンファシスをイネーブルにします。

• port_name は差動バッファーに接続される出力ポート または双方向ポートです。

影響を受けるステップ

関連項目 

• 66 ページの 「EQUALIZATION」

• 112 ページの 「LVDS_PRE_EMPHASIS」

Send Feedback

Page 136: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 136UG912 (v2013.4) 2013 年 12 月 20 日

PROHIBIT

PROHIBIT

PROHIBIT は配置に使用できないピンまたはサイ ト を指定します。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• サイ ト (get_sites)

• BEL (get_bels)

1

構文

Verilog 構文

該当なし

VHDL 構文

該当なし

XDC 構文

set_property PROHIBIT 1 [get_sites site]

XDC の構文例

# Prohibit the use of package pin Y32set_property prohibit 1 [get_sites Y32]

影響を受けるステップ

• I/O 配置

• place_design

PULLDOWN

PULLDOWN はト ライステート出力または双方向ポートにウ ィーク Low を適用し、 フローティングしないよ うにし、

ト ラ イステート ネッ トが駆動されていないと きにフローティングしないよ う、 ロジッ ク Low に確約します。

Send Feedback

Page 137: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 137UG912 (v2013.4) 2013 年 12 月 20 日

PULLDOWN

入力バッファー (IBUF など)、 ト ラ イステート出力バッファー (OBUFT など)、 および双方向バッファー (IOBUF など) には、 ウ ィーク プルアップ抵抗、 ウ ィーク プルダウン抵抗、 またはウ ィーク キーパー回路を含めるこ とができます。バッファーに接続されているネッ ト オブジェク トに次のプロパティの 1 つを加えるこ とで、 この機能を使用できます。

• PULLUP

• PULLDOWN

• KEEPER

詳細は、 『Vivado Design Suite 7 シ リーズ FPGA ラ イブラ リ ガイ ド』 (UG953) [参照 12]または 『UltraScale アーキテクチャ ライブラ リ ガイ ド』 (UG974) [参照 13]を参照してください。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• I/O バッファーに接続されているネッ ト (get_nets)

• TRUE | YES : 駆動されていないと きに信号がフローティングしないよ うプルダウン回路を使用します。

• FALSE | NO : プルダウン回路を使用しません。 デフォルトです。

構文

Verilog 構文

Verilog 属性をモジュールまたはインスタンシエーシ ョ ンの直前に配置します。 次のよ うに指定します。

(* PULLDOWN = " {YES|NO|TRUE|FALSE}" *)

Send Feedback

Page 138: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 138UG912 (v2013.4) 2013 年 12 月 20 日

PULLDOWN

VHDL 構文

VHDL 属性は次のよ うに宣言します。

attribute pulldown: string;

VHDL 属性は次のよ うに指定します。

attribute pulldown of signal_name : signal is “{YES|NO|TRUE|FALSE}”;

XDC 構文

set_property PULLDOWN {TRUE|FALSE} [get_nets net_name]

• net_name には、 IBUF、 OBUFT、 または IOBUF セルに接続されているネッ ト名が入り ます。

XDC の構文例

# Use a pulldown circuitset_property PULLDOWN true [get_nets n1]

影響を受けるステップ

• 論理から物理へのマッピング

関連項目

• 101 ページの 「KEEPER」

• 139 ページの 「PULLUP」

Send Feedback

Page 139: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 139UG912 (v2013.4) 2013 年 12 月 20 日

PULLUP

PULLUP

PULLUP はト ライステート出力または双方向ポートにウ ィーク High を適用し、フローティングしないよ うにします。ト ラ イステート ネッ トが駆動されていないと きにフローティングしないよ う、 ロジッ ク High に確約します。

入力バッファー (IBUF など)、 ト ラ イステート出力バッファー (OBUFT など)、 および双方向バッファー (IOBUF など) には、 ウ ィーク プルアップ抵抗、 ウ ィーク プルダウン抵抗、 またはウ ィーク キーパー回路を含めるこ とができます。バッファーに接続されているネッ ト オブジェク トに次のプロパティの 1 つを加えるこ とで、 この機能を使用できます。

• PULLUP

• PULLDOWN

• KEEPER

詳細は、 『Vivado Design Suite 7 シ リーズ FPGA ラ イブラ リ ガイ ド』 (UG953) [参照 12]または 『UltraScale アーキテクチャ ライブラ リ ガイ ド』 (UG974) [参照 13]を参照してください。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• I/O バッファーに接続されているネッ ト (get_nets)

• TRUE | YES : 駆動されていないと きに信号がフローティングしないよ うプルアップ回路を使用します。

• FALSE | NO : プルアップ回路を使用しません。 デフォルトです。

構文

Verilog 構文

Verilog 属性をモジュールまたはインスタンシエーシ ョ ンの直前に配置します。 次のよ うに指定します。

(* PULLUP = " {YES|NO|TRUE|FALSE}" *)

Send Feedback

Page 140: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 140UG912 (v2013.4) 2013 年 12 月 20 日

PULLUP

VHDL 構文

VHDL 属性は次のよ うに宣言します。

attribute pullup: string;

VHDL 属性は次のよ うに指定します。

attribute pullup of signal_name : signal is “{YES|NO|TRUE|FALSE}”;

XDC 構文

set_property PULLUP {TRUE|FALSE} [get_nets net_name]

• net_name には、 IBUF、 OBUFT、 または IOBUF セルに接続されているネッ ト名が入り ます。

XDC の構文例

set_property PULLUP true [get_nets n1]

影響を受けるステップ

• 論理から物理へのマッピング

関連項目

• 101 ページの 「KEEPER」

• 136 ページの 「PULLDOWN」

Send Feedback

Page 141: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 141UG912 (v2013.4) 2013 年 12 月 20 日

REF_NAME

REF_NAME

これはデザインのセルに設定する読み出し専用のプロパティで、 セルを識別する論理セル名を指定します。

この REF_NAME プロパティは Vivado Design Suite によ り自動的に定義され、 HDL や XDC でユーザーが変更することはできません。 参照用に使用します。

このプロパティはデザイン フローには影響しませんが、特定セルやほかのオブジェク ト を識別するため、フ ィルターおよび Vivado Tcl コマンド クエ リーを定義するのに非常に便利です。

たとえば、 RAM セルのクロ ッ ク ピンを選択するには、 セルの REF_NAME プロパティに基づいてピン オブジェク トをフ ィルターにかけます。

get_pins -hier */*W*CLK -filter {REF_NAME =~ *RAM* && IS_PRIMITIVE}

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• セル (get_cells)

該当なし

構文

該当なし

影響を受けるステップ

なし

Send Feedback

Page 142: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 142UG912 (v2013.4) 2013 年 12 月 20 日

REF_PIN_NAME

REF_PIN_NAME

これはデザインのピンに設定する読み出し専用のプロパティで、 ピンを識別する論理セル名を指定します。

REF_PIN_NAME は、 ピンの NAME または HIERARCHICAL NAME から自動的に定義され、HDL や XDC でユーザーが変更するこ とはできません。 参照用に使用します。

このプロパティはデザイン フローには影響しませんが、特定セルやほかのオブジェク ト を識別するため、フ ィルターおよび Vivado Tcl コマンド クエ リーを定義するのに非常に便利です。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• ピン (get_pins)

該当なし

構文

該当なし

影響を受けるステップ

なし

Send Feedback

Page 143: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 143UG912 (v2013.4) 2013 年 12 月 20 日

RLOC

RLOC

H_SET、 HU_SET、 U_SET などのセッ トに割り当てられているロジッ ク エレ メン トの相対的な配置を RLOC 制約は定義します。

RLOC が RTL ソース ファ イルにある場合、 H_SET、 HU_SET、 U_SET プロパティは、 合成後のネッ ト リ ス ト でセルの読み出し専用に RPM プロパティに変換されます。 RLOC プロパティは保持されますが、 合成後には読み出し専用プロパティになり ます。 これらのプロパティの使用および RPM の定義については、 『Vivado Design Suite ユーザー ガイ ド : 制約の使用』 (UG903) [参照 9]を参照してください。

ターゲッ ト デバイスへのセッ ト全体の実際の配置には関係なく、セッ トに含まれるほかのエレ メン トに相対してセット内のエレ メン トの配置を定義できます。 たとえば、 RLOC 制約が 1 列にまとめられた 8 個のフ リ ップフロ ップから成るグループに適用されている場合、 マップ プログラムはその列を維持し、 1 つのユニッ ト と してフ リ ップフロ ップのグループ全体を移動します。 それとは対照的に、 LOC 制約は、 ほかのデザイン エレ メン トへの参照なしに、 ターゲッ ト デバイスにデザイン エレ メン トの絶対ロケーシ ョ ンを定義します。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• RTL ソース ファ イルのインスタンスまたはモジュール

スライス ベースの XY 軸を使用して RLOC は指定されます。

RLOC=XmYn

• m は X 軸の値を示す整数です。

• n は Y 軸の値を示す整数です。

ヒン ト : RLOC 制約の X および Y の値はデザイン エレ メン ト間の順序および関連性を定義し、 ターゲッ ト デバイスでの絶対ロケーシ ョ ンを定義するものではないため、 これらの値は負の値になる場合があ り ます。

構文

Verilog 構文

RLOC プロパティは、 RTL ソース ファ イル内で H_SET、 HU_SET、 または U_SET で指定されるセッ ト内のデザインエレ メン トの相対配置を定義する Verilog 属性です。 Verilog 属性はロジッ ク エレ メン トのインスタンシエーシ ョ ン直前に配置します。

(* RLOC = "XmYn", HU_SET = "h0" *) FD sr0 (.C(clk), .D(sr_1n), .Q(sr_0));

Send Feedback

Page 144: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 144UG912 (v2013.4) 2013 年 12 月 20 日

RLOC

Verilog 例

これは、 ffs 階層モジュールでシフ ト レジスタ フ リ ップフロ ップの RLOC プロパティを定義する Verilog モジュールです。

module inv (input a, output z);

LUT1 #(.INIT(2'h1)) lut1 (.I0(a), .O(z));

endmodule // inv

module ffs ( input clk, input d, output q );

wire sr_0, sr_0n; wire sr_1, sr_1n; wire sr_2, sr_2n; wire sr_3, sr_3n; wire sr_4, sr_4n; wire sr_5, sr_5n; wire sr_6, sr_6n; wire sr_7, sr_7n; wire inr, inrn, outr;

inv i0 (sr_0, sr_0n); inv i1 (sr_1, sr_1n); inv i2 (sr_2, sr_2n); inv i3 (sr_3, sr_3n); inv i4 (sr_4, sr_4n); inv i5 (sr_5, sr_5n); inv i6 (sr_6, sr_6n); inv i7 (sr_7, sr_7n); inv i8 (inr, inrn);

(* RLOC = "X0Y0" *) FD sr0 (.C(clk), .D(sr_1n), .Q(sr_0)); (* RLOC = "X0Y1" *) FD sr1 (.C(clk), .D(sr_2n), .Q(sr_1)); (* RLOC = "X0Y2" *) FD sr2 (.C(clk), .D(sr_3n), .Q(sr_2)); (* RLOC = "X0Y3" *) FD sr3 (.C(clk), .D(sr_4n), .Q(sr_3)); (* RLOC = "X0Y4" *) FD sr4 (.C(clk), .D(sr_5n), .Q(sr_4)); (* RLOC = "X0Y5" *) FD sr5 (.C(clk), .D(sr_6n), .Q(sr_5)); (* RLOC = "X0Y6" *) FD sr6 (.C(clk), .D(sr_7n), .Q(sr_6)); (* RLOC = "X0Y7" *) FD sr7 (.C(clk), .D(inrn), .Q(sr_7)); (* LOC = "SLICE_X0Y0" *) FD inq (.C(clk), .D(d), .Q(inr)); FD outq (.C(clk), .D(sr_0n), .Q(outr));

assign q = outr; endmodule // ffs

ヒン ト : 先の例では、RLOC プロパティがあるので、ffs 階層モジュールの FD インスタンスに H_SET プロパティが使用されているこ とが暗示されています。

Send Feedback

Page 145: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 145UG912 (v2013.4) 2013 年 12 月 20 日

RLOC

先の例では、 ffs モジュールのインスタンスに KEEP_HIERARCHY プロパティを指定して、 合成されたデザインで 階層を保持し、 RPM を定義する必要があ り ます。

module top ( input clk, input d, output q );

wire c1, c2;

(* RLOC_ORIGIN = "X1Y1", KEEP_HIERARCHY = "YES" *) ffs u0 (clk, d, c1);(* RLOC_ORIGIN = "X3Y3", KEEP_HIERARCHY = "YES" *) ffs u1 (clk, c1, c2);(* RLOC_ORIGIN = "X5Y5", KEEP_HIERARCHY = "YES" *) ffs u2 (clk, c2, q);

endmodule // top

VHDL 構文

VHDL 制約は次のよ うに宣言します。

attribute RLOC: string;

VHDL 制約は次のよ うに指定します。

attribute RLOC of {component_name | entity_name | label_name} : {component|entity|label} is “XmYn”;

• {component_name | entity_name | label_name} にはデザイン エレ メン ト を指定します。

• {component|entity|label} は指定したデザイン エレ メン トのインスタンス ID です。

• XmYn は指定されたデザイン エレ メン トの RLOC 値を定義します。

Send Feedback

Page 146: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 146UG912 (v2013.4) 2013 年 12 月 20 日

RLOC

XDC 構文

RLOC プロパティは XDC 制約を使用しては定義できません。 RLOC プロパティは相対的に配置されたマクロ (RPM)のオブジェク トの相対ロケーシ ョ ンを定義し、 また合成されたデザインのネッ ト リ ス トでは読み出し専用の RPM および RLOC プロパティにな り ます。

ヒ ン ト : デザイ ン内で RPM のよ う に機能するマ ク ロ オブジェ ク ト を Vivado Design Suite で定義するには、create_macro または update_macro を使用します。 これらのコマンドの詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835)[参照 7] を参照してください。

影響を受けるステップ

• 論理から物理へのマッピング

• place_design

• synth_design

関連項目

• 72 ページの 「H_SET および HU_SET」

• 143 ページの 「RLOC」

• 147 ページの 「RLOCS」

• 149 ページの 「RLOC_ORIGIN」

• 154 ページの 「RPM」

• 155 ページの 「RPM_GRID」

• 160 ページの 「U_SET」

Send Feedback

Page 147: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 147UG912 (v2013.4) 2013 年 12 月 20 日

RLOCS

RLOCS

RLOCS は、Vivado Design Suite の create_macro Tcl コマンドによ り作成された XDC マクロ オブジェク トに割り当てられる読み出し専用のプロパティです。RLOCS が update_macro コマンドでアップデート される とマクロに割り当てられます。 これらのコマンドの詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835)[参照 7]を参照してください。

RPM と同様に、 XDC マクロはセル グループを相対的に配置します。マクロは多くの点で RPM の類似していますが、大きな違いもあ り ます。

• RLOC プロパティ と、 H_SET、 HU_SET、 または U_SET プロパティの組み合わせで RTL ソース ファ イルで RPMは定義されます。

• RPM は合成後のデザインでは変更できません。

• マクロは、 相対配置制約によ りグループ化された リーフ セルから作成され、 合成後に変更できます。

• RPM を自動的にマクロに変換するこ とはできません。

• RPM はデザイン オブジェク トではなく、 XDC マクロ コマンドを RPM に使用するこ とはできません。

rlocs 引数にあるよ うに、 update_macro コマンドでRLOCS プロパティの相対配置の値を指定します。

"cell0 rloc0 cell1 rloc1 … cellN rlocN"

XDC マクロ オブジェク トの割り当てられている RLOCS プロパティを変更するには、 update_macro コマンドを使用します。

RLOCS プロパティは XDC マク ロの一部である個々のセルそれぞれに対し RLOC プロパティに変換されます。 この後、RLOC プロパティは、マクロのセルの相対配置を定義するこ とによ り、RPM に対するのと同じよ うに機能します。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• セル (get_cells)

• Cell1 RLOC1 Cell2 RLOC2 Cell3 RLOC3...:マクロのセルの名前と、 その相対ロケーシ ョ ンがペアになっています。

構文

Verilog 構文

該当なし

VHDL 構文

該当なし

Send Feedback

Page 148: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 148UG912 (v2013.4) 2013 年 12 月 20 日

RLOCS

XDC 構文

XDC マクロが作成され、 セルおよび相対ロケーシ ョ ンが自動入力される と き、 RLOCS プロパティが間接的に定義されます。

XDC の例

create_macro macro1update_macro macro1 {u1/sr3 X0Y0 u1/sr4 X1Y0 u1/sr5 X0Y1}

report_property -all [get_macros macro1]Property Type Read-only Visible ValueABSOLUTE_GRID bool true true 0CLASS string true true macroNAME string true true macro1RLOCS string* true true u1/sr3 X0Y0 u1/sr4 X1Y0 u1/sr5

影響を受けるステップ

• 論理から物理へのマッピング

• place_design

• synth_design

関連項目

• 72 ページの 「H_SET および HU_SET」

• 143 ページの 「RLOC」

• 149 ページの 「RLOC_ORIGIN」

• 154 ページの 「RPM」

• 155 ページの 「RPM_GRID」

• 160 ページの 「U_SET」

Send Feedback

Page 149: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 149UG912 (v2013.4) 2013 年 12 月 20 日

RLOC_ORIGIN

RLOC_ORIGIN

RTL デザインで相対配置マクロ (RPM) の絶対ロケーシ ョ ン、または LOC を決めるのが RLOC_ORIGIN プロパティです。 RPM の定義および RLOC_ORIGIN プロパティの使用については、 『Vivado Design Suite ユーザー ガイ ド : 制約の使用』 (UG903) [参照 9]を参照してください。

RPM は、 RTL デザインで H_SET、 HU_SET、 または U_SET プロパティを使用して、 セッ トにデザイン エレ メン ト を割り当てるこ とで定義されます。 この後、 RLOC プロパティを使用して、相互相対的にデザイン エレ メン トの配置が割り当てられます。ターゲッ ト デバイスへのセッ ト全体の実際の配置には関係なく、セッ トに含まれるほかのエレ メン トに相対してセッ ト内のエレ メン トの配置を定義できます。

RPM のエレ メン ト、その相対的配置を定義し終える と、RLOC_ORIGIN プロパティで、ターゲッ ト デバイスへの RPMの絶対配置を定義できます。 RLOC_ORIGIN プロパティは、 合成中に LOC 制約に変換されます。

Vivado Design Suite では、 RLOC_ORIGIN プロパテ ィは RPM の左下を定義します。 これは、 RLOC_ORIGIN プロパティが X0Y0 のデザイン エレ メン トであるこ とが一般的です。 RPM の残りのセルは、 グループの原点を基準と した相対ロケーシ ョ ン (RLOC) を使用して配置されます。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• RTL ソース ファ イル内のインスタンス

スライス ベースの XY 軸を使用して RLOC は指定されます。

RLOC_ORIGIN=XmYn

• m は整数の値で、 RPM の左下、 ターゲッ ト デバイスの X 軸の絶対値を表します。

• n は整数の値で、 RPM の左下、 ターゲッ ト デバイスの Y 軸の絶対値を表します。

構文

Verilog 構文

RLOC_ORIGIN プロパティは、 ターゲッ ト デバイスでの RPM の絶対配置を定義する Verilog 属性です。 Verilog 属性はロジッ ク エレ メン トのインスタンシエーシ ョ ン直前に配置します。

(* RLOC_ORIGIN = "XmYn", HU_SET = "h0" *) FD sr0 (.C(clk), .D(sr_1n), .Q(sr_0));

Verilog 例

次の最上位 Verilog モジュールは、 デザインの ffs モジュールの RLOC_ORIGIN プロパティを定義しています。

module top (

Send Feedback

Page 150: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 150UG912 (v2013.4) 2013 年 12 月 20 日

RLOC_ORIGIN

input clk, input d, output q );

wire c1, c2;

(* RLOC_ORIGIN = "X1Y1", KEEP_HIERARCHY = "YES" *) ffs u0 (clk, d, c1);(* RLOC_ORIGIN = "X3Y3", KEEP_HIERARCHY = "YES" *) ffs u1 (clk, c1, c2);(* RLOC_ORIGIN = "X5Y5", KEEP_HIERARCHY = "YES" *) ffs u2 (clk, c2, q);

endmodule // top

次の例は最初の例と非常に類似していますが、RLOC_ORIGIN は最初の ffs モジュール u0 だけに割り当てられていて、残りは相対配置用に RLOC プロパティで定義されている点が異なり ます。

module top ( input clk, input d, output q );

wire c1, c2;

// what would happen if the origin places the RPM outside // device?

(* RLOC_ORIGIN = "X74Y15", RLOC = "X0Y0" *) ffs u0 (clk, d, c1); (* RLOC = "X1Y1" *) ffs u1 (clk, c1, c2); (* RLOC = "X2Y2" *) ffs u2 (clk, c2, q);

endmodule // top

VHDL 構文

VHDL 制約は次のよ うに宣言します。

attribute RLOC_ORIGIN: string;

VHDL 制約は次のよ うに指定します。

attribute RLOC_ORIGIN of {component_name | entity_name | label_name} : {component|entity|label} is “XmYn”;

• {component_name | entity_name | label_name} にはデザイン エレ メン ト を指定します。

• {component|entity|label} は指定したデザイン エレ メン トのインスタンス ID です。

• XmYn は指定されたデザイン エレ メン トの RLOC_ORIGIN 値を定義します。

XDC 構文

RLOC_ORIGIN プロパティは合成されたデザインで LOC プロパティに変換されます。ターゲッ ト デバイスに RPM のエレ メン トの 1 つを配置するこ とで、 RPM の LOC プロパティは指定できます。 RPM のほかにエレ メン トは、 このロケーシ ョ ンに相対的に配置され、 LOC プロパティに割り当てられます。

Send Feedback

Page 151: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 151UG912 (v2013.4) 2013 年 12 月 20 日

RLOC_ORIGIN

影響を受けるステップ

• 論理から物理へのマッピング

• place_design

• synth_design

関連項目

• 72 ページの 「H_SET および HU_SET」

• 143 ページの 「RLOC」

• 147 ページの 「RLOCS」

• 154 ページの 「RPM」

• 155 ページの 「RPM_GRID」

• 160 ページの 「U_SET」

Send Feedback

Page 152: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 152UG912 (v2013.4) 2013 年 12 月 20 日

ROUTE_STATUS

ROUTE_STATUS

ROUTE_STATUS は読み出し専用のプロパティで、 ネッ ト配線の最新情報を反映させるため、 Vivado 配線プログラムでネッ トに割り当てます。

このプロパティは、 get_property または report_property コマンドを使用して、 個々のネッ ト別またはネッ トのグループ別に検索できます。

デザイン全体の ROUTE_STATUS を確認するには、 report_route_status コマンドを使用します。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• ネッ ト (get_nets)

• ROUTED : ネッ トが完全に配置配線されています。

• PARTIAL : ネッ トのすべてのピンおよびポートが配置され、 ネッ トの一部は配線されていますが、 配線されていない部分があるので route_design を実行する必要があ り ます。

• UNPLACED : 配置されていないピンやポートがあ り、 配置を完了させるため place_design を実行する必要があ り ます。

• UNROUTED : ネッ トのすべてのピンおよびポートが配置されていますが、ネッ トに配線データがないため、配線を完了させるのに route_design 実行する必要があ り ます。

• INTRASITE : ターゲッ ト デバイスの同じサイ ト内で配線全体が完了していて、接続を完了させるのに配線リ ソースは不要です。 これはエラーではあ り ません。

• NOLOADS : 配線に論理ロードがないか、 配線可能なロード ピンがないので、 配線は不要です。 これはエラーではあ り ません。

• NODRIVER : 配線に論理ド ライバーがないか、 配線可能なド ライバーがないので、 配線は不要です。 これはデザイン エラーです。

• HIERPORT : 配線可能なロードまたはド ライバーのない最上位階層ポートに配線が接続されています。 これはエラーではあ り ません。

• ANTENNAS : 配線に最低 1 つのアンテナがある (アンテナとはサイ ト ピンに接続する枝葉を指すが、そのサイ トピンがこの論理ネッ トに接続されているこ とを表していない)、 または配線に少なく と も 1 つの島がある (島とは論理ネッ トに関連付けられているサイ ト ピンのいずれにも接続されていない配線の一部を指す)。 これは配線エラーです。

Send Feedback

Page 153: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 153UG912 (v2013.4) 2013 年 12 月 20 日

ROUTE_STATUS

• CONFLICTS : 配線プログラムに次の配線エラーが 1 つ以上見られます。

° 配線の競合 : この配線のノードが 1 つ以上、ほかの配線、または同じ配線の別の分岐でも使用されています。

° サイ ト ピンの競合 : サイ ト内のサイ ト ピンに接続されている論理ピンと、サイ ト外に配線を介して接続されている論理ネッ トが異なり ます。

° 無効サイ トの競合 : サイ トのプログラ ミ ングが無効な状態であるサイ トのサイ トのサイ ト ピンに配線が接続されていて、 サイ ト内の配線が正し く接続されているか判断できない状態です。

• ERROR : 配線ステータスを判断するにあたって内部エラーが発生した状態です。

• NONET : 配線ステータス用に指定されているネッ ト オブジェク トが存在しないか、 または入力したよ うには検出されません。

• NOROUTE : エラーのため、 指定のネッ トに対し配線オブジェク ト を検出できません。

• NOROUTESTORAGE : エラーのため、このデバイスに対しては配線ス ト レージ オブジェク トは使用できません。

• UNKNOWN : エラーのため、 配線ステートは計算できません。

構文

ROUTE_STATUS プロパティの値は、 先に説明した値の 1 つになり ます。 また、 Vivado 配線によ り割り当てられる読み出し専用プロパティで、 直接変更はできません。

影響を受けるステップ

• デザインの配線

Send Feedback

Page 154: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 154UG912 (v2013.4) 2013 年 12 月 20 日

RPM

RPM

RTL ソース ファ イルで H_SET、 HU_SET、 または U_SET プロパティを使用して定義されたセッ トのロジッ ク エレ メン トに RPM は割り当てられ、 読み出し専用になっています。

RTL ソース ファ イルに RLOC もある場合は、 H_SET、 HU_SET、 U_SET プロパティは、 合成後ネッ ト リ ス ト でセルに対する読み出し専用の RPM プロパティに変換されます。 Vivado Design Suite のテキス ト エディ ターで RTL ソースファ イルを開く と、 HU_SET および U_SET が表示されますが、 セル オブジェク トの [Properties] ビューでは RPM プロパティが表示されます。 これらのプロパティの使用および RPM の定義については、 『Vivado Design Suite ユーザーガイ ド : 制約の使用』 (UG903) [参照 9]を参照してください。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• 合成されたデザインのセル (get_cells)

• NAME : RTL ソース ファ イルに H_SET、 HU_SET、 または U_SET プロパティ と と もに RLOC がある場合のセット定義から く る RPM の名前です。

構文

RPM を定義するための H_SET、 HU_SET、 または U_SET と RLOC がある RTL デザインを合成する と RPM プロパティは派生し、 読み出し専用です。 RPM プロパティを直接定義したり変更するこ とはできません。

関連項目

• 72 ページの 「H_SET および HU_SET」

• 143 ページの 「RLOC」

• 147 ページの 「RLOCS」

• 149 ページの 「RLOC_ORIGIN」

• 155 ページの 「RPM_GRID」

• 160 ページの 「U_SET」

Send Feedback

Page 155: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 155UG912 (v2013.4) 2013 年 12 月 20 日

RPM_GRID

RPM_GRID

RPM_GRID プロパティは RLOC グ リ ッ ドを相対座標ではなく絶対座標で定義します。 RPM_GRID システムは、 セルが異なるサイ ト タイプ (スライス、 ブロ ッ ク RAM、 DSP などの組み合わせ) に属す RPM に使用されます。 セルはさまざまなサイズのサイ トに配置される可能性があるので、 ターゲッ ト デバイスに直接配置できる RPM_GRID システムでは絶対座標の RPM_GRID を使用します。

RPM_GRID 値は、 Vivado IDE でサイ ト を選択する と、 [Site Properties] ビューに表示されます。 座標は、 RPM_X および RPM_Y サイ ト プロパティを使用して Tcl コマンドで検索するこ と もできます。RPM_GRID プロパティの使用、絶対座標を使用した RPM の定義については、 『Vivado Design Suite ユーザー ガイ ド : 制約の使用』 (UG903) [参照 9]を参照してください。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• セル (get_cells)

• ”GRID” : 指定のRLOC が、 通常 RLOC で指定される相対座標ではなく、 ターゲッ ト デバイスからの絶対座標であるこ とを Vivado Design Suite に知らせるため、 RPM_GRID プロパティ と GRID キーワードを組み合わせます。

構文

Verilog 構文

Verilog 属性をモジュールまたはインスタンシエーシ ョ ンの直前に配置します。 次のよ うに指定します。

(* RPM_GRID = "GRID" *)

Verilog 例

module iddr_regs ( input clk, d, output y, z );

(* RLOC = "X130Y195" *) IDDR ireg (.C(clk_i), .D(d), .Q1(q1), .Q2(q2)); defparam ireg.DDR_CLK_EDGE = "SAME_EDGE"; (* RLOC = "X147Y194" *) FD q1reg (.C(clk_i), .D(q1), .Q(y)); (* RLOC = "X147Y194", RPM_GRID = "GRID" *) FD q2reg (.C(clk_i), .D(q2), .Q(z)); endmodule // iddr_regs

VHDL 構文

RPM_GRID システムを使用するには、 まず属性を定義し、 それをデザイン エレ メン トの 1 つに追加します。

Send Feedback

Page 156: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 156UG912 (v2013.4) 2013 年 12 月 20 日

RPM_GRID

attribute RPM_GRID of ram0 : label is "GRID";

VHDL 制約は次のよ うに宣言します。

attribute RPM_GRID : string;

VHDL 制約は次のよ うに指定します。

attribute RPM_GRID of {component_name | entity_name} : {component|entity} is “GRID”;

XDC 構文

RPM_GRID プロパティは RTL ソース ファ イルで割り当てられ、XDC ファ イルや Tcl コマンドでは定義するこ とはできません。しかし、XDC マクロの場合は、update_macros コマンドに -absolute_grid オプシ ョ ンを使用します。

影響を受けるステップ

• 論理から物理へのマッピング

• place_design

• synth_design

関連項目

• 72 ページの 「H_SET および HU_SET」

• 143 ページの 「RLOC」

• 147 ページの 「RLOCS」

• 149 ページの 「RLOC_ORIGIN」

• 154 ページの 「RPM」

• 160 ページの 「U_SET」

Send Feedback

Page 157: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 157UG912 (v2013.4) 2013 年 12 月 20 日

SLEW

SLEW

SLEW は、 プログラム可能な出力スルー レート をサポートする I/O 規格でコンフ ィギュレーシ ョ ンされた出力バッファーに対し、 出力バッファーのスルー レート を指定します。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• ポート (get_ports)

° 接続された出力ポート または双方向ポート

• セル (get_cells)

° 出力バッファー (すべてのタイプの OBUF)

• SLOW (デフォルト )

• MEDIUM - UltraScale アーキテクチャの場合は、 ハイ パフォーマンス (HP) I/O でのみ使用できます。

• FAST

構文

Verilog 構文

I/O バッファーを推論する際にこの属性を設定するには、適切な Verilog 属性構文を最上位出力ポート宣言の前に配置します。

(* DRIVE = "{SLOW|FAST}" *)

Verilog の構文例

// Sets the Slew rate to be FAST(* SLEW = "FAST" *) output FAST_DATA,

その他の Verilog の構文例

出力または双方向バッファーがインスタンシエート されたと きに SLEW を設定するには、インスタンシエート された出力バッファーに SLEW パラ メーターを割り当てます。

推奨 : 言語テンプレー ト または 『Vivado Design Suite 7 シ リ ーズ ラ イブラ リ ガイ ド 』 (UG953) [参照 12]、 または『UltraScale アーキテクチャ ラ イブラ リ ガイ ド』 (UG974) [参照 13]からのインスタンシエーシ ョ ン テンプレート を使用して、 正しい構文を指定してください。

次の例では、 fast_data_obuf という名前の OBUF インスタンスのスルー レート を FAST に設定しています。

// OBUF:Single-ended Output Buffer // Virtex-7

Send Feedback

Page 158: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 158UG912 (v2013.4) 2013 年 12 月 20 日

SLEW

// Xilinx HDL Language Template, version 2013.4OBUF #( .DRIVE(12), // Specify the output drive strength .IOSTANDARD("DEFAULT"), // Specify the output I/O standard .SLEW("FAST") // Specify the output slew rate ) fast_data_obuf ( .O(FAST_DATA), // Buffer output (connect directly to top-level port) .I(fast_data_int) // Buffer input );// End of fast_data_obuf instantiation

VHDL 構文

I/O バッファーを推論する際にこの属性を設定するには、 適切な VHDL 属性構文を最上位出力ポート宣言の前に配置します。

VHDL 属性は次のよ うに宣言します。

attribute SLEW : string;

VHDL 属性は次のよ うに指定します。

attribute SLEW of port_name : signal is value;

• port_name は最上位出力ポートです。

VHDL の構文例

FAST_DATA : out std_logic;attribute SLEW : string;-- Sets the Slew rate to be FASTattribute SLEW of STATUS : signal is “FAST”;

その他の VHDL の構文例

出力または双方向バッファーがインスタンシエート されたと きに SLEW を設定するには、インスタンシエート された出力バッファーに SLEW ジェネ リ ッ クを割り当てます。

推奨 : 言語テンプレー ト または 『Vivado Design Suite 7 シ リ ーズ ラ イブラ リ ガイ ド 』 (UG953) [参照 12]、 または『UltraScale アーキテクチャ ラ イブラ リ ガイ ド』 (UG974) [参照 13]からのインスタンシエーシ ョ ン テンプレート を使用して、 正しい構文を指定してください。

Send Feedback

Page 159: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 159UG912 (v2013.4) 2013 年 12 月 20 日

SLEW

次の例では、 fast_data_obuf という名前の OBUF インスタンスのスルー レート を FAST に設定しています。

-- OBUF:Single-ended Output Buffer -- Virtex-7 -- Xilinx HDL Language Template, version 2013.4Fast_data_obuf :OBUF generic map ( DRIVE => 12, IOSTANDARD => "DEFAULT", SLEW => "FAST") port map ( O => FAST_DATA, -- Buffer output (connect directly to top-level port) I => fast_data_int -- Buffer input );-- End of fast_data_obuf instantiation

XDC 構文

set_property SLEW value [get_ports port_name]

• port_name は出力または双方向ポートです。

XDC の構文例

# Sets the Slew rate to be FASTset_property SLEW FAST [get_ports FAST_DATA]

影響を受けるステップ

• I/O 配置

• ノ イズ レポート

• 消費電力レポート

関連項目

詳細は、 『Vivado Design Suite 7 シ リーズ FPGA ライブラ リ ガイ ド』 (UG953) [参照 12]または 『UltraScale アーキテクチャ ラ イブラ リ ガイ ド』 (UG974) [参照 13]を参照してください。

• OBUF

• OBUFT

• IOBUF

• IOBUF_DCIEN

• IOBUF_INTERMDISABLE

Send Feedback

Page 160: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 160UG912 (v2013.4) 2013 年 12 月 20 日

U_SET

U_SET

RLOC 制約を使用して、 デザイン階層をまたいで分散しているデザイン エレ メン ト を 1 つのセッ トにま とめます。

U_SET は HDL デザイン ソース ファ イル内の属性で、 合成されたデザインやインプ リ メ ン ト されたデザインには現われません。 U_SET は、 RPM (Relatively Placed Macro) を RTL デザインで定義する と きに使用されます。 これらのプロパティの使用および RPM の定義については、 『Vivado Design Suite ユーザー ガイ ド : 制約の使用』 (UG903) [参照 9]参照してください。

H_SET または HU_SET はデザイン階層に基づいてロジッ ク エレ メ ン ト のセッ ト を定義するのに使用されますが、U_SET を使用する と、 ユーザー定義のロジッ ク エレ メン ト を手動で作成でき、 このセッ トはデザインの階層には依存しません。

RTL ソース ファ イルに RLOC もある場合は、 H_SET、 HU_SET、 U_SET プロパティは、 合成後ネッ ト リ ス ト でセルに対する読み出し専用の RPM プロパティに変換されます。 Vivado Design Suite のテキス ト エディ ターで RTL ソースファ イルを開く と、 HU_SET および U_SET が表示されますが、 セル オブジェク トの [Properties] ビューでは RPM プロパティが表示されます。

重要 : 階層モジュールに U_SET 制約を設定する と、 それ以下の階層にある RLOC 制約が設定されたすべてのプリ ミティブ シンボルに U_SET 制約が適用されます。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

次のデザイン エレ メン ト 、またはそのカテゴ リーで U_SET は使用するこ とができます。詳細は、『Vivado Design Suite7 シ リーズ FPGA ライブラ リ ガイ ド』 (UG953) [参照 12]を参照してください。

• レジスタ

• FMAP

• マクロ インスタンス

• ROM

• RAMS

• RAMD

• MULT18X18S

• RAMB4_Sm_Sn

• RAMB4_Sn

• RAMB16_Sm_Sn

• RAMB16_Sn

• RAMB16

• DSP48

• NAME : U_SET の名前

Send Feedback

Page 161: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 161UG912 (v2013.4) 2013 年 12 月 20 日

U_SET

構文

Verilog 構文

これは、 合成後ネッ ト リ ス トで RPM を定義する階層ブロ ッ クのセッ トの内容を定義するため、 RLOC プロパティ と組み合わせた Verilog 構文です。 Verilog 属性はロジッ ク エレ メン トのインスタンシエーシ ョ ン直前に配置します。

(* RLOC = "X0Y0", HU_SET = "h0" *) FD sr0 (.C(clk), .D(sr_1n), .Q(sr_0));

Verilog 例

これは、 モジュールでのシフ ト レジスタ フ リ ップフロ ップの RLOC および U_SET プロパティを定義する Verilog モジュールです。

module ffs ( input clk, input d, output q );

wire sr_0, sr_0n; wire sr_1, sr_1n; wire sr_2, sr_2n; wire sr_3, sr_3n; wire sr_4, sr_4n; wire sr_5, sr_5n; wire sr_6, sr_6n; wire sr_7, sr_7n; wire inr, inrn, outr;

inv i0 (sr_0, sr_0n); inv i1 (sr_1, sr_1n); inv i2 (sr_2, sr_2n); inv i3 (sr_3, sr_3n); inv i4 (sr_4, sr_4n); inv i5 (sr_5, sr_5n); inv i6 (sr_6, sr_6n); inv i7 (sr_7, sr_7n); inv i8 (inr, inrn);

(* RLOC = "X0Y0", U_SET = "Uset0" *) FD sr0 (.C(clk), .D(sr_1n), .Q(sr_0)); (* RLOC = "X0Y0", U_SET = "Uset0" *) FD sr1 (.C(clk), .D(sr_2n), .Q(sr_1)); (* RLOC = "X0Y1", U_SET = "Uset0" *) FD sr2 (.C(clk), .D(sr_3n), .Q(sr_2)); (* RLOC = "X0Y1", U_SET = "Uset0" *) FD sr3 (.C(clk), .D(sr_4n), .Q(sr_3)); (* RLOC = "X0Y0", U_SET = "Uset1" *) FD sr4 (.C(clk), .D(sr_5n), .Q(sr_4)); (* RLOC = "X0Y0", U_SET = "Uset1" *) FD sr5 (.C(clk), .D(sr_6n), .Q(sr_5)); (* RLOC = "X0Y1", U_SET = "Uset1" *) FD sr6 (.C(clk), .D(sr_7n), .Q(sr_6)); (* RLOC = "X0Y1", U_SET = "Uset1" *) FD sr7 (.C(clk), .D(inrn), .Q(sr_7)); (* LOC = "SLICE_X0Y0" *) FD inq (.C(clk), .D(d), .Q(inr)); FD outq (.C(clk), .D(sr_0n), .Q(outr));

assign q = outr; endmodule // ffs

定義されている特定の階層に設定する HU_SET プロパティ とは異な り、 U_SET プロパティは階層全体に設定できます。この例では、最上位モジュールによ り ffs モジュールのインスタンスが 3 つ定義されていますが、U_SET は Uset_0

Send Feedback

Page 162: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 162UG912 (v2013.4) 2013 年 12 月 20 日

U_SET

および Uset_1 の 2 つしか作成されていません。この 2 つのセッ トに次に定義されている 3 つの ffs モジュール インスタンスからのフ リ ップフロ ップが含まれています。

module top ( input clk, input d, output q );

wire c1, c2;

ffs u0 (clk, d, c1);ffs u1 (clk, c1, c2);ffs u2 (clk, c2, q);

endmodule // top

VHDL 構文

VHDL 属性は次のよ うに宣言します。

attribute U_SET : string;

VHDL 制約は次のよ うに指定します。

attribute U_SET of {component_name | entity_name | label_name} :{component|entity|label} is "NAME";

• {component_name | entity_name | label_name} にはデザイン エレ メン ト を指定します。

• {component|entity|label} は指定したデザイン エレ メン トのインスタンス ID です。

• "NAME" には U_SET の名前を指定します。

XDC 構文

U_SET プロパティは XDC 制約を使用しては定義できません。RLOC と と もにロジッ ク エレ メン トに設定されているU_SET プロパティは、 RPM を定義し、 その結果合成されたデザインのネッ ト リ ス ト に読み出し専用の RPM プロパティが設定されます。

ヒ ン ト : デザイ ン内で RPM のよ う に機能するマ ク ロ オブジェ ク ト を Vivado Design Suite で定義するには、create_macro または update_macro を使用します。 これらのコマンドの詳細は、 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835)[参照 7] を参照してください。

影響を受けるステップ

• デザインのフロアプラン

• place_design

• synth_design

関連項目

• 99 ページの 「KEEP_HIERARCHY」

Send Feedback

Page 164: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 164UG912 (v2013.4) 2013 年 12 月 20 日

USED_IN

USED_IN

USED_IN プロパテ ィは Vivado Design Suite のデザイン ファ イル (v、 vhd、 xdc、 tcl) に設定し、 これらのファ イルがFPGA デザインのどの段階で使用されるかを指定します。

たとえば、 インプリ メンテーシ ョ ンではなく、 Vivado 合成で XDC ファ イルを使用するよ う、 USED_IN プロパティで指定できます。 また、 合成ではなくシ ミ ュレーシ ョ ンで HDL ソース ファ イル (v または vhd) を使用するよ う指定するこ と もできます。

ヒン ト : USED_IN_SYNTHESIS、 USED_IN_SIMULATION、 USED_IN_IMPLEMENTATION プロパティは USED_IN プロパティに関連付けられており、 ツールによ り自動的に USED_IN ({synthesis, simulation, implementation} に変換されます。

また、 Tcl フ ァ イルを単にインプ リ メ ンテーシ ョ ンで使用する設定するのではな く、 USED_IN opt_design またはplace_design で使用するよ うに細かく設定するこ と もできます。

アーキテクチャ  サポート

すべてのアーキテクチャ

適用可能なオブジェク ト

• ファ イル

• synthesis

• implementation

• simulation

• out_of_context

• opt_design

• power_opt_design

• place_design

• phys_opt_design

• route_design

• write_bitstream

• post_write_bitstream

• synth_blackbox_stub

• testbench

• board

• single_language

Send Feedback

Page 165: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 165UG912 (v2013.4) 2013 年 12 月 20 日

USED_IN

構文

Verilog 構文

該当なし

VHDL 構文

該当なし

XDC 構文

set_property USED_IN {<value>} [get_files <files>]

• <value> には有効な USED_IN 値が 1 つまたは複数入り ます。

• <files> には USED_IN プロパティを設定するファイル名が入り ます。

XDC の構文例

# Designates the specified files as used in simulationset_property USED_IN {synthesis simulation} [get_files *.vhdl]

影響を受けるステップ

• 合成

• シ ミ ュレーシ ョ ン

• インプ リ メンテーシ ョ ン

• ビッ ト ス ト リーム生成

Send Feedback

Page 166: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 166UG912 (v2013.4) 2013 年 12 月 20 日

VCCAUX_IO

VCCAUX_IO

VCCAUX_IO は、 指定した I/O の VCCAUX_IO レールの動作電圧を指定します。

VCCAUX_IO プロパティの割り当てが正しいこ とをチェッ クする DRC があ り ます。

• VCCAUXIOBT (警告) : VCCAUX_IO の値が NORMAL または HIGH になっているポートが HP バンクのみに配置されているこ とを確認します。

• VCCAUXIOSTD (警告) : VCCAUX_IO の値が NORMAL または HIGH になっているポートが HR バンクでのみサポート されている IOSTANDARD を使用していないこ とを確認します。

• VCCAUXIO (エラー ) : VCCAUX_IO の値が NORMAL になっているポートが、VCCAUX_IO の値が HIGH になっているポート と して同じバンクに制約/配置されていないこ とを確認します。

アーキテクチャ  サポート

7 シ リーズ デバイス (High Performance (HP) バンクの I/O のみ)

適用可能なオブジェク ト

• ポート (get_ports)

• セル (get_cells)

° I/O バッファー

• DONTCARE (デフォルト )

• NORMAL

• HIGH

構文

Verilog 構文

この属性を設定するには、 適切な Verilog 属性構文を最上位出力ポート宣言の前に配置します。

(* VCCAUXIO = "{DONTCARE|NORMAL|HIGH}" *)

Verilog の構文例

// Specifies a “HIGH” voltage for the VCCAUX_IO rail connected to this I/O(* VCCAUX_IO = "HIGH" *) input ACT3,

Send Feedback

Page 167: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 167UG912 (v2013.4) 2013 年 12 月 20 日

VCCAUX_IO

VHDL 構文

この属性を設定するには、 適切な VHDL 属性構文を最上位出力ポート宣言の前に配置します。

VHDL 属性は次のよ うに宣言します。

attribute VCCAUX_IO : string;

VHDL 属性は次のよ うに指定します。

attribute VCCAUX_IO of port_name : signal is value;

• port_name は最上位ポートです。

VHDL の構文例

ACT3 : in std_logic;attribute VCCAUX_IO : string;-- Specifies a “HIGH” voltage for the VCCAUX_IO rail connected to this I/Oattribute VCCAUX_IO of ACT3 : signal is “HIGH”;

XDC 構文

set_property VCCAUX_IO value [get_ports port_name]

• port_name は最上位ポートです。

XDC の構文例

# Specifies a “HIGH” voltage for the VCCAUX_IO rail connected to this I/Oset_property VCCAUX_IO HIGH [get_ports ACT3]

影響を受けるステップ

• I/O 配置

• place_design

• 消費電力レポート

Send Feedback

Page 168: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 168UG912 (v2013.4) 2013 年 12 月 20 日

付録 A

その他のリソース

ザイリンクス リソースアンサー、 資料、 ダウンロード、 フォーラムなどのサポート リ ソースは、 次のザイ リ ンクス サポート サイ ト を参照してください。

http://japan.xilinx.com/support

ザイ リ ンクス資料で使用される用語集は、 次を参照してください。

http://japan.xilinx.com/company/terms.htm

ソリューシ ョ ン  センターデバイス、 ツール、 IP のサポートについては、 ザイ リ ンクス ソ リ ューシ ョ ン センターを参照して ください。 ト ピックには、 デザイン アシスタン ト 、 アドバイザリ、 ト ラブルシュート ヒ ン ト などが含まれます。

参考資料 このガイ ドの補足情報は、 次の資料を参照してください。

1. 『7 シ リーズ FPGA コンフ ィギュレーシ ョ ン ユーザー ガイ ド』 (UG470)

2. 『7 シ リーズ FPGA SelectIO リ ソース ユーザー ガイ ド』 (UG471)

3. 『7 シ リーズ FPGA ク ロ ッ ク リ ソース ユーザー ガイ ド』 (UG472)

4. 『UltraScale アーキテクチャ コンフ ィギュレーシ ョ ン ユーザー ガイ ド』 (UG570)

5. 『UltraScale アークテクチャ SelectIO リ ソース ユーザー ガイ ド』 (UG571)

6. 『UltraScale アーキテクチャ ク ロ ッキング リ ソース ユーザー ガイ ド』 (UG572)

7. 『Vivado Design Suite Tcl コマンド リ ファレンス ガイ ド』 (UG835)

8. 『Vivado Design Suite ユーザー ガイ ド : 合成』 (UG901)

9. 『Vivado Design Suite ユーザー ガイ ド : 制約の使用』 (UG903)

10. 『Vivado Design Suite ユーザー ガイ ド : インプリ メンテーシ ョ ン』 (UG904)

11. 『Vivado Design Suite ユーザー ガイ ド : デザイン解析およびクロージャー テクニッ ク』 (UG906)

12. 『Vivado Design Suite 7 シ リーズ FPGA ライブラ リ ガイ ド』 (UG953)

13. 『UltraScale アーキテクチャ ライブラ リ ガイ ド』 (UG974)

Send Feedback

Page 169: Vivado Design Suite プロパ ティ リファレンスjapan.xilinx.com/.../ug912-vivado-properties.pdf · プロパティ リファレンス ガイド japan.xilinx.com 2 UG912 (v2013.4)

プロパティ  リファレンス ガイド japan.xilinx.com 169UG912 (v2013.4) 2013 年 12 月 20 日

14. 『Vivado Design Suite ユーザー ガイ ド : IP インテグレーターを使用した IP サブシステムの設計』 (UG995)

15. Vivado Design Suite ビデオ チュート リ アル http://japan.xilinx.com/training/vivado/index.htm

16. Vivado Design Suite 資料ページ ( http://japan.xilinx.com/support/documentation/dt_vivado2013-4.htm)

Send Feedback