30
Copyright © 2008 Тренинг партнер фирмы Altera® в России. Вводная лекция о продукции фирмы Altera

Вводнаялекция о продукции фирмы Altera

  • Upload
    others

  • View
    13

  • Download
    0

Embed Size (px)

Citation preview

Page 1: Вводнаялекция о продукции фирмы Altera

Copyright © 2008 Тренинг партнер фирмы Altera® в России.

Вводная лекция о продукции фирмы Altera

Page 2: Вводнаялекция о продукции фирмы Altera

2 Copyright © 2008 Тренинг партнер фирмы Altera® в России.

Intellectual Property (IP)− Signal Processing− Communications− Embedded Processors

Nios®, Nios II

СБИС− MAX® II− MAX3000 & MAX7000− Cyclone, Apex, ACEX,

FLEX10K, FLEX6000…

Средствапроектирования− Quartus® II Software− SOPC Builder− DSP Builder− Nios II IDE

СБИС− Stratix III− Cyclone III− Arria GX− Stratix II GX− Stratix II− Cyclone II

Продукция фирмы AlteraПродукцияПродукция фирмы фирмы AlteraAltera

Page 3: Вводнаялекция о продукции фирмы Altera

3 Copyright © 2008 Тренинг партнер фирмы Altera® в России.

СредстваСредства автоматизации проектирования автоматизации проектирования фирмыфирмы AlteraAltera

Page 4: Вводнаялекция о продукции фирмы Altera

Copyright © 2008 Тренинг партнер фирмы Altera® в России.

Пакет Quartus IIПакет Quartus II

Интегрированное средство проектирования− Текстовые и графический способы ввода проекта− Синтез (Logic synthesis)− Трассировка СБИС (Place & route)− Моделирование (Simulation)− Анализ временных параметров и потребляемой мощности (Timing & power analysis)

− Программирование СБИС (Device programming)

Page 5: Вводнаялекция о продукции фирмы Altera

5 Copyright © 2008 Тренинг партнер фирмы Altera® в России.

Варианты реализации пакета QuartusIIВариантыВарианты реализации пакета реализации пакета QuartusIIQuartusII

Quartus II Subscription EditionQuartus II Subscription Edition− Все выпускаемые СБИС− Все возможности

Сравнение систем

Quartus II Subscription Edition,Quartus II Web Edition

Quartus II Web EditionQuartus II Web Edition− Бесплатная версия

Page 6: Вводнаялекция о продукции фирмы Altera

6 Copyright © 2008 Тренинг партнер фирмы Altera® в России.

ОперационныеОперационные системы и лицензийсистемы и лицензий

Поддержка операционных систем: 32 & 64-bit Windows,Solaris,HPUX, LinuxПоддержка различных схем лицензирования(node-locked, network)

Page 7: Вводнаялекция о продукции фирмы Altera

7 Copyright © 2008 Тренинг партнер фирмы Altera® в России.

Менеджер пакета Quartus IIМенеджер пакета Quartus IIМеню менеджера пакета

Панельинструментов

Навигатор проекта

Окно состояния процедуры компиляции проекта

Окно процессора сообщений

Отчет о результатах компиляции

Название проекта и его рабочая папка

Page 8: Вводнаялекция о продукции фирмы Altera

8 Copyright © 2008 Тренинг партнер фирмы Altera® в России.

Отсоединяемые (Detachable) окнаОтсоединяемыеОтсоединяемые ((DetachableDetachable) окна) окна

«Отсоединение» окон от менеджера пакета Quartus II (Window menu ⇒ Detach/Attach Window)

Click to Detach Window

УправлениеУправлениеотсоединением/ подсоединением

Page 9: Вводнаялекция о продукции фирмы Altera

9 Copyright © 2008 Тренинг партнер фирмы Altera® в России.

Советы и приемы (Tips & Tricks Advisor)СоветыСоветы и приемы (и приемы (Tips & Tricks AdvisorTips & Tricks Advisor))Help menu ⇒ Tips & Tricks

Содержит полезные советы и приемы эффективной работы с пакетом QuartusII

Page 10: Вводнаялекция о продукции фирмы Altera

10 Copyright © 2008 Тренинг партнер фирмы Altera® в России.

Настройка лицензииНастройка лицензииКоманда:Tools= > License Setup

Page 11: Вводнаялекция о продукции фирмы Altera

Copyright © 2008 Тренинг партнер фирмы Altera® в России.

ПроцедураПроцедура проектирования проектирования

Page 12: Вводнаялекция о продукции фирмы Altera

12 Copyright © 2008 Тренинг партнер фирмы Altera® в России.

Методология проектирования СБИС ПЛ

Синтез- Преобразование описания проекта в схему, реализуемую на заданной

элементной базе- Оптимизация схемы с учетом ограничений по быстродействию и занимаемой площади СБИС

Техническое задание

Трассировка СБИСРазводка и размещение внутренних ресурсов СБИС с учетом наложенных ограничений на быстродействие и занимаемые логические ресурсы

Создание проектаВвод описания проекта

Моделирование- Функциональное моделирование

LE M512

M4K I/O

Page 13: Вводнаялекция о продукции фирмы Altera

13 Copyright © 2008 Тренинг партнер фирмы Altera® в России.

Методология проектирования СБИС ПЛ

Временной анализ- проверка соответствия созданной СБИС требованиям к быстродействию

Моделирование на вентильном уровне- Временное моделирование- проверка правильности функционирования проекта после этапов синтеза, разводки и размещения

Программирование СБИС.Тестирование и отладка СБИС в составе системы (ISP, SignalTap II )

tclk

Page 14: Вводнаялекция о продукции фирмы Altera

14 Copyright © 2008 Тренинг партнер фирмы Altera® в России.

Создание проектаСозданиеСоздание проектапроекта

Page 15: Вводнаялекция о продукции фирмы Altera

15 Copyright © 2008 Тренинг партнер фирмы Altera® в России.

Quartus IIРедактор памяти

Quartus IIТекстовый редактор

Quartus IIСхемный редактор

Top-Level File

.bdf

.gdf

Файл верхнего уровня в иерархии описания проекта -.bdf, .tdf, .vhd, .vhdl, .v, .vlg, .edif or .edf

.bsf .vhd

BlockFile

SymbolFile

TextFile

TextFile

.v

TextFile

Импортируются из других средств автоматизации проектирования

Mentor Graphics,Synopsys,Synplicity,etc...

Создаются в пакете Quartus II

VHDL

Символ

Схема.tdf

TextFile

AHDL

Verilog

.edf.edif

TextFile

.v, .vlg,.vhd, .vhdl,

vqm

MegaWizard®

Файлы с описанием проектаФайлы с описанием проекта

Page 16: Вводнаялекция о продукции фирмы Altera

16 Copyright © 2008 Тренинг партнер фирмы Altera® в России.

Мастер New Project Wizard - 1МастерМастер New Project WizardNew Project Wizard -- 11

Создание нового проекта на основе существующих

настроек

1. команда File>NewProjectWizard

2. Укажите рабочую папку

3. Задайте имя проекта.

4. Задайте имя файла верхнего уровня в иерархии описаний проекта.

Page 17: Вводнаялекция о продукции фирмы Altera

17 Copyright © 2008 Тренинг партнер фирмы Altera® в России.

К проекту можно добавить файлы следующих типов:

- Graphic (.BDF, .GDF)- AHDL- VHDL- Verilog- EDIF

Пояснения:Файлы, находящиеся в рабочей папке

проекта, добавлять необязательноЕсли имя файла и имя модуля

верхнего уровня (filename & entity name) не совпадают, то следует добавить файл с описанием модуля верхнего уровня иерархии

Используйте эту кнопку для указания дополнительных библиотек:Пользовательских библиотекMegaCore®/AMPPSM librariesPre-compiled VHDL packages

МастерМастер New Project WizardNew Project Wizard –– 22

Page 18: Вводнаялекция о продукции фирмы Altera

18 Copyright © 2008 Тренинг партнер фирмы Altera® в России.

Мастер New Project Wizard - 3МастерМастер New Project WizardNew Project Wizard -- 33Укажите семейство СБИС

Пояснение:Использование фильтра упрощает поиск нужного компонента

Укажите режим выбора типа СБИС:Автоматический выбор

компиляторомНепосредственное назначение типа

СБИС

Page 19: Вводнаялекция о продукции фирмы Altera

19 Copyright © 2008 Тренинг партнер фирмы Altera® в России.

Мастер New Project Wizard - 4МастерМастер New Project WizardNew Project Wizard -- 44

Укажите подключаемое внешнее средство проектирования (EDA tools).

Page 20: Вводнаялекция о продукции фирмы Altera

20 Copyright © 2008 Тренинг партнер фирмы Altera® в России.

Мастер New Project Wizard - 5МастерМастер New Project WizardNew Project Wizard -- 55

Проверьте результаты сделанных установок и, если все верно, нажмите кнопку FINISH

Page 21: Вводнаялекция о продукции фирмы Altera

21 Copyright © 2008 Тренинг партнер фирмы Altera® в России.

Компиляция проектаКомпиляцияКомпиляция проектапроекта

Page 22: Вводнаялекция о продукции фирмы Altera

22 Copyright © 2008 Тренинг партнер фирмы Altera® в России.

Режимы компиляцииРежимы компиляции

Команда Start Compilationосуществляет полную компиляцию, включая: − синтаксический анализ, − синтез, − трассировку, − временной анализ, − получение файла

прошивки СБИС

Page 23: Вводнаялекция о продукции фирмы Altera

23 Copyright © 2008 Тренинг партнер фирмы Altera® в России.

Окна Status & MessageОкна Status & MessageStatus bars показывает ход текущей

компиляции

Message window отображает информацию оошибках, предупреждениях, сообщениях

Page 24: Вводнаялекция о продукции фирмы Altera

24 Copyright © 2008 Тренинг партнер фирмы Altera® в России.

Программирование СБИСПрограммированиеПрограммирование СБИССБИС

Page 25: Вводнаялекция о продукции фирмы Altera

25 Copyright © 2008 Тренинг партнер фирмы Altera® в России.

Запуск системы программированияЗапускЗапуск системы программированиясистемы программирования

Команда: Tools=> Programmer

Средства программирования СБИС на плате − USB-Blaster™

− ByteBlaster™ II or ByteBlasterMV™

− Masterblaster™

Page 26: Вводнаялекция о продукции фирмы Altera

26 Copyright © 2008 Тренинг партнер фирмы Altera® в России.

Установка средств программирования СБИСУстановка средств программирования СБИС

Кнопка активизацииокна установки

Средства программирования СБИС на плате − USB-Blaster™

− ByteBlaster™ II or ByteBlasterMV™

− Masterblaster™

Выберите доступноесредство

программирования

Page 27: Вводнаялекция о продукции фирмы Altera

27 Copyright © 2008 Тренинг партнер фирмы Altera® в России.

Включение режима программированияВключение режима программирования

Для программирования СБИС следует включить опцию Program/Configure

Page 28: Вводнаялекция о продукции фирмы Altera

28 Copyright © 2008 Тренинг партнер фирмы Altera® в России.

Запуск программировнияЗапуск программировния

В окне Progress отображается состояниепроцедуры программирования

Нажмитекнопку Start

Page 29: Вводнаялекция о продукции фирмы Altera

29 Copyright © 2008 Тренинг партнер фирмы Altera® в России.

Для получения опыта практического использования пакета

QuartusII

фирма ЭФО предлагает

выполнить тестовый проект и предоставляет пошаговую инструкцию по

его реализации.

Page 30: Вводнаялекция о продукции фирмы Altera

30 Copyright © 2008 Тренинг партнер фирмы Altera® в России.

Расширить и углубить свои знания о продукции фирмы Alteraможно в рамках курсов

для начинающих и опытных пользователей

(http://www.altera.ru/cgi-bin/go?32)