84
3 ЗМІСТ Вступ……………………………………………………………… 4 ЛАБОРАТОРНА РОБОТА 1. Установлення, інтерфейс і створення нових проектів на мові FBD в Unity Pro, та налагодження елементарних функцій алгебри логіки ......……. 5 ЛАБОРАТОРНА РОБОТА 2. Синтез схем вмикання семисегментного індикатора (ССІ) 3х8 ………………………... 18 ЛАБОРАТОРНА РОБОТА 3. Створення схем вмикання для синтезу ССІ 4х10 на комутаторах MUX ……………………….. 25 ЛАБОРАТОРНА РОБОТА 4. Створення функціональних блоків користувача (DFB) для синтезу модуля вмикання ССІ 4х16(F)min…............................................................. …………….. 32 ЛАБОРАТОРНА РОБОТА 5. Синтез комбінаційних схем вмикання декількох ССІ 4х16(F) для виведення перекодованих чисел різних систем числення.....………............ 39 ЛАБОРАТОРНА РОБОТА 6. Синтез дискретних автоматів із пам'яттю засобами RS-тригерів ……..…………………............ 43 ЛАБОРАТОРНА РОБОТА 7. Синтез лічильників імпульсів із довільним модулем засобами мови FBD в Unity Pro ................. 49 Додаткові завдання для добровільного удосконалення навичок синтезу дискретних пристроїв ………………………... 55 Список літератури……………………………………………….. 77

ЗМІСТ Вступ………………………………………………………………

  • Upload
    others

  • View
    21

  • Download
    0

Embed Size (px)

Citation preview

Page 1: ЗМІСТ Вступ………………………………………………………………

3

ЗМІСТ

Вступ……………………………………………………………… 4

ЛАБОРАТОРНА РОБОТА 1. Установлення, інтерфейс і

створення нових проектів на мові FBD в Unity Pro, та

налагодження елементарних функцій алгебри логіки ......…….

5

ЛАБОРАТОРНА РОБОТА 2. Синтез схем вмикання

семисегментного індикатора (ССІ) 3х8 ………………………...

18

ЛАБОРАТОРНА РОБОТА 3. Створення схем вмикання для

синтезу ССІ 4х10 на комутаторах MUX ………………………..

25

ЛАБОРАТОРНА РОБОТА 4. Створення функціональних

блоків користувача (DFB) для синтезу модуля вмикання ССІ

4х16(F)min….............................................................……………..

32

ЛАБОРАТОРНА РОБОТА 5. Синтез комбінаційних схем

вмикання декількох ССІ 4х16(F) для виведення

перекодованих чисел різних систем числення.....………............

39

ЛАБОРАТОРНА РОБОТА 6. Синтез дискретних автоматів із

пам'яттю засобами RS-тригерів ……..…………………............

43

ЛАБОРАТОРНА РОБОТА 7. Синтез лічильників імпульсів із

довільним модулем засобами мови FBD в Unity Pro .................

49

Додаткові завдання для добровільного удосконалення

навичок синтезу дискретних пристроїв ………………………...

55

Список літератури……………………………………………….. 77

Page 2: ЗМІСТ Вступ………………………………………………………………

4

ВСТУП

Метою цих методичних вказівок є вивчення практичних

аспектів створення комбінаційних схем (КС) і перевірка їх роботи

шляхом моделювання у середовищі програмування Unity Pro 3.0

або вище для створення елементів технологічного спрямування

залізничного транспорту на прикладі класичних компонентів

залізничної автоматики як складової частини систем

забезпечення руху поїздів [1].

Для створення програмних модулів спеціалізованих

комп’ютерних систем використовується множина мов

програмування, яка відповідає EN 61131/IEC 61131-3:2013. Курс

розглядається як загальноінженерна складова та передбачає

ознайомлення з відповідними методиками створення і

дослідження моделей і підпрограм відповідно до робочої

програми дисципліни, затверджену радою факультету.

Побудова та дослідження моделей і програмного

забезпечення при розробленні нових складних технічних систем

часто є значною частиною у загальній сукупності робіт, що

проводяться на початкових фазах проекту. Модель являє собою

систему теоретичних посилок і практичних методик організації

машинних обчислень, що дозволяють відобразити в кількісних

оцінках і характеристиках динаміку функціонування

досліджуваного об'єкта, який існує або проектується.

Для виконання лабораторних робіт у лабораторіях кафедри

СКС університету встановлено програмне забезпечення (ПЗ)

Unity PRO XL v 3.0, надане фірмою Шнайдер Електрик Україна,

(http://www.schneider-electric.ua) що функціонує у навчально-

демонстраційному режимі. Для самостійної роботи студентів

можливе застосування будь-якої версії легального ПЗ Unity PRO.

Однак у випадку невідповідності версії на студентському та

лабораторному ПК саме на студента покладається

відповідальність за демонстрацію самостійно розроблених

програмних модулів при виконанні лабораторної роботи.

Практика показує, що краще або застосовувати версію 3.0, або

приносити переносний ПК для демонстрації самостійно

розроблених схем-програм практикуму.

Page 3: ЗМІСТ Вступ………………………………………………………………

5

ЛАБОРАТОРНА РОБОТА 1

Установлення, інтерфейс і створення нових проектів на мові

FBD в Unity Pro, налагодження елементарних функцій

алгебри логіки

Мета роботи: отримання практичних навичок установлен-

ня, створення проектів і програм мовою FBD (Function Block

Diagram) в Unity Pro, налагодження елементарних функцій алге-

бри логіки (ФАЛ) з кодуванням сигналів.

Обладнання та ПЗ: персональна електронно-

обчислювальна машина (ПЕОМ) із системним програмним

забезпеченням (СПЗ) Windows XP або вище та прикладне ПЗ

Unity Pro 3.0 або вище.

Хід виконання роботи

1 Вивчити весь теоретичний матеріал, необхідний для

досягнення цілей лабораторної роботи (ЛР).

2 Створити проект за послідовністю, викладеною нижче, та

ввести програму типового завдання.

3 Визначити та вирішити індивідуальне завдання.

4 Оформити "заготовку" до лабораторної роботи (номер,

назва, мета, обладнання та ПЗ, принципова схема підключення

контактів (виводів) контролера (уявного), схема-програма

вирішення індивідуального завдання для Unity Pro на мові FBD).

5 Ввести програму мовою FBD для Unity Pro в лабораторії,

отримати результати та захистити у викладача.

6 Оформити висновки й отримати бали за ЛР у викладача.

Послідовність створення проекту

Unity PRO – це програмне середовище конфігурації,

програмування, налагодження і діагностування виконавчої

системи та промислових контролерів; яке є результатом розвитку

двох програмних продуктів: PL7 PRO – середовище

програмування першої групи контролерів, Concept – середовище

програмування другої групи контролерів.

Виконавча система Unity – це програмне забезпечення, яке

виконується у контролері. Виконавча система базується на

операційній системі (ОС) Unity, яка вже знаходиться у

завантаженні програмного логічного контролера (ПЛК) та бере

Page 4: ЗМІСТ Вступ………………………………………………………………

6

участь у всіх операціях, але нас цікавить моделювання роботи

схем булевої алгебри в зазначеному серидовищі.

На робочому столі знайти ярлик програми Unity PRO ,

запустити його (або через меню "Пуск"–програм). Потім

створюємо (File-New) на диску ПЕОМ (в лабораторії кафедри

D:\SE) новий проект (використавши для його назви тільки цифри

та латинські літери ASCII таблиці) та обираємо процесорний

модуль TSX P57 2634M, вибравши тип шасі (X-Bas) і, за

бажанням, скомпонувавши ПЛК модулями введення-виведення

за допомогою панелі, яка знаходиться у лівому нижньому вікні

(рисунок 1), для прикладу навчального класу Schnider Electric

УкрДУЗТ – модулі дискретні TSX DEY 32D2K TSX, DSY 32T2K

та аналоговий TSX AEY 414.

Рисунок 1 – Конфігурування ПЛК у проекті

Page 5: ЗМІСТ Вступ………………………………………………………………

7

Після завершення компонування заданих проектом або

планом ЛР модулів (як показано для ЛР на рисунку 2)

активізуємо модуль ETY PORT і відкриваємо його для

налаштування (рисунок 3). За бажанням, аналогічно

налаштовуються інші модулі ПЛК.

Рисунок 2 – Вибір модуля ПЛК

Рисунок 3 – Налаштування модуля TSX ETY PORT

Page 6: ЗМІСТ Вступ………………………………………………………………

8

У цьому самому вікні обираємо TSX ETY PORT (рисунок 4, а)

(Open Module –> Channel 0 –> знизу Function), перемикаємо на

ETH TCP IP і, зробивши налаштування у закладці I/O objects

(рисунок 4, б), зберігаємо налаштування.

а

б

а) вибір протоколу ETH TCP IP;

б) налаштування у закладці I/O objects

Рисунок 4 – Налаштування модуля TSX ETY PORT

Page 7: ЗМІСТ Вступ………………………………………………………………

9

Далі переходимо в меню PLC (англ. Programmable Logic

Controller (PLC)), як показано на рисунках 5, 6.

Рисунок 5 – Зв'язок із контролером

Рисунок 6 – Передача проекту до ПЛК/PLC

Page 8: ЗМІСТ Вступ………………………………………………………………

10

У випадку відсутності зв’язку перевірити встановлення

опції «Simulation mode», якщо ПЛК не підключений до ПК.

Для того щоб зупинити програму, обираємо меню PLC і

натискаємо Stop, потім знову PLC та обираємо Disconnect.

Послідовність дій введення типового завдання проекту

Типове завдання ЛР 1. Розробити схему-програму на мові

FBD кодування стану чотирьох кнопок (булеві змінні а0, а1, а2,

а3) у дворозрядний код (булеві змінні х1, х0) та візуалізувати

коди х1х0 (00, 01, 10, 11) на стандартному семисегментному

індикаторі (рисунок 7, а) із сегментами (a, b, c, d, e, f, g) у вигляді

цифр 0, 1, 2, 3 відповідно до значень показаних у таблиці 1.

Таблиця 1 – Відповідність значень булевих змінних у різних

елементах схеми типового завдання ЛР 1

Кнопки Код Сегменти Цифра

змінні а0 а1 а2 а3 х1 х0 a b c d e f g

Зна-

чення

1 – – – 0 0 1 1 1 1 1 1 0

– 1 – – 0 1 0 1 1 0 0 0 0 ..

– – 1 – 1 0 1 1 0 1 1 0 1 ..

– – – 1 1 1 1 1 1 1 0 0 1

За отриманими раніше аналітичними виразами визначення

a0= 𝑎1 + 𝑎2 + 𝑎3̅̅ ̅̅ ̅̅ ̅̅ ̅̅ ̅̅ ̅̅ ̅̅ ̅ та кодування x0=a3+a2, x1= 𝑎0̅̅̅̅ 𝑎1̅̅̅̅ сформовано

a=d=𝑥1̅̅ ̅ 𝑥0̅̅ ̅̅ ̅̅ ̅, b=1, c= 𝑥1𝑥0̅̅ ̅̅̅ ̅̅ ̅̅ ̅, e=x0̅̅ ̅, f= х0̅̅ ̅ х1̅̅ ̅ , g=х1. На рисунку 7, а

зображено семисегментний індикатор з позначенням сегментів та

комбінаційну схему перекодування a0, a1, a2, a3 в х0 та х1 із

увімкненням всіх сегментів (рисунок 7,б).

При описі даної роботи було використано ПЗ Unity Pro 3.0, і

саме скріншоти з нього наведено в тексті, але в окремих випадках

використовувались зображення з інших версій з подібними

повідомленнями та ідентичними функціями.

Наступний крок виконання ЛР – перейти у вікно Project

Browser (один з варіантів – комбінацією клавіш Alt+1), на

вкладці якого знайти рядок Elementary Variables, вибрати його

та ввести 14 змінних типу BOOL, як показано на рисунку 8.

Page 9: ЗМІСТ Вступ………………………………………………………………

11

а б

а) зображення семисегментного індикатора з позначенням

сегментів; б) схема кодування a0, a1, a2, a3 із увімкненням

сегментів

Рисунок 7

а б

а) зображення вікна створення змінних типу BOOL;

б) спрощене зображення семисегментного індикатора та двох

кнопок шин сигналів х0 та х1

Рисунок 8

Page 10: ЗМІСТ Вступ………………………………………………………………

12

Ще один крок виконання ЛР – у вікні Project Browser (один

із варіантів – комбінацією клавіш Alt+1), на вкладці якого знайти

рядок Program, обрати Tasks, потім MAST, далі Section і правою

кнопкою миші викликати контекстне меню і вибрати New Section

(рисунок 9).

Рисунок 9 – Створення секції програми в групі MAST

Далі вводимо ім’я секції (використовувати тільки латинські

літери з можливим додаванням цифр, наприклад, laba01) та

обираємо параметр мови програмування (FBD) (рисунок 10).

Рисунок 10 – Введення назви секції та вибір мови програмування

Page 11: ЗМІСТ Вступ………………………………………………………………

13

За допомогою кнопок (наприклад, ) на верхній

допоміжній панелі будуємо схему-програму, як показано на

рисунку 11. Для вибору блоків OR-АБО, AND-ТА можливе

використання комбінації клавіш Ctrl+I.

Рисунок 11 – Приклад схеми-програми мовою FBD

Після компіляції (Ctrl+B), з'єднання з ПЛК (Ctrl+К),

передачі/завантаження (Load) схеми-програми до ПЛК (Ctrl+L) і

запуску (Run) її у віртуальному ПЛК (Ctrl+R) має з’явитись

зображення схеми-програми мовою FBD (рисунок 12) з

індикацією зеленим кольором булевих змінних які мають стан

«1», та червоним кольором – змінних, які дорівнюють «0».

Після перевірки правильності роботи схеми за таблицею

істинності (таблиця 1) рекомендується зупинити ПЛК (повторним

натисканням Ctrl+R) та від'єднатися від нього (Ctrl+К). Далі стає

можливим візуалізація роботи схеми-програми на екрані

користувача «Operator Screen». Наступний крок виконання ЛР – у вікні Project Browser

(один з варіантів виклику – комбінацією клавіш Alt+1) на лівому вікні (рисунок 13, а) обираємо «Operator Screen», правою кнопкою миші викликаємо меню і вибираємо «New Screen».

У вікні, яке з’явилось, записуємо назву Scr_laba01 (рисунок 13, б). На полі цього вікна проектуємо спрощене зображення семисегментного індикатора та двох кнопок шин сигналів х0 та х1 (рисунок 8, б).

Page 12: ЗМІСТ Вступ………………………………………………………………

14

Рисунок 12 – Зображення працюючої програми мовою FBD

а б

а) створення нового елемента екранної візуалізації; б) створення

назви вікна налаштування візуалізації

Рисунок 13

Для цього в утвореному вікні візуалізації за допомогою

правої кнопки миші (ПКМ) створюємо новий об’єкт–сегмент «а»

типу Rectangle –«прямокутник» та, знову натискаючи ПКМ, за

допомогою опції Properties задаємо параметри, як на

рисунках 14, 15.

Page 13: ЗМІСТ Вступ………………………………………………………………

15

а б

а) візуалізація; б) анімація об'єкта – сегмента «а»

Рисунок 14 – Налаштування форми зображення елемента

За аналогічною процедурою створюється решта сегментів.

Наступним кроком візуалізації є створення кнопок типу

Push Button із назвами x0 та x1 для емуляції сигналів x0 та x1

(зразок – рисунок 15, а, б).

а б

а) візуалізація; б) анімація об'єкта – кнопка шини «x0»

Рисунок 15 – Налаштування форми зображення елемента

За аналогічною процедурою створюється кнопка шини «x1».

Наступним кроком виконання роботи є повторне з'єднання із

ПЛК (Ctrl+К), передача/завантаження (Load) схеми-програми до

ПЛК (Ctrl+L) і запуск (Run) її у віртуальному ПЛК (Ctrl+R).

Page 14: ЗМІСТ Вступ………………………………………………………………

16

Вибрати екран користувача (наприклад, Scr_laba01) та після

натискання F7, або включивши режим «Enable Variable

Modification» іншим способом натиснувши ЛКМ на піктограмі

, моделювати комбінації шин х1х0, 00, 01, 11, 10 та

перевіряти правильність зображень цифр за таблицею 1. У

випадку невідповідності провести коригування схеми-програми

або налаштування об’єктів екранів оператора та повторну

перевірку.

На операторському екрані, у вікні візуалізації, за допомогою

ПКМ, створюємо новий об’єкт «коло» та, знову натискаючи

ПКМ, за допомогою опції Properties задаємо параметри, як на

рисунках 16, а, б.

а б

а) візуалізація; б) анімація об'єкта

Рисунок 16 – Налаштування форми зображення елемента «коло»

Підсилене завдання. Зобразити у зошиті схему-програму

мовою FBD шифрування сигналів від натискання кнопок а1, а2,

а3 в код змінних х1, х0. Відображення на семисегментному

індикаторі має здійснюватися автоматично за таблицею 1.

Відповісти на контрольні питання, виконати індивідуальне

завдання.

Контрольні питання

1 Як сконфігурувати ПЛК з різними модулями в проекті?

2 Яких типів бувають модулі?

Page 15: ЗМІСТ Вступ………………………………………………………………

17

3 Як активувати модуль ETY PORT?

4 Які мови програмування підтримуються Unity PRO?

5 У якому вікні можливо зображувати кола, прямокутники?

6 Як зробити візуалізацію, залежну від змінних проекту?

7 Які розширення мають файли з проектом Unity PRO?

Індивідуальне завдання

Додатково створити необхідні змінні та в окремій секції

програм реалізувати функцію, відобразивши результат на тому

самому операторському екрані (наприклад, Scr_laba01).

Приймаючи символ «∩»/ᴧ за кон'юнкцію («і»), символ «U»/V за

диз'юнкцію, реалізувати функції за варіантами:

1) 7619,19)54x(x3x2x1y1 xxyyx ;

2) 7619,19)54x(3xx21xy2 xxyyx ;

3) 97619,19)5x4(3x2x1xy3 xxxyyx ;

4) 76100,10054x3xx21xy4 xxyyx ;

5) 7819,19)54x(x3x2x1y5 xxyyx ;

6) 7819,19)64x(x9x2x1y6 xxyyx ;

7) 37819,19)54x(x2x9y7 xxxyyx ;

8) 17819,19)54x(x3x2x9y8 xxxyyx ;

9) 27819,19)54x(x3x9x1y9 xxxyyx ;

10) 57819,19)34x(x9x2x1yA xxxyyx ;

11) 79819,19)59x(x3x2x1yB xxxyyx ;

12) 17819,19)54x(x32xx9yC xxxyyx .

Page 16: ЗМІСТ Вступ………………………………………………………………

18

ЛАБОРАТОРНА РОБОТА 2

Синтез схеми вмикання семисегментного індикатора ССІ_3х8

Теоретична частина

Мета роботи: отримання практичних навичок синтезу

комбінаційних схем і розроблення схем-програм мовою FBD в

Unity Pro, налагодження елементарних функцій алгебри логіки у

різних формах ДДНФ або ДКНФ.

Обладнання і ПЗ: цифрова ПЕОМ із системним

програмним забезпеченням Windows XP або вище та прикладне

ПЗ Unity Pro 3.0 або вище.

Хід виконання роботи

1 Вивчити весь теоретичний матеріал, необхідний для

досягнення цілей лабораторної роботи.

2 Створити проект за наведеною після теоретичним

матеріалом послідовністю, та ввести схему-програму типового

завдання.

3 Визначити та вирішити індивідуальне завдання.

4 Оформити "заготовку" до лабораторної роботи (номер,

назва, мета, обладнання та ПЗ, принципова схема підключення

контактів (виводів) контролера (уявного), схема-програма

вирішення індивідуального завдання для Unity Pro на мові FBD).

5 Ввести програму мовою FBD для Unity Pro в лабораторії,

отримати результати та захистити у викладача.

6 Оформити звіт з висновками й отримати бали за ЛР у

викладача.

Теоретичний матеріал

У пристроях залізничної автоматики, телемеханіки, обчис-

лювальної техніки, в тому числі у мікропроцесорах існує багато

комбінаційних схем. Під комбінаційними схемами розуміють ло-

гічні схеми, сигнал на виході яких у кожний момент часу визна-

чається комбінацією вхідних сигналів у той же момент часу.

Синтез комбінаційних схем полягає у визначенні таких спо-

собів поєднання деяких найпростіших схем, названих логічними

елементами (див. таблицю 2), при яких побудований пристрій ре-

алізує поставлене завдання із перетворення вхідної двійкової ін-

формації.

Синтез комбінаційних схем поділяють на чотири етапи:

Page 17: ЗМІСТ Вступ………………………………………………………………

19

1) утворення таблиці істинності для ФАЛ, яка описує роботу

проектованої логічної схеми (частіше за все на підставі словесно-

го опису принципу роботи);

2) утворення математичної формули для ФАЛ, що описує ро-

боту схеми, яку синтезують, у вигляді ДДНФ або ДКНФ (на підс-

таві таблиці істинності);

3) аналіз отриманої ФАЛ з метою побудови різних варіантів

її математичного виразу (на основі законів булевої алгебри) та

знаходження найкращого з них відповідно до того чи іншого кри-

терію. На цьому етапі здійснюється мінімізація ФАЛ;

4) утворення функціональної (логічної) схеми пристрою з

елементів, які складають вибраний базис. Але у нашому випад-

ку синтез комбінаційних схем реалізується схемою-

програмою на мові FBD для ПЗ Unity Pro 3.0 або вище. В таб-

лиці 2 наведено функції й еквівалентні їм FFB-типи мови FBD, а

також їх запис за допомогою операцій І, АБО, Ні.

Диз'юнкти́вна норма́льна фо́рма (ДНФ) в булевій логіці

— нормальна форма, в якій булева формула має вигляд диз'юнк-

ції декількох кон'юнктів (де кон'юнктами називаються кон'юнкції

декількох пропозиційних символів або їх заперечень).

Досконалою диз'юнктивною нормальною формою

(ДДНФ) булевої функції називається диз'юнкція тих конституент

одиниці, які перетворюються в одиницю на тих самих наборах

змінних, що й задана функція. ДДНФ повинна задовольняти такі

умови:

у ній немає однакових доданків;

жоден із доданків не містить двох однакових співмножни-

ків;

жоден із доданків не містить змінну разом із її заперечен-

ням;

у кожному окремому доданку є як співмножник або змін-

на xi, або її заперечення для будь-якого i = 1, 2, …, n.

У практицi перетворювання логiчних формул iснує чiткий

порядок виконання дiй. У разi вiдсутностi у виразi дужок перши-

ми повиннi виконуватися операцiї iнверсiї (заперечення), потiм

— операцiї кон’юнкцiї (логiчного множення), останнiми — опе-

рацiї диз’юнкцiї (логiчного складання).

Page 18: ЗМІСТ Вступ………………………………………………………………

20

Таблиця 2 – Функції та еквівалентні їм FFB-типи мови FBD

Логічні ар-

гументи і

функції

Значення

аргумен-

тів і функ-

цій

Функція (з назвою

у дужках) та її за-

пис за допомогою

операцій

І, АБО, НІ

FFB-тип логічно-

го елемента схе-

ми-програми на

мові FBD для ПЗ

Unity Pro Аргум х1 0 0 1 1

Аргум х2 0 1 0 1

1 2 3 4 5 6 7

F0 0 0 0 0

Константа нуль

(генератор нуля)

F0 = 0

F1 0 0 0 1

Кон’юнкція

(кон’юнктор)

F1 = х1 · х2

F2 0 0 1 0

Заборона 2-го

аргументу (еле-

мент заборони)

F2 = 1 2x · x

F3 0 0 1 1

Повторення1-го

аргументу (повто-

рювач) F3 = х1

F4 1 0 0

Заборона 1-го ар-

гументу (елемент

заборони)

F4 = 1 2x · x

F5 0 1 0 1

Повторення 2-го

аргументу

(повторювач)

F5 = х2

F6 0 1 1 0

Нерівнозначність

(суматор за моду-

лем 2)

6 1 2 1 2

1 2

F = x x + x x

x x

Page 19: ЗМІСТ Вступ………………………………………………………………

21

Продовження таблиці 2

1 2 3 4 5 6 7

F7 0 1 1 1 Диз’юнкція (диз’юнктор) F7 =х1+х2

F8 1 0 0 0

Операція Пірса (елемент Пірса)

8 1 2F = x + x

F9 1 0 0 1 Рівнозначність (еквівалентор)

F10 1 0 1 0

Заперечення 2-го аргументу (інвер-

тор) 10 2F = x

F11 1 0 1 1

Імплікація від 2-го аргументу до 1-го

11 1 2F = x + x

F12 1 1 0 0

Заперечення 1-го аргументу

(інвертор) 12 1F = x

F13 1 1 0 1

Імплікація від 1-го аргументу до 2-го (імплікатор)

13 1 2F = x + x

F14 1 1 1 0

Операція Шеффе-ра (елемент Шеф-

фера) 14 1 2F = x · x

F15 1 1 1 1 Константа одини-ця (генератор оди-ниці) F15=1

Наявність у виразі дужок змінює порядок дій, і тоді в першу

чергу виконуються операції у дужках.

Кількість різних наборів значень аргументів ФАЛ кінцева,

виходячи з цього будь-яка ФАЛ може бути задана таблицею з 2N

рядками. Зліва у таблиці (таблиця 3) проставляються номери ряд-

Page 20: ЗМІСТ Вступ………………………………………………………………

22

ків (номери цифр, що відображатимуться), потім – значення фун-

кції семи сегментів для кожного з наборів змінних, а справа —

набори значень аргументів функції. Такий спосіб задання функції

для семи сегментів названо табличним.

Синтез логічних пристроїв у різних базисах розглянемо на

прикладі побудови схем увімкнення сегментів семисегментного

індикатора з трьома входами х2, х1, х0.

Послідовність створення проекту

Для виконання ЛР 2 необхідно відкрити створений проект

ЛР 1 та зберегти його як laba02 або самостійно створити проект

за процедурою, наведеною у ЛР 1 із назвою laba02.

Послідовність дій введення типового завдання проекту

Типове завдання ЛР 2. Розробити схему-програму на мові

FBD кодування стану восьми кнопок (булеві змінні а0, а1, а2, а3,

а4, а5, а6, а7) у дворозрядний код (булеві змінні х2, х1, х0) та

візуалізувати коди х2х1х0 (000, 001, 010, 011, 100, 101, 110, 111)

на стандартному семисегментному індикаторі із сегментами (a, b,

c, d, e, f, g) у вигляді цифр, зображення яких пропонується

виводити в такому вигляді: , , , , , , , , відповідно

до значень показаних у таблиці 3.

Для синтезу схем, що реалізують різні ФАЛ, найбільш

зручним є аналітичний спосіб задання ФАЛ, коли ФАЛ має

вигляд алгебраїчного виразу, який отримують у результаті

використання яких-небудь логічних операцій до змінних функції

алгебри логіки. Для знаходження ДДНФ з таблиці вибирають

тільки ті рядки, де стоять набори змінних, які перетворюють

функцію в 1. Це 0-й, 2-й, 3-й, 5-й, 6-й і 7-й рядки. Виписують

кон’юнкції, які відповідають вибраним рядкам. При цьому, якщо

аргумент хi входить до даного набору як 1, він записується у

кон’юнкцію без змін; якщо ж хi входить до даного набору як 0, то

у відповідну кон’юнкцію записується його заперечення.

Наприклад, для сегмента «a» в ДДНФ він буде мати вигляд

такого алгебраїчного виразу: аДДНФ= 𝑥2̅̅ ̅ 𝑥1̅̅ ̅ 𝑥0̅̅ ̅ + 𝑥2̅̅ ̅ 𝑥1 𝑥0̅̅ ̅ +𝑥2̅̅ ̅ 𝑥1𝑥0 + 𝑥2 𝑥1̅̅ ̅ 𝑥0 + 𝑥2 𝑥1 𝑥0̅̅ ̅ + 𝑥2𝑥1𝑥0.

Цю ж функцію, для сегмента «a», можна записати у вигляді

ДКНФ. Для цього із таблиці істинності вибирають набори

аргументів, на яких значення функції дорівнює 0. Виписують

диз’юнкції, які відповідають названим наборам аргументів. При

Page 21: ЗМІСТ Вступ………………………………………………………………

23

цьому, якщо аргумент хі входить у даний набір як 0, він

вписується у диз’юнкцію, яка відповідає набору, без змін; якщо ж

хі входить у набір як 1, то у відповідну диз’юнкцію вписують

його заперечення. ДКНФ буде мати вигляд такого алгебраїчного

виразу: аДКНФ= (𝑥2̅̅ ̅ + 𝑥1 + 𝑥0)(𝑥2 + 𝑥1 + 𝑥0̅̅ ̅).

Таблиця 3 – Завдання ФАЛ за допомогою таблиці істинності для

сегментів ССІ 3х8

Номер

рядка

Значення функцій ССІ 3х8 Значення

аргументів Примітка

а b c d e f g х2 x1 x0

0

1

2

3

4

5

6

7

1

0

1

1

0

1

1

1

1

1

1

1

1

0

0

1

1

1

0

1

1

1

1

1

1

0

1

1

0

1

1

0

1

0

1

0

0

0

1

0

1

0

0

0

0

1

1

0

0

0

1

1

1

1

1

0

0

0

0

0

1

1

1

1

0

0

1

1

0

0

1

1

0

1

0

1

0

1

0

1

Очевидно, що для реалізації а (аДКНФ) раціональніше вибра-

ти схему в програмі, яка буде містити тільки три блоки з трьома

входами (аналог F11, F13) та з двома входами F14 з таблиці 2.

За аналогічною процедурою студентам необхідно скласти

ДДНФ та ДКНФ для решти сегментів таблиці 3 і вибрати, яка

функція більш раціональна для програмування. Збільшення

кількості входів у блоків OR, AND та інших реалізується шляхом

"розтягування" блока ПКМ за нижню межу блока.

За відомою з ЛР 1 процедурою створюються додаткові

змінні та кнопки шини «x2», «x1», «x0». Наступним кроком

виконання роботи є повторне з'єднання з ПЛК (Ctrl+К),

передача/завантаження (Load) схеми-програми до ПЛК (Ctrl+L) і

запуска (Run) її у віртуальному ПЛК (Ctrl+R). Вибрати екран

користувача (наприклад, Scr_laba02) та після натискання F7, або

включивши режим «Enable Variable Modification» іншим спосо-

бом – натиснувши ЛКМ на піктограмі , моделювати комбіна-

Page 22: ЗМІСТ Вступ………………………………………………………………

24

ції шин х2х1х0, 001, 011, 010, 000, 100, 101, 111, 110 та перевіря-

ти правильність зображень цифр згідно з таблицею 3. У випадку

невідповідності провести коригування схеми-програми або налаш-

тування об’єктів екранів оператора та провести повторну перевірку.

Контрольні питання

1 Що вдає із себе мову функціональних блоків FBD? 2 Для чого служать зв'язки при розробленні програм на FBD? 3 Яке призначення входів і виходів функціональних блоків? 4 Як розташовуються функціональні блоки в редакторі про-

грами FBD? 5 Яке визначення булевої функції? 6 Як тлумачиться термін ДНФ? 7 Як тлумачиться термін КНФ? 8 Як тлумачиться термін ДДНФ? 9 Як тлумачиться термін ДКНФ? 10 Як реалізувати з'єднання з ПЛК? 11 Як реалізувати передачі/завантаження (Load) схеми-

програми до ПЛК? 12 Як реалізувати запуск (Run) програми у віртуальному ПЛК? 13 Як скласти таблицю істинності для ССІ 4х10, 4х16(F)? 14 Як скласти таблицю істинності для ССІ 5х32, 6х46? 15 Яка потенційна межа відображення символів на ССІ?

Індивідуальне завдання

Скласти таблицю істинності, зробити для ССІ 4х16(F)

аналітичний запис і схему-програму за варіантом: 1) сегмента "а" у досконалій ДНФ; 2) сегмента "а" у досконалій КНФ; 3) сегмента "b" у досконалій ДНФ; 4) сегмента "b" у досконалій КНФ; 5) сегмента "с" у досконалій ДНФ; 6) сегмента "с" у досконалій КНФ; 7) сегмента "d" у досконалій ДНФ; 8) сегмента "d" у досконалій KНФ; 9) сегмента "e" у досконалій ДНФ; 10) сегмента "e" у досконалій KНФ; 11) сегмента "f" у досконалій ДНФ; 12) сегмента "f" у досконалій KНФ.

Page 23: ЗМІСТ Вступ………………………………………………………………

25

ЛАБОРАТОРНА РОБОТА 3

Створення схем вмикання для синтезу ССІ 4х10

на комутаторах MUX

Теоретична частина

Мета роботи: отримання практичних навичок синтезу

комбінаційних схем, розроблення схем-програм мовою FBD в

Unity Pro та налагодження елементарних функцій алгебри логіки

на комутаторах MUX.

Обладнання та ПЗ: цифрова ПЕОМ із системним

програмним забезпеченням Windows XP або вище та прикладне

ПЗ Unity Pro 3.0 або вище.

Хід виконання роботи

1 Вивчити весь теоретичний матеріал, необхідний для

досягнення цілей лабораторної роботи.

2 Створити проект за наведеною після теоретичного

матеріалу послідовністю, та ввести схему-програму типового

завдання.

3 Визначити та вирішити індивідуальне завдання.

4 Оформити "заготовку" до лабораторної роботи (номер,

назва, мета, обладнання та ПЗ, принципова схема підключення

контактів (виводів) контролера (уявного), схема-програма

вирішення індивідуального завдання для Unity Pro на мові FBD).

5 Ввести програму мовою FBD для Unity Pro в лабораторії,

отримати результати та захистити у викладача.

6 Оформити звіт з висновками й отримати бали за ЛР у

викладача.

Теоретичний матеріал

У складі різних серій мікросхем, що застосовуються у при-

строях залізничної автоматики та телемеханіки, є елементи сере-

днього ступеня інтеграції – комутатори (мультиплексори –

MUX). Мультиплексор – це схема з одним виходом Q, k+1 керу-

ючими входами А0, А1, …, Ак, n+1 інформаційними входами B0,

B1, …, Bn та входом дозволу роботи мультиплексора С для подачі

синхронізуючого сигналу. Якщо подавати на керуючі входи від-

повідні сигнали у вигляді двійкового коду, до виходу комутатора

підключається один з його інформаційних входів. Існують кому-

татори, які здійснюють вибір одного з 4-х, 8-ми або 16-ти інфор-

Page 24: ЗМІСТ Вступ………………………………………………………………

26

маційних сигналів. Умовне позначення таких комутаторів наве-

дено на рисунку 17. При подачі сигналу на вхід С вихідна змінна

мультиплексора Q повторює змінну інформаційного входу Bn з

номером n=2k+1, що задається двійковим кодом на керуючих вхо-

дах. При відсутності синхронізуючого сигналу (С=0, якщо вхід

прямий) зв'язок між інформаційними входами і виходом відсут-

ній.

Функціонування мультиплексора визначається за табли-

цею 4.

Таблиця 4 – Функціонування мультиплексора

Керуючі входи Вхід дозво-

лу

Вихід

А1 А0 С Q

~ ~ 0 0

0 0 1 В0

0 1 1 В1

1 0 1 В2

1 1 1 В3

а) К155КП2; б) К155КП7; в) К155КП1

Рисунок 17 – Типи комутаторів серії К155

Page 25: ЗМІСТ Вступ………………………………………………………………

27

Рівняння комутаторів 1 з 4, 1 з 8, 1 з 16 можна записати та-

ким чином:

1-4 1 0 0 1 0 1 1 0 2 1 0 3F = A A В +A A В +A A В +A A В ; (1)

1-8 2 1 0 0 2 1 0 1 2 1 0 2

52 1 0 3 2 1 0 4 2 1 0

72 1 0 6 2 1 0

F = A A A В + A A A В + A A A В +

+ A A A В + A A A В + A A A В +

+ A A A В + A A A В ;

(2)

1-16 3 2 1 0 0 3 2 1 0 1 3 2 1 0 2

53 2 1 0 3 3 2 1 0 4 3 2 1 0

73 2 1 0 6 3 2 1 0 3 2 1 0 8

F = A A A A В + A A A A В + A A A A В +

+ A A A A В +A A A A В + A A A A В +

+A A A A В + A A A A В + A A A A В +

3 2 1 0 9 3 2 1 0 10 3 2 1 0 11

3 2 1 0 12 3 2 1 0 13 3 2 1 0 14

3 2 1 0 15

+ A A A A В +A A A A В +A A A A В +

+ A A A A В + A A A A В + A A A A В +

+A A A A В .

(3)

У виразах (1)-(3) через Вi й Аj позначені відповідно сигнали,

які подаються на інформаційні та керуючі входи мультиплексо-

рів.

Нехай ми маємо довільні ФАЛ 3-х, 4-х і 5-ти змінних, тоді

3 3 2 1

4 4 3 2 1

5 5 4 3 2 1

F (x , x , x );

F (x , x , x , x );

F (x , x , x ,x ,x ).

(4)

Позначимо х5, х4, х3, х2 відповідно через А3, А2, А1, А0, а х1 –

через В.

3 1 0

4 2 1 0

5 3 2 1 0

F ( A , A ,В);

F ( A , A , A ,В);

F ( A , A ,A ,A ,В).

(5)

Використовуючи метод функціональної декомпозиції, пода-

мо функції (5) у вигляді таких розкладів:

Page 26: ЗМІСТ Вступ………………………………………………………………

28

3 1 0 3 1 0 3 1 0

3 1 0 3 1 0

F ( A , A ,В) = F ( 0, 0,В)A A +F ( 0, 1,В)A A +

+F ( 1, 0,В)A A +F ( 1, 1,В)A A ; (6)

4 2 1 0 4 2 1 4

2 1 0 4 2 1 0 4 2 1 0

4 2 1 0 4 2 1 0 4

2 1 0 4 2 1

0F ( A , A , A ,Â) = F ( 0, 0, 0,Â)A A A +F ( 0, 0, 1,Â)

A A A +F ( 0, 1, 0,Â)A A A +F ( 0, 1, 1,Â)A A A +

+F ( 1, 0, 0,Â)A A A +F ( 1, 0, 1,Â)A A A +F ( 1, 1, 0,Â)

A A A +F ( 1, 1, 1,Â)A A

0

A ;

(7)

5 53 2 1 0 3 2 1 0

5 53 2 1 0 3 2 1 0

F ( A , A , A ,A ,В) = F ( 0, 0, 0, 0,В)A A A A +

+F ( 0, 0, 0, 1,В)A A A A +F ( 0, 0, 1, 0,В)A A A A +

5 53 2 1 0 3 2 1 0+F ( 0, 0, 1, 1,В)A A A A +F ( 0, 1, 0, 0,В)A A A A +

5 53 2 1 0 3 2 1 0

5 53 2 1 0 3 2 1 0

5 53 2 1 0 3 2 1 0

5 3 2 1

+F ( 0, 1, 0, 1,В)A A A A +F ( 0, 1, 1, 0,В)A A A A +

+F ( 0, 1, 1, 1,В)A A A A +F ( 1, 0, 0, 0,В)A A A A +

+F ( 1, 0, 0, 1,В)A A A A +F ( 1, 0, 1, 0,В)A A A A +

+F ( 1, 0, 1, 1,В)A A A50 3 2 1 0

5 53 2 1 0 3 2 1 0

5 3 2 1 0

A +F ( 1, 1, 0, 0,В)A A A A +

+F ( 1, 1, 0, 1,В)A A A A +F ( 1, 1, 1, 0,В)A A A A +

+F ( 1, 1, 1, 1,В)A A A A .

(8)

Розглядаючи вирази (6)-(8), легко переконатися у тому, що

всі значення функцій F3, F4, F5, які знаходяться у правій частині цих

виразів, можуть приймати значення тільки з множини {0, 1, В }.

Вирази (6)-(8) описують будь-яку ФАЛ відповідно 3-х, 4-х і

5-ти змінних.

Попарне зіставлення виразів (1) і (6), (2) і (7), (3) і (8) пока-

зує, що коли на керуючі входи комутаторів подавати змінні А1

А0; А2 А1 А0; А3 А2 А1 А0, а на відповідні інформаційні входи —

величини з множини {0, 1, В або B } за таблицею істинності за-

даної функції, то можна записати такі рівності:

51-4 3 1-8 4 1-16F = F ; F = F ; F = F . (9)

Ці вирази свідчать про те, що комутатори 1 з 4, 1 з 8, 1 з 16 є

універсальними логічними елементами, що реалізують будь-яку

ФАЛ відповідно 3-х, 4-х і 5-ти змінних [23].

Page 27: ЗМІСТ Вступ………………………………………………………………

29

Але у нашому випадку синтез комбінаційних схем реалі-

зується схемою-програмою на мові FBD для ПЗ Unity Pro 3.0

або вище з широким різноманіттям функцій, процедур і фун-

кціональних блоків (FFB). У ПЗ Unity Pro є бібліотечні про-

грамні блоки (EF-модуль/блок) FFB типу, які називаються MUX і

моделюють роботу мультиплексора 1 з N (де N – від 2 до 16) з

вибором (комутацією) каналу через змінну типу INT. Таким чи-

ном, для перетворення керуючих булевих (BOOL) сигналів А3 А2

А1 А0 (х3, х2, х1, х0) в тип INT для входу К (керуючого) EF-блока

MUX (комутатора) необхідно перекодувати змінні. Оскільки в бі-

бліотеці Unity Pro немає EF-блока BIT_TO_INT, то його потріб-

но або створити самостійно, або замінити двома послідовно з'єд-

наними BIT_TO_WORD і WORD_TO_INT. На рисунку 18 наве-

дено вигляд схеми/програми функції комутатора.

Рисунок 18 – Схема комутатора 1 із 10, реалізована для

увімкнення сегмента "а" індикатора

Синтез логічних пристроїв на базі мультиплексора (MUX)

розглянемо на прикладі побудови схем увімкнення десяти цифр сегментами семисегментного індикатора з чотирма входами х3, х2, х1, х0.

Послідовність створення проекту Для виконання ЛР 3 необхідно відкрити створений проект

ЛР 1, зберегти його як laba03 або самостійно створити проект за процедурою, наведеною в ЛР 1 із назвою laba03.

Page 28: ЗМІСТ Вступ………………………………………………………………

30

Послідовність дій введення типового завдання проекту

Типове завдання ЛР 3. Розробити схему-програму на мові

FBD кодування стану десяти кнопок (булеві змінні k0, k1, k2, k3,

k4, k5, k6, k7, k8, k9) у дворозрядний код (булеві змінні х3, х2, х1,

х0 або А3, А2, А1, А0) та візуалізувати коди х3х2х1х0 (0000,

0001, 0010, 0011, 0100, 0101, 0110, 0111, 1000, 1001) на

стандартному семисегментному індикаторі з сегментами (a, b, c,

d, e, f, g) у вигляді цифр, зображення яких пропонується виводити

в такому вигляді: , , , , , , , , , , відповідно до

значень, показаних у таблиці істинності за аналогією з

таблицею 3.

Синтез схем-програм ФАЛ на базі комутаторів при якому

ФАЛ має вигляд стандартної схеми-програми, як на рисунку 18, є

найбільш зручним. Однак є невелика відмінність. Входи

IN0...IN9, при прямому кодуванні (до 16 входів), фактично є

табличними значеннями булевої функції виходу (вихід OUT).

Тому для побудови схеми ФАЛ необхідно скопіювати необхідну

кількість (сім – від кількості сегментів) EF-блоків типу MUX для

кожного із сегментів (a, b, c, d, e, f, g). Виходи (OUT) семи EF-

блоків типу MUX приєднати до булевих змінних (a, b, c, d, e, f, g),

а на входи IN0...IN9 подати 0 або 1 у суворій відповідності із

таблицею істинності. Набір змінних х3х2х1х0 засобами двох

послідовно з'єднаних блоків BIT_TO_WORD і WORD_TO_INT

перетворюють у змінну Adr формату INT. Саме змінну Adr

формату INT необхідно подати на вхід "К" семи EF-блоків типу

MUX.

За відомою з ЛР 1 процедурою створюються додаткові

змінні та кнопки шини «x3», «x2», «x1», «x0». Наступним кроком

виконання роботи є повторне з'єднання з ПЛК (Ctrl+К),

передачі/завантаження (Load) схеми-програми до ПЛК (Ctrl+L) і

запуску (Run) її у віртуальному ПЛК (Ctrl+R). Вибрати екран

користувача (наприклад, Scr_laba03) та після натискання F7, або

включивши режим «Enable Variable Modification» іншим

способом – натиснувши ЛКМ на піктограмі , моделювати

комбінації шин х3х2х1х0, 0001, 0011, 0010, 0000, 0100, 0101,

0111, 0110, 1000, 1001 та перевіряти правильність зображень

цифр за таблицею істинності (створеною самостійно). У випадку

Page 29: ЗМІСТ Вступ………………………………………………………………

31

невідповідності провести корегування схеми-програми або

налаштування об’єктів екранів оператора та провести повторну

перевірку.

Контрольні питання

1 Яке визначення процедури?

2 Яке визначення FFB блока?

3 Яке визначення EF функції?

4 Яке визначення FFB блока?

5 Як тлумачиться термін MUX?

6 Як тлумачиться термін DMX?

7 Як тлумачиться термін WORD?

8 Як тлумачиться термін INT?

9 Як реалізувати з'єднання з ПЛК?

10 Як реалізувати передачі/завантаження (Load) схеми-

програми до ПЛК?

11 Як реалізувати запуск (Run) програми у віртуальному

ПЛК?

12 Як скласти таблицю істинності для ССІ 4х10, 4х16(F)?

13 Як скласти таблицю істинності для ССІ 5х32, 6х46?

14 Яка потенційна межа відображення символів на ССІ?

Індивідуальне завдання

Скласти таблицю істинності, записати для ССІ 4х16(F)

аналітичний запис і схему-програму для реалізації на

комутаторах MUX таких ФАЛ:

1) сегмента "а" у досконалій ДНФ;

2) сегмента "а" у досконалій КНФ;

3) сегмента "b" у досконалій ДНФ;

4) сегмента "b" у досконалій КНФ;

5) сегмента "с" у досконалій ДНФ;

6) сегмента "с" у досконалій КНФ;

7) сегмента "d" у досконалій ДНФ;

8) сегмента "d" у досконалій KНФ;

9) сегмента "e" у досконалій ДНФ;

10) сегмента "e" у досконалій KНФ;

11) сегмента "f" у досконалій ДНФ;

12) сегмента "f" у досконалій KНФ.

Page 30: ЗМІСТ Вступ………………………………………………………………

32

ЛАБОРАТОРНА РОБОТА 4

Створення функціональних блоків користувача (DFB)

для синтезу модуля вмикання ССІ 4х16(F)min

Теоретична частина

Мета роботи: отримання практичних навичок створення

функціональних блоків користувача (DFB) та синтезу

комбінаційних схем, мінімізованих засобами карт Карно,

розроблення схем-програм мовою FBD з використанням DFB-

блоків в Unity Pro і налагодження мінімізованих ФАЛ.

Обладнання та ПЗ: цифрова ПЕОМ із системним

програмним забезпеченням Windows XP або вище та прикладне

ПЗ Unity Pro 3.0 або вище.

Хід виконання роботи

1 Вивчити весь теоретичний матеріал, необхідний для

досягнення цілей лабораторної роботи.

2 Створити проект за послідовністю, викладеною нижче, та

ввести схему-програму типового завдання.

3 Визначити та вирішити індивідуальне завдання.

4 Оформити "заготовку" до лабораторної роботи (номер,

назва, мета, обладнання і ПЗ, принципова схема підключення

контактів (виводів) контролера (уявного), схема-програма

вирішення індивідуального завдання для Unity Pro на мові FBD).

5 Ввести програму мовою FBD для Unity Pro в лабораторії,

отримати результати та захистити у викладача.

6 Оформити звіт з висновками й отримати бали за ЛР у

викладача.

Послідовність створення проекту

Для виконання ЛР 4 необхідно відкрити створений проект

ЛР 1, зберегти його як laba04 або самостійно створити проект за

процедурою, наведеною у ЛР 1 із назвою laba04.

Послідовність дій введення типового завдання проекту

Як і в попередній роботі, компонуємо всі необхідні апаратні

модулі контролера й активуємо та налагоджуємо їх за схемою

підключення. Наступний крок – відкриваємо вікно Derived FB

Types, щоб побудувати новий DFB-тип (рисунок 19), на основі

якого потім будуть створюватися екземпляри. Крім унікальної

назви типу (за правилами створення ідентифікаторів, латинські

Page 31: ЗМІСТ Вступ………………………………………………………………

33

букви без пробілів), необхідно створити локальні вхідні і вихідні

змінні (рисунок 19) в DFB-типі та логіку «перетворення» вхідних

змінних у вихідні, у випадку імітації бітового меандра з періодом

Тімп = 1 с (D_МТ-меандр часу у якого tімп= 0,5 c, tпауз= 0,5 c)

(рисунок 20). Мовою FBD, будуємо схему (рисунок 20),

натискаючи на об’єкт Sec_MT два рази ПКМ. У процесі

створення цих елементів буде відображатись знак ,

це означає, що елемент у процесі обробки. Після завершення

створення треба скомпілювати програму, щоб не було помилок,

за допомогою символу .

Рисунок 19 – Cтворення у розділі проекту Derived FB Types

DFB-типу з назвою «D_MT»

Рисунок 20 – Внутрішня схема (логіка) секції Sec_MT DFB-блока

створюваного типу "D_MT"

Page 32: ЗМІСТ Вступ………………………………………………………………

34

У МАСТ секції (Laba04) визиваємо меню вибору

(створення) блока Ctrl+I (рисунок 21) і створюємо екземпляр

функціонального блока користувача ввівши в поле "FFB"

значення «D_MT», а в поле «Instance/екземпляр» – назву

екземпляра блока типу «D_MT» (можливо МТ, або МТ1 за

замовчуванням D_MT_0, D_MT_1, ...). Якщо на вхід «Р» такого

блока подати «сигнал 1», то на виході МТ12 буде відтворюватися

імпульсний сигнал – меандр.

Рисунок 21 – Створення екземпляра з ім'ям «MT»

функціонального блока користувача DFB-типу з ім'ям «D_MT» зі

сформованими раніше вхідними і вихідними змінними та

програмою перетворення змінних

Відкриваємо/створюємо операторський екран Screen_laba04

і зображуємо об'єкт типу «еліпс», як на рисунку 22, задіємо

кружечок для відображення восьмого «dp» сегмента

модифікованого ССІ, який буде залежати від вихідної змінної

типу BOOL з іменем «MT12» блока з іменем «MT» DFB-типу.

Така змінна матиме параметр запису MT.MT12 із можливістю

задавати її в полі Variable (рисунок 22).

Можемо використовувати вихідну змінну MT12 блока MT

як елемент складної змінної MT.MT12 у параметрах анімації

«еліпс» – восьмого сегмента ССІ, або інших секціях програм.

Page 33: ЗМІСТ Вступ………………………………………………………………

35

Послідовність дій розроблення залікового завдання

лабораторної роботи

Після успішного виконання вищенаведеного типового

завдання для розуміння створення функціональних блоків

користувача (DFB-тип) на прикладі створення генератора

меандрових імпульсів (із ім'ям "МТ"), з періодом в одну секунду

необхідно синтезувати модуль управління семисегментним

індикатором (DFB-тип із ім'ям "І4хF"), який буде перетворювати

двійковий чотирирозрядний код (вхідні булеві змінні х3, х2, х1,

х0) на стандартному семисегментному індикаторі (рисунок 8, б) з

виходами a, b, c, d, e, f, g.

Рисунок 22 – Зображення і налаштування восьмого сегмента "dp"

модернізованого ССІ

Використавши створений функціональний блок користувача

(DFB-тип із ім'ям "І4хF"), створити його екземпляр із ім'ям "D0"

(Digital0). Підключити до вхідних булевих змінних х3, х2, х1, х0

блока D0 типу I4xF кодові булеві сигнали, що генерують таку

послідовність: 0001, 0011, 0010, 0000, 0100, 0101, 0111, 0110,

1000, 1001, 1011, 1010, 1110, 1111, 1101, 1100.

За відомою з ЛР 1 процедурою створюються додаткові

змінні та кнопки шини «x3», «x2», «x1», «x0». Наступним кроком

виконання роботи є повторне з'єднання з ПЛК (Ctrl+К),

Page 34: ЗМІСТ Вступ………………………………………………………………

36

передача/завантаження (Load) схеми-програми до ПЛК (Ctrl+L) і

запуска (Run) її у віртуальному ПЛК (Ctrl+R). Вибрати екран

користувача (наприклад, Scr_laba04), після натискання F7, або

включивши режим «Enable Variable Modification» іншим

способом – натиснувши ЛКМ на піктограмі , моделювати

комбінації шин х3х2х1х0, 0001, 0011, 0010, 0000, 0100, 0101,

0111, 0110, 1000, 1001, 1011, 1010, 1110, 1111, 1101, 1100 та

перевірити правильність зображень цифр за таблицею істинності

(створеною самостійно). У випадку невідповідності провести

коригування схеми-програми або налаштування об’єктів екранів

оператора та провести повторну перевірку.

У результаті тестування мають з'явитися всі 16 цифр

зображення яких має виводитися у такому вигляді:

, , , , , , , , , , , , , , , , відповідно

до значень таблиці істинності, раніше самостійно сформованої

(таблиця 5). Для отримання відмінної оцінки за виконання

лабораторної роботи ФАЛ всіх сегментів мають бути

оптимізовані.

а б

а) зображення фрагмента операторського екрана;

б) фрагмент секції схеми-програми завдання

Рисунок 23

Для перевірки роботи розробленого модуля можливе

застосування системної змінної типу «слово» (WORD), %SW50,

яка містить інформацію про секунди реального часу ПЛК у

форматі #16SS00 і блок WORD_TO_BIT.

Page 35: ЗМІСТ Вступ………………………………………………………………

37

Таблиця 5 – Форми подання чисел для перевірки

Форми подання чисел

десяткова двійкова шістнадцяткова

Схема перевірки зображена на рисунку 24.

Контрольні питання

1 Яка послідовність створення функціонального блока

користувача (DFB)?

2 Як створити новий блок DFB-типу?

3 Як створити новий екземпляр блока?

4 Як мінімізувати ФАЛ?

5 Як раціонально виділити частину ФАЛ у блок користувача?

6 Як тлумачиться термін WORD?

7 Як тлумачиться термін INT?

8 Як реалізувати з'єднання з ПЛК?

9 Як реалізувати передачі/завантаження (Load) схеми-

програми до ПЛК?

10 Як скласти таблицю істинності для ССІ 4х10, 4х16(F)?

11 Як скласти таблицю істинності для ССІ 5х32, 6х46?

12 Яка потенційна межа відображення символів на ССІ?

Page 36: ЗМІСТ Вступ………………………………………………………………

38

Рисунок 24 – Зображення фрагмента схеми-програми

перетворення системних секунд ПЛК в сигнали ССІ

Індивідуальне завдання

Скласти, за варіантом студента, таблицю істинності,

виконати для ССІ 5х32 аналітичний запис і схему-програму для

реалізації ФАЛ:

1) сегмента "а" у досконалій ДНФ;

2) сегмента "а" у досконалій КНФ;

3) сегмента "b" у досконалій ДНФ;

4) сегмента "b" у досконалій КНФ;

5) сегмента "с" у досконалій ДНФ;

6) сегмента "с" у досконалій КНФ;

7) сегмента "d" у досконалій ДНФ;

8) сегмента "d" у досконалій KНФ;

9) сегмента "e" у досконалій ДНФ;

10) сегмента "e" у досконалій KНФ;

11) сегмента "f" у досконалій ДНФ;

12) сегмента "f" у досконалій KНФ.

Page 37: ЗМІСТ Вступ………………………………………………………………

39

ЛАБОРАТОРНА РОБОТА 5

Синтез комбінаційних схем вмикання декількох ССІ 4х16(F)

для виведення перекодованих чисел різних систем числення

Теоретична частина

Мета роботи: отримання практичних навичок створення

комбінаційних схем вмикання декількох ССІ 4х16(F) для

виведення перекодованих чисел різних систем числення з

використанням функціональних блоків користувача (DFB) та

синтезу комбінаційних схем в Unity Pro, мінімізованих засобами

карт Карно, налагодження мінімізованих ФАЛ.

Обладнання та ПЗ: цифрова ПЕОМ із системним

програмним забезпеченням Windows XP або вище та прикладне

ПЗ Unity Pro 3.0 або вище.

Хід виконання роботи

1 Вивчити весь теоретичний матеріал, необхідний для

досягнення цілей лабораторної роботи.

2 Створити проект за послідовністю, викладеною нижче, та

ввести схему-програму типового завдання.

3 Визначити та вирішити індивідуальне завдання.

4 Оформити «заготовку» до лабораторної роботи (номер,

назва, мета, обладнання та ПЗ, принципова схема підключення

контактів (виводів) контролера (уявного), схема-програма

вирішення індивідуального завдання для Unity Pro на мові FBD).

5 Ввести програму мовою FBD для Unity Pro в лабораторії,

отримати результати та захистити у викладача.

6 Оформити звіт з висновками й отримати бали за ЛР у

викладача.

Послідовність створення проекту

Для виконання ЛР 5 необхідно відкрити створений проект

ЛР 1, зберегти його як laba05 або самостійно створити проект за

процедурою, наведеною у ЛР 1 із назвою laba05.

Послідовність дій введення типового завдання проекту

Як і в попередній роботі, компонуємо всі необхідні апаратні

модулі контролера та активуємо, налагоджуємо їх за схемою

підключення. Наступний крок – відкриваємо створені блоки в

попередній лабораторній роботі або вікно Derived FB Types, щоб

створити новий DFB-тип (рисунок 19), на основі якого потім

Page 38: ЗМІСТ Вступ………………………………………………………………

40

будуть створюватися необхідні екземпляри блоків користувача,

щоб синтезувати модуль управління семисегментним

індикатором (DFB-тип із ім'ям І4хF), який буде перетворювати

двійковий чотирирозрядний код (вхідні булеві змінні х3, х2, х1,

х0 всіх комбінацій) на стандартному семисегментному індикаторі

(рисунок 8, б) з виходами a, b, c, d, e, f, g. Для перевірки роботи

розробленого модуля можливе застосування системної змінної

типу «слово» (WORD), %SW50, яка містить інформацію про

секунди реального часу ПЛК у форматі #16SS00 і блок

WORD_TO_BIT.

Послідовність дій розроблення залікового завдання

лабораторної роботи

Після успішного виконання вищенаведеного типового

завдання для розуміння створення функціональних блоків

користувача (DFB-тип) необхідно створити схему-програму

перетворення двійкового восьмирозрядного двійкового коду

(вхідні булеві змінні х7, х6, х5, х4, х3, х2, х1, х0 будь-якої

комбінації) у трирозрядний десятковий код у дворозрядний

шістнадцятковий код. Доречно для побудови схем перекодування

використовувати описані раніше блоки перетворення типів даних

(рисунок 18) і стандартні блоки: ділення (DIV), перетворення

типів INT_TO_WORD, WORD_TO_BIT або інші, за потребою.

Приклад використання таких типів наведено на рисунку 25.

Рисунок 25 – Зображення фрагмента схеми-програми

перетворення системних секунд ПЛК в сигнали ССІ

Обов'язково використовувати розроблений раніше модуль

управління семисегментним індикатором (DFB-тип із ім'ям І4хF),

створюючи на базі нього будь-яку кількість екземплярів

розробленого типу.

Page 39: ЗМІСТ Вступ………………………………………………………………

41

За відомою з ЛР 1 процедурою створюються додаткові

змінні та кнопки шини «x7», «x6», «x5», «x4», «x3», «x2», «x1»,

«x0». На операторському екрані користувача подати (рисунок 26)

двійковий код числа (зверху), його десятковий аналог (ліворуч

червоними символами) і шістнадцятковий аналог (праворуч

зеленими символами) засобами ССІ.

Рисунок 26 – Зображення на операторському екрані користувача

двійкового коду числа (зверху), його десяткового аналога (зліва

червоними символами) і шістнадцяткового аналога (зеленими

символами (праворуч) засобами ССІ

Наступним кроком виконання роботи є повторне з'єднання з

ПЛК (Ctrl+К), передачі/завантаження (Load) схеми-програми до

ПЛК (Ctrl+L) і запуску (Run) її у віртуальному ПЛК (Ctrl+R).

Вибрати екран користувача (наприклад, Scr_laba04), після

натискання F7, або включивши режим «Enable Variable

Modification» іншим способом – натиснувши ЛКМ на піктограмі

, моделювати комбінації шин та перевіряти правильність

зображень цифр за таблицею істинності (створеною самостійно).

У випадку невідповідності провести коригування схеми-

програми або налаштування об’єктів екранів оператора та

зробити повторну перевірку.

У результаті тестування молодших чотирьох розрядів мають

з'явитися всі 16 цифр, зображення яких має такий вигляд:

, , , , , , , , , , , , , , , , відповідно

до значень таблиці істинності, раніше самостійно сформованої, і

таблиці 6.

Page 40: ЗМІСТ Вступ………………………………………………………………

42

Таблиця 6 – Форми подання восьмибітового числа

Форми подання чисел

десяткова двійкова шістнадцяткова

1 2 3

Контрольні питання

1 Яка послідовність створення функціонального блока

користувача (DFB)?

2 Як створити новий блок DFB-типу?

3 Як створити новий екземпляр блока?

4 Як мінімізувати ФАЛ?

5 Як раціонально виділити частину ФАЛ у блок

користувача?

6 Як додати до зображення (рисунок 26) вісімковий

еквівалент числа?

Page 41: ЗМІСТ Вступ………………………………………………………………

43

ЛАБОРАТОРНА РОБОТА 6

Синтез дискретних автоматів із пам'яттю засобами

RS-тригерів

Теоретична частина

Мета роботи: отримання практичних навичок створення

дискретних автоматів із пам'яттю засобами RS-тригерів в Unity

Pro та налагодження функціонування цифрових автоматів.

Обладнання та ПЗ: цифрова ПЕОМ із системним

програмним забезпеченням Windows XP або вище та прикладне

ПЗ Unity Pro 3.0 або вище.

Хід виконання роботи

1 Вивчити весь теоретичний матеріал, необхідний для

досягнення цілей лабораторної роботи.

2 Створити проект за наведеною після теоретичного

матеріалу послідовністю та ввести схему-програму типового

завдання.

3 Визначити та вирішити індивідуальне завдання.

4 Оформити «заготовку» до лабораторної роботи (номер,

назва, мета, обладнання та ПЗ, принципова схема підключення

контактів (виводів) контролера (уявного), схема-програма

вирішення індивідуального завдання для Unity Pro на мові FBD).

5 Ввести схему-програму мовою FBD для Unity Pro в

лабораторії, отримати результати та захистити у викладача.

6 Оформити звіт з висновками й отримати бали за ЛР у

викладача.

Теоретичний матеріал

В арифметичних і логічних пристроях для збереження ін-

формації найчастіше використовують тригери – пристрої з двома

стійкими станами по виходу, які містять елементарну за-

пам’ятовувальну комірку (бістабільна схема – БС) і схему керу-

вання (СК) – дискретні автомати з пам'яттю (ДАП). Такі автомати

характеризуються тим, що стан їх виходів залежить як від сигна-

лів, які діють на їх входах у даний момент часу, так і від послідо-

вності сигналів, що надійшли на входи автомата в попередні мо-

менти часу. В загальному вигляді ДАП мають схему, показану на

рисунку 27.

Page 42: ЗМІСТ Вступ………………………………………………………………

44

Комбінаційна

схема

1

Елементи

пам’яті

2

S

Х1

Хn

.

.

.

y1 Y1

ye Yk

СІ

Z1

Zm

Рисунок 27 – Зображення схеми ДАП у загальному вигляді

Для опису ДАП необхідно оперувати двома різними функці-

ями: φ та f . Функція φ (функція переходів) описує зміну вмісту

пам’яті залежно від того, що в ній зберігалось і які відбувалися

зміни вхідних сигналів. Функція f (функція виходів) описує зміну

вихідних сигналів автомата під впливом вхідних сигналів залеж-

но від того, що було записано в пам’яті ДАТ.

ДАП складається з комбінаційної схеми (КС) 1 та елементів

пам’яті (ЕП) 2. На входи КС надходять сигнали Х1, …, Xn. Крім

вихідних сигналів Z1, …, Zm, КС1 формує сигнали Y1, …, Yk, що

здійснюють переключення ЕП2 в різні стани S. Вихідні сигнали

ЕП2 y1, …, ye надходять на КС1 [23].

При реалізації АП як однобітових елементів пам’яті викори-

стовуються тригери різних типів. Найбільш розповсюдженими на

практиці є RS–, RS –, D–, T–, JK–тригери. Умовні позначення,

призначення входів, відповідні таблиці переходів і рівняння три-

герів приведені в таблиці 7.

Із таблиці 7 може бути отримана таблиця збудження триге-

рів (таблиця 8), що показує, які сигнали необхідно подавати на

входи тригерів для забезпечення всіх можливих переходів триге-

рів. У таблиці 8 y – стани виходів тригерів у моменти часу t і t+1;

YS , YR , YS , YR , YD , YT , YJ , YK – вхідні сигнали відповідних

тригерів; «» означає 0 або 1.

Page 43: ЗМІСТ Вступ………………………………………………………………

45

Таблиця 7 – Види функціонального призначення тригерів

Умовне

позначення

Призначення

входів

Таблиця переходів* Рівняння

тригера Такт t Такт (t+1)

y(t)=Q(t) y(t+1)=Q(t+1)

RS-тригер S - вхід уста-

новлення 1

R - вхід уста-

новлення 0

C-вхід синх-

ронізації

S(t)R(t)

y(t+1)=

=y(t) R (t)+S(t)

00 01 11 10

0 0 0 – 1

1 1 0 – 1

S (t) R (t)

RS -тригер

00 01 11 10

0 – 1 0 0

1 – 1 1 0

D-тригер D - вхід уста-

новлення 0

або 1

C - вхід синх-

ронізації

D(t)

y(t+1)=D(t) 0 1

0 0 1

1 0 1

T-тригер

С - лічильний

вхід

C(t)

y(t+1)=

=y(t)Ñ (t)+

+ y (t)C(t)

0 1

0 0 1

1 1 0

JK-тригер J-вхід устано-

влення 1

K-вхід уста-

новлення 0

C - вхід синх-

ронізації

J(t)K(t)

y(t+1)=

=y(t) K (t)+

+ y (t)J(t)

00 01 11 10

0 0 0 1 1

1 1 0 0 1

* знак “–” означає заборонений стан

Q

S

R

Q

C

Q

T

Q

D

C

Q

Q

T

Q

C

Q

Q

T

Q

J

K

Q

C

Q

T

Q

S

R

Q

C

Q

T

Page 44: ЗМІСТ Вступ………………………………………………………………

46

Таблиця 8 – Таблиця збудження тригерів

y RS RS D T JK

t t+1 YS YR YS YR YD YT YJ YK

0 0 0 ~ 1 ~ 0 0 0 ~

0 1 1 0 0 1 1 1 1 ~

1 0 0 1 1 0 0 1 ~ 1

1 1 ~ 0 ~ 1 1 0 ~ 0

У нашому випадку синтез автоматів із пам'яттю реалізу-

ється схемою-програмою на мові FBD для ПЗ Unity Pro 3.0

або вище з широким різноманіттям функцій, процедур і фун-

кціональних блоків (FFB). У ПЗ Unity Pro є бібліотечні про-

грамні блоки (EF-модуль/блок) FFB - типу, що називаються "RS"

(рисунок 28) і моделюють роботу RS-тригера із входами (типу

BOOL) S та R1, які відповідно встановлюють вихід тригера (типу

BOOL) в стан Q1=1 або Q1=0. При першому старті схеми-

програми і відсутності значення "1" булевих змінних KeyS та

KeyR стан виходу Q1 встановлюється на значення "0".

Рисунок 28 – Зображення схеми-програми підключення

EF-модуля/блока RS-тригера

Послідовність створення проекту

Для виконання ЛР 6 необхідно відкрити створений проект

ЛР 1, зберегти його як laba06 або самостійно створити проект за

процедурою, наведеною у ЛР 1 із назвою laba06.

Page 45: ЗМІСТ Вступ………………………………………………………………

47

Типове завдання ЛР 6. Розробити схему-програму на мові

FBD моделювання роботи автомата з пам'яттю (АП) на базі RS-

тригера відповідно до значень таблиці істинності з таблиці 7.

Послідовність дій введення типового завдання проекту

Синтез схем-програм АП на базі RS-тригера відповідно до

значень таблиці істинності з таблиці 7, при якому АП має вигляд

стандартної схеми-програми, як на рисунку 28, є найбільш зруч-

ним. Однак є невелика відмінність. Входи S та R1, які відповідно

встановлюють вихід тригера (типу BOOL) в стан Q1=1 або Q1=0,

фактично є табличними значеннями входів S, R та Q.

На рисунку 29 наведено операторський екран користувача

RS-тригера в початковому стані Q1=0 та в переключеному стані

Q1=1.

а б

а) в початковому стані Q1=0; б) у переключеному стані Q1=1

Рисунок 29 – Зображення на операторському екрані користувача

RS-тригера

Наступним кроком виконання роботи є повторне з'єднання з

ПЛК (Ctrl+К), передачі/завантаження (Load) схеми-програми до

ПЛК (Ctrl+L) і запуску (Run) її у віртуальному ПЛК (Ctrl+R).

Вибрати екран користувача (наприклад, Scr_laba06), після

натискання F7, або включивши режим «Enable Variable

Modification» іншим способом – натиснувши ЛКМ на піктограмі

, моделювати натискання кнопок S (змінна KeyS) та R

(змінна KeyR) і перевіряти правильність зображень стану виходу

за таблицею істинності (таблиця 7). У випадку невідповідності

провести коригування схеми-програми або налаштування

об’єктів екранів оператора та зробити повторну перевірку.

Page 46: ЗМІСТ Вступ………………………………………………………………

48

Контрольні питання

1 Яка послідовність створення АП на базі RS-тригера?

2 Як створити АП на базі RS-тригера?

3 Як створити новий екземпляр АП на базі RS-тригера?

4 Як мінімізувати роботу ФАЛ?

5 Як раціонально виділити частину ФАЛ у блок

користувача?

6 Якого типу вхідні змінні RS-тригера?

7 Якого типу вихідні змінні RS-тригера?

8 Чому кнопки вхідних змінних RS-тригера без фіксації?

Індивідуальне завдання

За варіантом студента, скласти таблицю істинності,

створити схему-програму та зображення на операторському

екрані для:

1) RS – тригера;

2) D – тригера;

3) T – тригера;

4) JK – тригера;

5) RS – тригера;

6) D – тригера;

7) T – тригера;

8) JK – тригера;

9) RS – тригера;

10) D – тригера;

11) T – тригера;

12) JK – тригера.

Page 47: ЗМІСТ Вступ………………………………………………………………

49

ЛАБОРАТОРНА РОБОТА 7

Синтез лічильників імпульсів із довільним модулем

засобами мови FBD в Unity Pro

Мета роботи: отримання практичних навичок

проектування/створення лічильників імпульсів із довільним

модулем, розроблення схем-програм мовою FBD з

використанням DFB блоків в Unity Pro та налагодження проектів.

Обладнання та ПЗ: цифрова ПЕОМ із системним

програмним забезпеченням Windows XP або вище та прикладне

ПЗ Unity Pro 3.0 або вище.

Хід виконання роботи

1 Вивчити весь теоретичний матеріал, необхідний для

досягнення цілей лабораторної роботи.

2 Створити проект за послідовністю, викладеною нижче, та

ввести схему-програму типового завдання.

3 Визначити та вирішити індивідуальне завдання.

4 Оформити «заготовку» до лабораторної роботи (номер,

назва, мета, обладнання та ПЗ, принципова схема підключення

контактів (виводів) контролера (уявного), схема-програма

вирішення індивідуального завдання для Unity Pro на мові FBD).

5 Ввести програму мовою FBD для Unity Pro в лабораторії,

отримати результати та захистити у викладача.

6 Оформити звіт з висновками й отримати бали за ЛР у

викладача.

Типове завдання: побудувати синхронний лічильник зво-

ротної лічби на три розряди.

Для проектування заданого лічильника використовуємо ті

самі методи, що і для проектування звичайних двійкових лічиль-

ників (етапи синтезу показано на рисунку 30).

У випадку синтезу лічильників як автоматів з пам'яттю схе-

ми реалізуються схемою-програмою на мові FBD для ПЗ Unity

Pro 3.0 або вище з широким різноманіттям функцій, процедур і

функціональних блоків (FFB). У ПЗ Unity Pro є бібліотечні про-

грамні блоки (EF-модуль/блок) FFB-типу. Один з них називається

TP і моделює запуск за переднім фронтом на вході IN. При цьому

плинне значення ET змінюється з 0 ms до значення уставки PT.

Page 48: ЗМІСТ Вступ………………………………………………………………

50

Вихідне значення таймера переходить у TRUE, коли таймер запу-

скається, та інвертується, коли плинне значення досягне значення

PT. Фактично таймер витримує імпульс на виході заданої трива-

лості, якщо на вході IN одразу після увімкнення з'явиться "0".

а

t t+1

YJ3

YK3

YJ2

YK2

YJ1

YK1 y3 y2 y1 y3 y2 y1

0 0 0 1 1 1 1 ~ 1 ~ 1 ~

0 0 1 0 0 0 0 ~ 0 ~ ~ 1

0 1 0 0 0 1 0 ~ ~ 1 1 ~

0 1 1 0 1 0 0 ~ ~ 0 ~ 1

1 0 0 0 1 1 ~ 1 1 ~ 1 ~

1 0 1 1 0 0 ~ 0 0 ~ ~ 1

1 1 0 1 0 1 ~ 0 ~ 1 1 ~

1 1 1 1 1 0 ~ 0 ~ 0 ~ 1

YJ1=YK1=1

б

YJ2=YK2= 1y YJ3=YK3= 2 1

ó y

в

J

C

K

T

СІ

y11 J

C

K

T y2

y1 y2

J

C

K

T y3

222021

& &

y3

а) таблиця істинності; б) карта Карно з виділеними підкубами;

в) схема

Рисунок 30 – Етапи синтезу лічильника

Page 49: ЗМІСТ Вступ………………………………………………………………

51

Переведення входу IN в "1" одразу перезапустить таймер.

Зображення блока таймера типу ТР та його діаграма роботи наве-

дені на рисунку 31.

Рисунок 31 – Зображення блока таймера типу ТР та його

діаграма роботи

Оскільки в бібліотеці Unity Pro є три різновиди лічильників

(CTU, CTD, CTUD), розглянемо їх по черзі. Лічильник CTU

(прямий) за переднім фронтом сигналу на вході CU збільшує

плинне значення CV на 1 (рисунок 32, а). На вході PV задається

уставка. При досягненні плинного значення CV ≥ PV виходу Q

присвоюється TRUE. Подача на вхід блока сигналу R=TRUE ски-

дає плинне значення в нуль ("0").

а б

а) CTU (прямий); б) CTD (зворотний)

Рисунок 32 – Зображення лічильників за переднім фронтом

Лічильник CTD (зворотний) за переднім фронтом сигналу

на вході CD зменшує на 1 плинне значення CV (рисунок 32, б).

На вході PV задається уставка. При досягненні плинного значен-

Page 50: ЗМІСТ Вступ………………………………………………………………

52

ня CV ≤ 0 виходу Q присвоюється TRUE. Подача на вхід блока

сигналу LD=TRUE реалізує записування у значення уставки

плинного значення (CV:=PV) [2].

Лічильник CTDU (реверсивний) об’єднує у собі функції

обох описаних раніше лічильників CTU та CTD. Входи CU та CD

призначені відповідно для збільшення і зменшення плинного

значення лічильника CV на 1. На вході PV задається уставка. Ре-

шта компонентів лічильника аналогічна описаним раніше.

Засобами Unity Pro із застосуванням двох різних блоків

CTD, INT_TO_WORD реалізовано схему зворотної лічби за пе-

реднім фронтом імпульсів змінної «Imp» від 7 із записом до змін-

ної «К» типу «WORD» (рисунок 33).

Рисунок 33 – Зображення схеми зворотної лічби

за переднім фронтом від 7 на зменшення

За відомою із ЛР 1 процедурою створюються додаткові

змінні та кнопки шини «Imp», «Set». Реалізовані в попередніх ЛР

семисегментні індикатори можливо задіяти для індикації змінної

«К» (здійснивши відповідні перетворення типів). Наступним

кроком виконання роботи є повторне з'єднання з ПЛК (Ctrl+К),

передачі/завантаження (Load) схеми-програми до ПЛК (Ctrl+L) і

запуску (Run) її у віртуальному ПЛК (Ctrl+R). Вибрати екран

користувача (наприклад, Scr_laba07), після натискання F7, або

включивши режим «Enable Variable Modification» іншим

способом – натиснувши ЛКМ на піктограмі , моделювати

комбінації шин «Imp» та «Set» і перевіряти правильність

зображень цифр за таблицею істинності (створеною самостійно).

Page 51: ЗМІСТ Вступ………………………………………………………………

53

У випадку невідповідності провести коригування схеми-

програми або налаштування об’єктів екранів оператора та

зробити повторну перевірку. Приблизне зображення екрана

користувача наведено на рисунку 34. Після натискання кнопки

на індикаторі має з’явитися . А з кожним

натисканням кнопки операторського екрана на

стандартному семисегментному індикаторі із сегментами (a, b, c,

d, e, f, g) мають з’явитися зображення у вигляді цифр, які

пропонується виводити в такому вигляді: , , , , , , ,

, відповідно до значень, показаних у таблиці істинності,

самостійно створеній за потреби. Створення змінних і кнопок

шини «x3», «x2», «x1», «x0» та необхідність їх візуалізації

студент обирає на свій розсуд.

Рисунок 34 – Зображення екрана користувача для перевірки

зворотного лічильника від 7 на зменшення

Для перевірки роботи розробленого модуля або розроблення

більш складних завдань можливе застосування системної змінної

типу «слово» (WORD) – %SW50, яка містить інформацію про

секунди реального часу ПЛК у форматі #16SS00, блок

WORD_TO_BIT й інші.

Контрольні питання

1 Як створити лічильник прямої лічби засобами тригерів і

логічних елементів?

2 Яка послідовність створення функціонального блока

користувача (DFB)?

Page 52: ЗМІСТ Вступ………………………………………………………………

54

3 Як створити новий DFB-тип?

4 Як створити лічильник прямої лічби засобами Unity Pro?

5 Як мінімізувати ФАЛ?

6 Як раціонально виділити частину ФАЛ у блок

користувача в Unity Pro?

7 Як тлумачиться термін WORD в Unity Pro?

8 Як тлумачиться термін INT в Unity Pro?

9 Як реалізувати з'єднання з ПЛК в Unity Pro?

10 Як створити лічильник зворотної лічби засобами Unity

Pro?

11 Як створити лічильник прямої лічби засобами Unity Pro?

12 Як створити лічильник прямої лічби з довільною

кількістю засобами Unity Pro?

Індивідуальне завдання ЛР 7

Скласти таблицю істинності та схему-програму із

зображенням на операторському екрані:

1) лічильника зворотної лічби засобами Unity Pro з модулем

12 (від 12 до 0 по колу);

2) лічильника прямої лічби засобами Unity Pro з модулем 14

(від 0 до 14 по колу);

3) лічильника прямої лічби засобами Unity Pro з модулем 23

(від 0 до 23 по колу);

4) лічильника прямої лічби засобами Unity Pro з модулем 59

(від 0 до 59 по колу);

5) лічильника зворотної лічби засобами Unity Pro з модулем

6 (від 6 до 0 по колу);

6) лічильника зворотної лічби засобами Unity Pro з модулем

23 (від 23 до 0 по колу);

7) лічильника зворотньої лічби засобами Unity Pro з

модулем 17 (від 17 до 0 по колу);

8) лічильника прямої лічби засобами Unity Pro з модулем 19

(від 0 до 19 по колу);

9) лічильника прямої лічби засобами Unity Pro з модулем 30

(від 0 до 30 по колу);

10) лічильника прямої лічби засобами Unity Pro з модулем

31 (від 0 до 31 по колу);

Page 53: ЗМІСТ Вступ………………………………………………………………

55

11) лічильника зворотньої лічби засобами Unity Pro з

модулем 5 (від 5 до 0 по колу);

12) лічильника зворотньої лічби засобами Unity Pro з

модулем 28 (від 28 до 0 по колу);

Додаткові завдання для добровільного удосконалення

навичок синтезу дискретних пристроїв

Скласти таблицю істинності ФАЛ та схему-програму для

реалізації імітації генерації послідовностей кодового колійного

трансмітера КПТШ (інформація про тривалість імпульсів та

інтервалів наведена у рисунку 35) за варіантами в таблиці 9.

Рисунок 35 – Часові характеристики трансмітерів

Page 54: ЗМІСТ Вступ………………………………………………………………

56

Таблиця 9 – Таблиця варіантів і завдань із кодами

Індивідуальне домашнє завдання (необов’язкове):

використовуючи всі знання, отримані протягом семестру,

побудувати мікропроцесорне моделювання тризначного (для

непарних варіантів) та чотиризначного (для парних варіантів)

автоблокування (АБ) зі створенням власних DFB.

1 Схематично зобразити у вікні Screen_laba8_dzE ділянку

колії з трьох рейкових кіл P7, P5, P3 і трьох світлофорів H7, H5,

H3, з’єднавши їх у єдину технологічну модель роботи

тризначного кодового автоблокування за схемою перегону,

наведеною нижче (рисунок 36).

Рисунок 36 – Технологічна модель фрагмента перегону

2 Схематично зобразити у вікні Screen_laba8_dzD ділянку

колії з трьох рейкових кіл P7, P5, P3 і трьох світлофорів H7, H5,

Варіант Тип трансмітера Код

1 КПТШ-8 З

2 КПТШ-7 Ж

3 КПТШ-10 А2

4 КПТШ-8 Ж

5 КПТШ-11 КЖ

6 КПТШ-9 З

7 КПТШ-5 КЖ

8 КПТШ-11 Ж

9 КПТШ-13 А1

10 КПТШ-9 КЖ

11 КПТШ-11 З

12 КПТШ-5 Ж

13 КПТШ-7 З

14 КПТШ-8 КЖ

15 КПТШ-9 Ж

Page 55: ЗМІСТ Вступ………………………………………………………………

57

H3, з’єднавши їх у єдину технологічну модель роботи

чотиризначного кодового автоблокування за схемою перегону,

наведеною нижче, з перенесенням червоного показання у

випадку перегорання червоної лампи (рисунок 37).

Рисунок 37 – Модель фрагмента перегону P7, P5, P3

3 Схематично зобразити у вікні Screen_laba8_dzC ділянку

колії з трьох рейкових кіл P7, P5, P3 і чотирьох світлофорів H7,

H5, H3, H1, з’єднавши їх у єдину технологічну модель роботи

чотиризначного кодового автоблокування за схемою

одностороннього перегону, наведеною нижче, з перенесенням

червоного показання у випадку перегорання червоної лампи та

вимиканням зеленого вогню при показанні «жовтий із зеленим» у

випадку перегорання лампи жовтого вогню з динамічною

індикацією кодування та інтерактивним введенням зайнятості

рейкових кіл P7, P5, P3 і роботи світлофора Н1 (шість показань)

(рисунок 38).

Рисунок 38 – Модель фрагмента перегону P7, P5, P3, P1

4 Схематично зобразити у вікні Screen_laba5_dzА ділянку

колії з чотирьох рейкових кіл P7, P5, P3, P1 і п’яти світлофорів

H7, H5, H3, H1, H, з’єднавши їх у єдину технологічну модель

роботи за схемою чотиризначного кодового автоблокування

перегону, наведеною нижче, з перенесенням червоного показання

у випадку перегорання червоної лампи та вимиканням зеленого

вогню при показанні «жовтий із зеленим» у випадку перегорання

лампи жовтого вогню з роботою світлофора Н1 в режимі

Page 56: ЗМІСТ Вступ………………………………………………………………

58

передвхідної сигнальної установки з динамічною індикацією

кодових сигналів й інтерактивним введенням зайнятості рейкових

кіл P7, P5, P3, Р1 і роботи світлофора Н (шість показань – К, Жв,

З, Жн+Жв, Жн+Жв+ЗП, К+Бмиг) (рисунок 39).

Рисунок 39 – Модель фрагмента перегону (P7, P5, P3, P1)+H

При необхідності використати схеми на рисунках 40–44.

а

б

Рисунок 40 – Схеми фіксації першого (а) і другого (б) імпульсів

будь-якого коду КПТ мовою LD

Page 57: ЗМІСТ Вступ………………………………………………………………

59

а

б

Рисунок 41 – Перша (а), друга (б) і третя (в) пари

«імпульс-пауза» коду «З» (аркуш 1)

Page 58: ЗМІСТ Вступ………………………………………………………………

60

в

Рисунок 41 – Перша (а), друга (б) і третя (в) пари

«імпульс-пауза» коду «З» (аркуш 2)

Page 59: ЗМІСТ Вступ………………………………………………………………

61

а

б

Рисунок 42 – Перша (а) і друга (б) пари «імпульс-пауза»

коду «Ж»

Page 60: ЗМІСТ Вступ………………………………………………………………

62

Рисунок 43 – Пара «імпульс-пауза» коду «КЖ»

Схему подачі інвертованих імпульсів КПТШ515 на мові LD

зображено на рисунку 44.

Рисунок 44 – Схема інвертованих виходів «З» та «Ж»

КПТШ 515

Page 61: ЗМІСТ Вступ………………………………………………………………

63

Контрольні запитання для тестового контролю та іспиту

Контрольні запитання для тестового контролю

Модуль 3

Тема "Синтез комбінаційних схем"

Q1 Яка з перелічених нижче логічних схем з двома входами реа-

лізує функцію BAF

Q2 Яка з наведених нижче таблиць істинності відповідає логічній

схемі

Q3 Яку логічну функцію реалізовує зображений логічний еле-

мент

Q4 Булевий вираз для функції “або” має вигляд

Q5 Який з наведених логічних елементів є елементом Шеффера

Q6 Який з поданих логічних елементів буде мати на виході логі-

чний 0

Q7 Який з наведених нижче двійкових кодів подає число 7

Q8 Який з наведених логічних елементів є елементом Пірса

Q9 Яка з наведених нижче таблиць істинності відповідає зазначе-

ній логічній схемі

Q10 Яке максимальне десяткове число можна подати, використо-

вуючи 8 біт (1111 1111)

Q11 Яке наступне двійкове число йде за 10111

Q12 Яке двійкове число еквівалентне десятковому 25

Q13 Цілі десяткові значення від 0 до 16 необхідно передати у

двійковій формі. Скільки ліній зв‘язку буде потрібно при парале-

льній передачі

Q14 Закінчити булевий вираз А+1=

Q15 Закінчити булевий вираз G+GF=

Q16 Закінчити булевий вираз D·1=

Q17 Який з нижче наведених виразів записаний у диз’юнктивній

нормальній формі

Q18 Який з нижче наведених виразів записаний у КНФ

Q19 Користуючись законом інверсій, запропонувати праву час-

тину рівності cba =

Q20 Що не є дискретним елементом

Q21 Який спосіб завдання ФАЛ не існує

Page 62: ЗМІСТ Вступ………………………………………………………………

64

Q22 Якої операції немає в алгебрі логіки

Q23 Щоб ДНФ привести до досконалого виду, потрібно

Q24 Яка з форм функцій не є нормальною

Q25 Які операції описує алгебра Буля

Q26. Хто такий Дж. Буль

Q27 Які з наведених нижче величин аналогові (не дискретні)

Q28 Як перейти при перетворенні логічних функцій від операції

диз’юнкції до операції кон’юнкції

Q29 Закінчити булевий вираз В+А+1=

Q30 Закінчити булевий вираз ААА·1=

Q31 Чому дорівнює вихідний сигнал у схемі

Q32 Який вигляд має операція склеювання

Q33 На основі закону інверсії виконати перетворення виразу

dcba Q34 Який із записаних виразів правильний

Q35 Чому дорівнює вихідний сигнал схеми

Q36. Розкрити дужки ))(( baba

Q37 Якому правилу відповідає вираз cbaabc

Q38 Який вираз відповідає закону інверсії

Q39 Який вираз відповідає розподільному закону

Q40 Який вираз відповідає правилу де Моргана

Q41 Який з логічних елементів виконує функцію диз’юнкції

Q42 Який з логічних елементів виконує функцію кон’юнкції

Q43 Який вираз відповідає правилу де Моргана

Q44 Логічні змінні можуть набувати значень

Q45 Який сигнал буде на виході логічного елемента залежно від

визначених сполучень сигналів на вході

Q46 На базі елементарних логічних елементів можна збудувати

більш складні логічні пристрої

Q47 Що таке таблиця істинності

Q48 Назвіть спосіб задання логічної функції у вигляді карти Кар-

но

Q49 Назвіть спосіб задання логічної функції у вигляді десяткових

номерів наборів змінних, на яких вона набуває значення 1

Q50 Назвіть спосіб задання логічної функції у вигляді точок n-

вимірного простору

Q51 Назвіть спосіб задання логічної функції у вигляді алгебраїч-

ного виразу

Page 63: ЗМІСТ Вступ………………………………………………………………

65

Q52 Назвіть спосіб задання логічної функції у вигляді досконалої

диз’юнктивної нормальної форми

Q53 Назвіть правило утворення двоклітинкових підкубів для

отримання мінімального значення функції

Q54 Яка вихідна змінна таблиці істинності відповідає роботі ло-

гічного елементу "І" (ТА)

Вхід y1 y2 y3 y4

0 0 0 1 0 1

0 1 0 1 1 0

1 0 0 1 1 0

1 1 1 0 1 0

Q55 Яка вихідна змінна таблиці істинності відповідає роботі ло-

гічного елемента "ТА-НІ"

Вхід y1 y2 y3 y4

0 0 0 1 0 1

0 1 0 1 1 0

1 0 0 1 1 0

1 1 1 0 1 0

Q56 Яка вихідна змінна таблиці істинності відповідає роботі ло-

гічного елемента "АБО"

Вхід y1 y2 y3 y4

0 0 0 1 0 1

0 1 0 1 1 0

1 0 0 1 1 0

1 1 1 0 1 0

Q57 Яка вихідна змінна таблиці істинності відповідає роботі ло-

гічного елемента "АБО-НІ"

Вхід y1 y2 y3 y4

0 0 0 1 0 1

0 1 0 1 1 0

1 0 0 1 1 0

1 1 1 0 1 0

Page 64: ЗМІСТ Вступ………………………………………………………………

66

Q58 Здатність навантаження логічної схеми характеризує

Q59 Напруга логічного нуля інтегральних схем – це

Q60 Чим оцінюється швидкодія логічних елементів

Q61 При синтезі комбінаційних схем спочатку складають

Q62 Що називається інверсією

Q63 Малий перепад логічних рівнів призводить до

Q64 Застосовуючи принцип подвійності, перетворити функцію zyxF в іншу

Q65 Що таке закон «склеювання»

Q66 Що таке закон де Моргана

Q67 Що таке закон поглинання

Q68 Визначити номер набору, якщо дано А=1, В=0, С=1

Q69 Що таке досконала кон’юктивна нормальна форма (ДКНФ)

Q70 Що таке досконала диз’юнктивна нормальна форма (ДДНФ)

Q71 У яку із форм подання треба перевести булеву функцію при

використанні алгебраїчного способу мінімізації

Q72 У яку із форм подання треба перевести булеву функцію при

використанні карти Карно

Q73 Скільки клітинок повинна мати карта Карно

Q74 Скільки одиниць може потрапляти в контур карти Карно

Q75 Якою може бути форма контуру карти Карно

Q76 Як виглядає комутативний закон

Q77 Що називають мінімізацією

Q78 Як виглядає сполучний закон множення

Q79 Чому дорівнює такий вираз: (АВ)vС

Q80 Логічний вираз AA& рівносильний

Q81 Спростити логічний вираз BABA &&

Q82 Логічний вираз AA рівносильний:

Q83 Спростити логічний вираз BABA &&

Q84 Логічний вираз A рівносильний:

Q85 Спростити логічний вираз BABA &

Q86 Логічний вираз A&A рівносильний

Q87 Логічний вираз AA рівносильний

Q88 Вибрати рівності, що належать до переставного закону

Q89 Вибрати рівності, що належать до сполучного закону

Q90 Вибрати рівності, що належать до розподільного закону

Q91 Логічний вираз 1A рівносильний

Q92 Логічний вираз 0A рівносильний

Page 65: ЗМІСТ Вступ………………………………………………………………

67

Q93 Логічний вираз 1&A рівносильний

Q94 Логічний вираз 0&A рівносильний

Q95 Якій логічній операції відповідає таблиця істинності

А В А В

0 0 0

0 1 0

1 0 0

1 1 1

Q96 Що визначає здатність навантаження

Q97 Розставити в правильній послідовності умовні позначення

логічних елементів ТА, АБО, НІ, М2

Q98 Функція логічного множення набуває значення ІСТИНА у

тому випадку, якщо

Q99 Диз'юнкція двох змінних визначається такою таблицею іс-

тинності:

x1 x2 y x1 x2 y x1 x2 y x1 x2 y

0 0 1 0 0 0 0 0 1 0 0 0

0 1 0 0 1 0 0 1 1 0 1 1

1 0 0 1 0 0 1 0 1 1 0 1

1 1 0 1 1 1 1 1 0 1 1 1

а) б) в) г)

Q100 Що називається кон'юнкцією

Модуль 4

Тема: «Тригери. Комбінаційні пристрої. Регістри, лічильники

імпульсів, їх типи, методи побудови, параметри»

Q1 Тригером називають пристрій

Q2 Який пристрій називають тригером

Q3 Скільки тригер має виходів

Q4 Виходи тригера мають назву

Q5 Залежно від запису інформації тригери бувають

Page 66: ЗМІСТ Вступ………………………………………………………………

68

Q6 Залежно від функціонування тригери бувають

Q7 Залежно від структури тригери бувають

Q8 Залежно від способу сприйняття тактових сигналів тригери

бувають

Q9 Логічний елемент, який має два стійких стани, називається

Q10 Роздільний запуск тригера застосовується

Q11 Яка з вихідних змінних відповідає станам RS – тригера

Вхід Вихід

R S Q1 Q2 Q3 Q4

0 0 Qn Qn X Qn

0 1 0 1 1 1

1 0 1 0 0 0

1 1 X X Qn nQ

X – невизначений стан

Q12 Рахунковий запуск тригера застосовується

Q13 Яка з вихідних змінних відповідає станам JK-тригера

Вхід Вихід

J K Q1 Q2 Q3 Q4

0 0 nQ Qn Qn nQ 0 0 1 1 0 0

1 1 0 0 1 1

1 1 Qn nQ nQ Qn

Q14 Який тип тригера поданий на схемі

TS

R

Q15 Який тип тригера наведений на схемі

C

D

Page 67: ЗМІСТ Вступ………………………………………………………………

69

Q16 Який тип тригера поданий на схемі

Q17 Який тип тригера реалізований на наведеній схемі

Q18 Який тип тригера реалізований на наведеній схемі

Q19 Яка з вихідних діаграм відповідає роботі D-тригера при вка-

заних сигналах на D та тактовому С- входах

Q20 При подаванні сигналу R=1; S=0 RS-тригер установлюється

в стан

Q21 Коли у синхронних тригерах допускається змінювати інфор-

маційні сигнали

Q22 Заборонена комбінація у синхронного RS- тригера – це

Q23 Інформація, яка подається на входи R і S синхронізованого

RS- тригера, записується в тригер тільки при

Q24 У Т-тригері лінія затримки необхідна для того, щоб

Q25 Для побудови Т-тригера використовують

Q26 Т-тригер ділить частоту

Q27 Який з перерахованих тригерів є тригером затримки

Q28 Для того, щоб із JK отримати Т-тригер, необхідно

Q29 Для того, щоб із JK отримати синхронізований RS- тригер,

необхідно

Page 68: ЗМІСТ Вступ………………………………………………………………

70

Q30 Чи можлива в тригерах якого-небудь типу ситуація, коли на

інформаційні входи тригера подається інформація, а стан тригера

при цьому не змінюється

Q31 Наведене умовне позначення відповідає

Q32 Записувати, прочитувати і зберігати двійкову інформацію

може

Q33 Максимальна кількість інформації, яку може зберігати три-

гер, становить

Q34 Перемикання тригера з нульового стану в одиничний назива-

ється

Q35 Стан тригера визначають по ... виходу

Q36 Який з наведених тригерів є рахунковим тригером

Q37 До недоліку асинхронного RS- тригера належить наявність

Q38 За допомогою синхронізуючого сигналу інформація запису-

ється в

Q39 На рисунку подана схема

Q40 Асинхронний RS- тригер на елементах ТА-НІ при комбінації

вхідних сигналів ... перебуває в режимі зберігання

Q41 Комбінація вхідних сигналів ... у асинхронного RS- тригера,

побудованого на елементах АБО-НІ, є забороненою

Q42 У тригері з динамічним управлінням інформація записується

при перепаді напруги на

Q43 Що станеться при появі на вході JK-тригера сигналів J=K=0

Q44 JK-тригер відрізняється від RS- тригера тим, що у нього

Q45 D-тригер відрізняється від асинхронного і синхронного RS-

тригерів тим, що у нього немає

Q46 Вхід С в синхронному RS-тригері виконує роль

Page 69: ЗМІСТ Вступ………………………………………………………………

71

Q47 З'єднання, показане на рисунку штриховою лінією, характе-

рне для

Q48 На рисунку наведена схема ... тригера

Q49 Пристрій, що здатний передавати інформацію з декілька

входів на один вихід

Q50 Пристрій, що комутує інформацію одного входу з одним з

декількох вихідних каналів

Q51 Пристрій, що перетворює двійковий позиційний код у акти-

вний сигнал тільки на одному вході з виходів

Q52 Пристрій, що перетворює активний сигнал у двійковий по-

зиційний код

Q53 За допомогою якого пристрою здійснюється часове розді-

лення інформації з різних

Q54 Пристрій, що називається «кодер»

Q55 Кількість входів і виходів у повному шифраторі пов’язано

співвідношенням

Q56 Пристрій, що називають «комутатор»

Q57 Коли між кількістю інформаційних входів n і кількістю адре-

сних входів m діє співвідношення mn 2 , мультиплексор нази-

вають

Q58 З якою кількістю адресних входів може використовуватися

мультиплексор для реалізації будь-якої логічної функції

Q59 Комбінаційний пристрій, призначений для додавання

Q60 Який тип пристрою наведений на схемі

Page 70: ЗМІСТ Вступ………………………………………………………………

72

C C C

D D D

Q3Q2Q1

Q61 Якому типу тригерного пристрою відповідає наведена діаг-

рама

Q62 Якому типу тригерного пристрою відповідає наведена діаг-

рама

Q63 Регістр – цифровий пристрій, який

Q64 Основою будь-якого регістра є

Q65 У якого регістра n-розрядне двійкове слово записується од-

ночасно по всіх n розрядах

Q66 Як ще називають паралельний регістр

Q67 Як ще називають послідовний регістр

Q68 Залежно від способу запису і читання інформації регістри

бувають

Q69 На основі яких елементів можна побудувати за-

пам’ятовуючий елемент регістра

Q70 Зсув інформації у послідовному регістрі вправо – це

Q71 Зсув двійкового числа у послідовному регістрі на n розрядів

вліво відповідає операції

Page 71: ЗМІСТ Вступ………………………………………………………………

73

Q72 При записі двійкового числа в послідовний регістр на виході

Qn буде

Q73 Елементи затримки імпульсів запису одиниць у послідовних

регістрах найкраще реалізовується на

Q74 При зсуві слова вправо старші розряди регістра будуть

Q75 Що обов’язково треба зробити для запису інформації в пара-

лельний регістр

Q76 Реверсивний регістр зсуву – це

Q77 Паралельний регістр – це

Q78 Послідовний регістр – це

Q79 Лічильник – послідовний елемент, який

Q80 Що таке режим керування в лічильниках

Q81 По направленню рахунку лічильники бувають

Q82 Що таке роздільна здатність лічильника

Q83 Що таке модуль рахунку лічильника

Q84 Чим відрізняються за будовою віднімаючий лічильник від

такого ж, але підсумовуючого лічильника на Т-тригерах

Q85 Який режим лічби реалізується у реверсивному лічильнику,

якщо керуючий сигнал V= 1

Q86 Визначити кількість тригерів, необхідну для побудови лічи-

льника імпульсів з числом станів К=26

Q87 Часовий інтервал між початком подачі рахункового імпульсу

і моментом встановлення результату рахунка називається

Q88 В будь-який час становище складаючого лічильника визна-

чає

Q89 Якщо на вхід чотирьохрозрядного складаючого лічильника

поступило 3 рахункових імпульсів, то результат рахунку буде

Q90 У віднімаючого лічильника кожен черговий імпульс

Q91 У яких лічильників формується імпульс переноса

Q92 Які переваги лічильників з паралельним переносом

Q93 Для будування реверсивного лічильника достатньо між роз-

рядами включити

Q94 Лічильник це

Q95 В залежності від виду функцій виходів дискретні автомати

бувають

Q96 Дискретні автомати з пам’яттю залежать від

Q97 Вихідна функція автомата Мура залежіть від

Q98 Вихідна функція автомата Мілі залежіть від

Page 72: ЗМІСТ Вступ………………………………………………………………

74

Q99 Способи завдання складних цифрових автоматів

Q100 При способу завдання складних цифрових автоматів табли-

цею необхідна

Запитання до іспиту

Модуль 3

1 Поняття дискретного елемента і пристрою. Приклади. За-

стосування.

2 Функції алгебри логіки. Визначення. Способи задання

словами. Наведіть приклади.

3 Функції алгебри логіки. Визначення. Способи задання

табличний. Наведіть приклади.

4 Функції алгебри логіки. Визначення. Способи задання

графічний. Наведіть приклади.

5 Функції алгебри логіки. Визначення. Способи задання –

координатний. Наведіть приклади.

6 Функції алгебри логіки. Визначення. Способи задання –

числовий. Приведіть приклади.

7 Функції алгебри логіки. Визначення. Способи задання –

аналітичний (алгебраїчний). Наведіть приклади.

8 Функції алгебри логіки, їх властивості. Різні форми запису

ФАЛ.

9 Аксіоми та операції булевої алгебри.

10 Закони булевої алгебри (комутативний, асоціативний, та-

втології).

11 Закони булевої алгебри (подвійної інверсії, нульової

множини, універсальної множини).

12 Закони булевої алгебри (розподільний, поглинання,

склеювання й інверсії (правило де Моргана).

13 Досконалі нормальні форми ФАЛ.

14 Теорема про функціональну повноту ФАЛ. Поняття про

базис. Властивості базису.

15 Перехід від нормальних форм ФАЛ до досконалих й мі-

німальних.

16 ДДНФ. Побудова за таблицею істинності й аналітичним

виразом для ДНФ.

Page 73: ЗМІСТ Вступ………………………………………………………………

75

17 ДКНФ. Побудова за таблицею істинності й аналітичним

виразом для КНФ.

18 На базі яких елементів будують базиси Пірса та Шеф-

фера.

19 Застосування карт Карно при синтезі комбінаційних

схем.

20 Поясніть метод карт Карно на власному прикладі.

21 Правила утворення підкубів для отримання мінімального

значення будь-якої функції.

22 Етапи синтезу комбінаційних схем.

23 Комутатори. Принцип дії. Застосування для побудови

комбінаційних схем.

24 Що таке комутатор? Які входи має комутатор? Правило

реалізації ФАЛ 3-, 4- або 5-и змінних на комутаторі.

25 Синтез комутаторів методом розкладання логічних фун-

кцій.

Модуль 4

26 Тригери. Їхні типи. Рівняння, таблиці істинності.

27 Побудова таблиці істинності тригерів.

28 JK-тригер. J*K*-тригер. Синтез усіх типів тригерів на ба-

зі JK-тригера. Таблиці істинності, рівняння, схеми.

29 Побудова RS- і SR -тригерів.

30 Універсальний тригер. Його властивості, граф переходів,

рівняння.

31 Т-тригер. Граф переходів, рівняння. Синтез інших типів

тригерів на базі Т-тригера.

32 Реалізація різних тригерів на базі RS-тригера.

33 SR -тригер. Таблиця істинності. Рівняння. Синтез усіх

типів тригерів на базі SR -тригера.

34 D-тригер. VD- тригер. Реалізація різних тригерів на базі

D-тригера.

35 Асинхронні та синхронні тригери. Опишіть види синхро-

нізації.

36 Опишіть шифратор, принцип побудови, зв'язок числа

входів і виходів, структуру.

37 Опишіть дешифратор. Принцип побудови. Зв'язок числа

входів і виходів. Приклад реалізації булевої функції.

Page 74: ЗМІСТ Вступ………………………………………………………………

76

38 Опишіть мультиплексор. Принципи побудови, таблиці

істинності, структур, роботи.

39 Опишіть демультиплексор. Принципи побудови, таблиці

істинності, структур, роботи.

40 Опишіть послідовні та паралельні суматори. На власному

прикладі побудуйте повний суматор.

42 Однорозрядний двійковий суматор і віднімач.

43 Поняття дискретного елемента (ДЕ) і пристрою. Класи-

фікація ДЕ.

44 Класи дискретних автоматів. Опишіть комбінаційний ав-

томат та автомат з пам’яттю.

45 Невикористовувані стани в автоматах із пам'яттю. Варіа-

нти їх використання.

46 Системи зчислення. Опишіть та наведіть приклади.

47 Позиційні та непозиційні системи зчислення, приведіть

приклади.

48 Опишіть автомат Мілі, наведіть приклад.

49 Опишіть автомат Мура, наведіть приклад.

50 Чим відрізняється автомат Мура від автомата Мілі.

51 Порівняння структурного синтезу автоматів із пам'яттю

зі структурним синтезом комбінаційних схем. Структурний син-

тез автоматів із пам'яттю, що мають декілька керуючих входів.

52 Цифрові лічильники. Класифікація та конструкція

53 Цифрові лічильники. Параметри двійкового лічильника.

Побудова графа переходів.

54 Опишіть сумуючі двійкові лічильники. Принцип побудо-

ви.

55 Опишіть віднімальні двійкові лічильники. Принцип по-

будови.

56 Опишіть варіанти реалізації міжрозрядних комутуваль-

них кіл.

57 Опишіть реверсивні двійкові лічильники. Принцип побу-

дови.

58 Опишіть лічильники з вільним значенням модуля лічби.

59 Регістри. Класифікація регістрів.

60 Опишіть основний елемент регістра.

61 Опишіть паралельні регістри. Принцип побудови.

Page 75: ЗМІСТ Вступ………………………………………………………………

77

62 Опишіть послідовні регістри (зсуву вправо). Принцип

побудови.

63 Опишіть послідовні регістри (зсуву вліво). Принцип по-

будови.

64 Опишіть послідовні регістри (реверсивні). Принцип по-

будови.

65 Опишіть послідовно – паралельні регістри. Принцип по-

будови.

66 Побудова перетворювачів кодів.

67 Побудова схем розпізнавання послідовностей імпульсів.

Використання регістра зсуву для цих цілей.

68 Побудова схем розпізнавання послідовностей імпульсів.

Використання графа переходів і таблиці істинності.

69 Перетворювачі кодів. Побудова на основі різних логіч-

них елементів.

70 Одержання рівнянь автомата з пам'яттю і його графа пе-

реходів за заданою схемою.

Список літератури

1 Колонтаєвський, Ю. П. Промислова електроніка та

мікросхемотехніка: теорія і практикум [Текст] : навч. посібник /

Ю. П. Колонтаєвський, А. Г. Сосков; за ред. А. Г. Соскова. – 2-е

вид. – К. : Каравела, 2014. – 432 с.

2 Пупена, О. М. Програмування промислових контролерів у

середовищі Unity Pro [Текст] : навч. посібник / ОМ. Пупена,

І. В. Ельперін. – К. : Ліра-К, 2013. – 376 с.

3 Development of method of definition maximum clique in a

non-oriented graph [Теxt] / S. V. Listrovoy, V. M. Butenko,

V. O. Bryksin, O. V. Golovko // EasternEuropean Journal of

Enterprise Technologies. – 2017. – Vol. 5, № 4 (89). – P. 12–17. EID:

2-s2.0-85032585697 DOI: 10.15587/1729-4061.2017.111056.

4 Комутаційний пристрій – оптоелектронний аналог

електромагнітного реле струму [Текст] : пат. UA № 116449,

МПК9 H03K 17/60 (2006.01). / Бутенко В. М., Головко О. В.,

Зайченко О. Б., Мелешко В. В. , Мірошник М. А., Мойсеєнко В. І.,

Чуб І. М., Чуб С. Г. ; заявник і власник Український державний

Page 76: ЗМІСТ Вступ………………………………………………………………

78

університет залізничного транспорту. – № u 2016 11255; Заяв.

07.11.2016; Опубл. 25.05.2017, Бюл. № 10, 2017 – 8 с.

5 Пристрій підвищення точності обліку і контролю

електроенергії вимірювальним комплексом [Текст] : пат.

UA № 102949, МПК9 Н 01F 38/00; Н 01F 38/20; Н 01F 38/28; G01R

21/00; G01R 21/06; G01R 22/00 / Бутенко В. М., Білоусов О. Ф.,

Бриксін В. О., Головко О. В. , Махота А. О., Приходько Ю. С.,

Терьошин В. М., Скарговській А. О., Терьошин О. В.; заявник і

власник Українська державна академія залізничного транспорту.

– № а 2012 08136; Заяв. 03.07.2012; Опубл. 27.08.2013, Бюл.

№ 16, 2013 – 6 с.

6 Пристрій підвищення точності обліку і контролю

електроенергії вимірювальним комплексом [Текст] / пат.

UA № 102360, Україна, МПК (2009) Н 01F 38/00, Н 01F 38/20,

Н 01F 38/28 / Бутенко В. М., Білоусов О. Ф., Бондаренко К. С.,

Головко О. В., Махота А. О., Терьошин В. М., Скарговській А. О.,

Терьошин О. В., Федорова В. В., Цехмістро Р. І., Чуян Т. О.;

заявник і власник Українська державна академія залізничного

транспорту. – № а 2012 12137; Заяв. 22.10.2012; Опубл.

25.06.2013, Бюл. № 12, 2013 – 5 с.

7 Спосіб вимірювання параметрів сигналів і трактів НВЧ

[Текст] : пат. UA № 113161, Україна, МПК G01R 21/04; G01R 27/06

/ Зайченко О. Б., Ключник І. І., Мірошник М. А., Бутенко В. М. –

№ u 2016 08483; Заяв. 01.08.2016; Опубл. 10.01.2017, Бюл. № 1. – 5 с.

8 Моделирование колебания контактной пружины электро-

магнитного нейтрального реле клапанного типа в системах

автоматической коммутации на транспорте [Текст] /

В. М. Бутенко, А. В. Головко, Л. В. Бушевская, И. И. Цехмистро //

Інформаційно-керуючі системи на залізничному транспорті. –

2013. – № 1. – С. 36–40.

9 Zaichenko, O. B. Signal flow graph models and alternative

gain formula for multiprobe microwave multimeter [Текст] /

O. B. Zaichenko, V. M. Butenko, M. A. Miroshnyk // Інформаційно-

керуючі системи на залізничному транспорті. – 2016. – № 12. –

С. 12–17.

10 Listrovoy S. V., Butenko V. M. Algoritm of Sub Exponential

Complexity for the SAT // International Jornal of Computer and

Page 77: ЗМІСТ Вступ………………………………………………………………

79

Information Technology (ISSN: 2279-0764) Volume 02 – Issue 05,

September 2013. – P. 837 – 842.

11 Математичне моделювання в розподілених інформацій-

но-керуючих системах залізничного транспорту [Текст] :

монографія / С. В. Лістровий, С. В. Панченко, В. І. Мойсеєнко,

В. М. Бутенко. – Харків : ФОП Бровін О. В., 2017. – 220 с.

12 Меркулов, В. С. Основи алгоритмізації базових обчислю-

вальних процесів [Текст] : навч. посібник / В. С. Меркулов,

В. М. Бутенко. – Харків : УкрДАЗТ, 2008. – 163 с.

13 Завдання і методичні вказівки до розрахунково-графічної

та контрольної робіт з дисциплін «Програмування» та

«Іформатика» для студентів факультету АТЗ [Текст] /

В. М. Бутенко, О. В. Головко, М. О. Колісник, С. О.Бантюкова. –

Харків : УкрДАЗТ, 2016. – 74 с.

14 IEC 61131-3:2013 Programmable controllers - Part 3:

Programming languages.

15 Казаков, А. А. Автоматизированные системы интерваль-

ного регулирования движения поездов [Текст] / А. А. Казаков,

В. Д. Бубнов, Е. А. Казаков. – М. : Транспорт, 1995. – 320 с.

16 Системы интервального регулирования движения

поездов на перегонах [Текст] : учеб. пособие / А. Б. Бойник,

С. В. Кошевой, С. В. Панченко, В. А. Сотник. – Харьков :

УкрГАЖТ, 2005. – 256 с.

17 Федоров, Н. Е. Современные системы автоблокировки с

тональными рельсовыми цепями [Текст] / Н. Е. Федоров. –

Самара : СамГАПС, 2004. – 132 с.

18 Виноградова, В. Ю. Перегонные системы автоматики

[Текст] / В. Ю. Виноградова. – М. : Маршрут, 2005. – 235 с.

19 Математичні методи та моделі в розрахунках на ЕОМ

[Текст] : навч. посібник / М. І. Данько, В. С. Меркулов,

В. О. Гончаров [та ін.] / за заг. ред. М. І. Данька. — Харків :

УкрДАЗТ, 2008. – 172 с.

20 Бутенко, В. М. Компьютерная система управления

движением поездов [Текст] / В. М. Бутенко, В. И. Мойсеенко,

Д. М. Кузьменко // Залізнич. трансп. України. – 2000.– № 5–6. –

С. 80–82.

21 Моделирование состояний объектов систем железнодо-

рожной автоматики [Текст] / В. И. Поддубняк, С. А. Радковский,

Page 78: ЗМІСТ Вступ………………………………………………………………

80

В. М. Бутенко, В. И. Мойсеенко // Информационно-управляющие

системы на железнодорожном транспорте. – Харьков, 2001. –

№ 4. – С. 40–44.

22 IEC 60617-12:1997 Withdrawn Graphical symbols for

diagrams - Part 12: Binary logic elements.

23 https://uk.wikipedia.org/wiki/Логічний_вентиль.

24 https://uk.wikipedia.org/wiki/Булева алгебра з двома

елементами.

25 Бутенко, В. М. Методичні вказівки до виконання лабора-

торних робіт з дисципліни «Автоматизація технологічних проце-

сів» Schnider Electric [Teкст] / В. М. Бутенко. – Харків :

УкрДАЗТ, 2015. – 54 с.

26 Бутенко, В. М. Методичні вказівки до виконання лабора-

торних робіт з дисципліни «Технічні засоби автоматизації»

[Teкст] / В. М. Бутенко. – Харків : УкрДУЗТ, 2016. – Ч. 1. – 40 с.

27 Методичні вказівки з варіантами завдань для виконання

контрольних робіт з дисципліни «Обчислювальна техніка, про-

грамування, моделювання систем» [Teкст] / В. С. Меркулов,

В. М.Бутенко, О. В. Чаленко. – Харків : УкрДАЗТ, 2013. – Ч. 2. – 51 с.

28 Методичні вказівки з варіантами завдань для виконання

контрольних робіт з дисципліни «Обчислювальна техніка, про-

грамування, моделювання систем» [Teкст] / В. С. Меркулов,

В. М.Бутенко, О.В. Чаленко. – Харків: УкрДАЗТ, 2013. – Ч. 3. – 51 с.

29 Бутенко, В. М. Микропроцессорная горочная автомати-

ческая централизация [Текст] / В. М. Бутенко, Д. М. Кузьменко,

В. Ю. Пархомович // Материалы 11-й Междунар. школы-

семинара по перспективным системам управления // Информаци-

онно-управляющие системы на железнодорожном транспорте. –

1998. – № 4. – С. 102.

30 Бутенко, В. М. Адресація та захист інформації в мережі

RailWayNet [Текст] / В. М. Бутенко // Информационно-

управляющие системы на железнодорожном транспорте. – 1997.

– №3. – С.24–26.

31 Бутенко, В. М. Особливості оцінювання систем залізнич-

ної автоматики [Текст] / В. М. Бутенко, С. Г. Чуб // Зб. наук.

праць. – Донецьк : ДонІЗТ, 2005. – Вип. 3. – С. 32–39.

32 Бутенко, В. М. Перспективи розвитку досліджень якості,

сертифікації та стандартизації на залізничному транспорті

Page 79: ЗМІСТ Вступ………………………………………………………………

81

[Текст] / В. М. Бутенко // Зб. наук. праць. – Донецьк : ДонІЗТ,

2006. – Вип. 8. – С. 53-56.

33 Удосконалення організаційно-управлінської роботи на

підприємствах залізничного транспорту в сучасних умовах

[Текст] : навч. посібник / Г. Ф. Арбузов, В. М. Бутенко,

О. Г. Дайнека, А. О. Каграманян та ін.; за заг. ред. М. І. Данька. –

Харків : УкрДАЗТ, 2007. – 178 с.

34 Двополярний ключ [Текст] : пат. UA № 25511 Україна,

МПК 7 Н 03 К 17/62 / Бутенко В. М., Чуб С. Г.; заявл.

№ u 2007 03783 від 05.04.2007; Опубл. 10.08.2007, Бюл. №12,

2007. – 6 с.: ил.

35 Комутаційний пристрій [Текст] : пат. UA № 25547

Україна, МПК 7 Н 03 К 17/60 / Бутенко В. М., Чуб С. Г. // заявл.

№ u 2007 04009 від 11.04.2007; Опубл. 10.08.2007, Бюл. №12,

2007– 8 с.: ил.

36 Двополярний ключ [Текст] : пат. UA № 30066 Україна,

МПК 7 Н 03 К 17/62 / Бутенко В. М., Блиндюк В. С., Голов-

ко О. В., Чуб В. С., Чуб С. Г. // заявл. № u 2007 11340 від

12.10.2007; Опубл. 11.02.2008, Бюл. №3, 2008 – 6 с.: ил.

37 Бутенко, В. М. Якість інформаційно-вимірювальних си-

стем на залізничному транспорті України [Текст] / В. М. Бутенко

// Зб. наук. праць УкрДАЗТ. – Харків : УкрДАЗТ, 2008 – Вип. 99.

– С. 151–155.

38 Бутенко, В. М. Исследование оптических характеристик

светосигнального оборудования транспортной инфраструктуры

[Текст] / В. М. Бутенко, А. Д. Купко, С. Г. Чуб // Інформаційно-

керуючі системи на залізничному транспорті. – 2008. – № 4(72). –

С. 4–8.

39 Бутенко, В. М. Сравнительный анализ измерений свето-

технических изделий транспортного назначения [Текст] /

В. М. Бутенко, А. Д. Купко, С. Г. Чуб // Зб. наук. праць УкрДАЗТ.

– Харків : УкрДАЗТ, 2009. – Вип. 110. – С. 109–121.

40 Оптоелектронне поляризоване реле [Текст] : пат.

UA № 88336, МПК (2009) Н 03К 17/78 / Бутенко В. М., Прогон-

ний О. М, Чуб С. Г., Чепцов М. М. // заявник і власник Українсь-

ка державна академія залізничного транспорту. – № а 2007 06136

від 04.06.2007; Опубл. 12.10.2009, Бюл. №19, 2009 – 6 с.

Page 80: ЗМІСТ Вступ………………………………………………………………

82

41 Реле напруги [Текст] : пат. UA № 30756, МПК 7 Н 01Н

83/00 / Бутенко В. М., Редько Л. О., Чуб С. Г.; заявник і власник

Українська державна академія залізничного транспорту № u 2007

12547 від 12.11.2007; Опубл. 11.03.2008, Бюл. №5, 2008 – 6 с.: ил.

42 Спосіб підвищення точності обліку і контролю електрое-

нергії вимірювальним комплексом [Текст] : пат. UA № 92431

Україна, МПК (2009) Н 01F 38/00, Н 01F 38/20, Н 01F 38/28 / Бу-

тенко В. М., Дудченко В. І., Терьошин В. М.; заявник і власник

Українська державна академія залізничного транспорту. -

№ а 2009 13184 від 17.12.2009; Опубл. 25.10.2010, Бюл. № 20,

2010 – 8 с.: ил.

43 Пристрій захисту електроустаткування [Текст] : пат.

UA № 89008 Україна, МПК9 (2009) Н02Н 3/08 / Бутенко В. М.,

Білоусов О. Ф., Панаріна Є. М., Терьошин В. М. // заявник і влас-

ник Українська державна академія залізничного транспорту.

№ а 2008 15099 від 29.12.2008; Опубл. 10.12.2009, Бюл. №23,

2009 – 12 с.: ил.

44 Спосіб підвищення точності обліку і контролю електрое-

нергії вимірювальним комплексом [Текст] : пат. UA № 91804

Україна, МПК (2009) Н 01F 38/00, Н 01F 38/20, Н 01F 38/28 / Бу-

тенко В. М., Дудченко В. І., Терьошин В. М.; заявник і власник

Українська державна академія залізничного транспорту. -

№ а 2009 09543; заявл. 17.09.2009; опубл. 25.08.2010, Бюл. № 16,

2010 - 10 с.: ил.

45 Спосіб підвищення точності обліку і контролю електрое-

нергії вимірювальним комплексом [Текст] : пат. UA № 93980

Україна, МПК (2009) Н 01F 38/00, Н 01F 38/20, Н 01F 38/28 / Бу-

тенко В. М., Білоусов О. Ф., Скарговській А. О., Терьошин О. В.;

заявник і власник Українська державна академія залізничного

транспорту. - № а 2010 09448; заявл. 28.07.2010; опубл.

25.03.2011, Бюл. № 6, 2011 – 6 с.: іл.

46 Спосіб підвищення точності обліку і контролю електрое-

нергії вимірювальним комплексом [Текст] : пат. № 88126

Україна, МПК (2009) Н 01F 38/00, Н 01F 38/20, Н 01F 38/28 / Бу-

тенко В. М., Блиндюк В. С., Гаєвський В. В. та ін.; заявник і

власник Українська державна академія залізничного транспорту.

– № а 2009 03412; заявл. 09.04.2009; опубл. 10.09.2009, Бюл. №17,

2009 – 10 с.: іл.

Page 81: ЗМІСТ Вступ………………………………………………………………

83

47 Пристрій підвищення точності обліку і контролю елект-

ро-енергії вимірювальним комплексом [Текст] : пат. UA № 98423

Україна, МПК (2009) Н 01F 38/00, Н 01F 38/20, Н 01F 38/28 / Бу-

тенко В. М., Білоусов О. Ф., Головко О. В., Терьошин В. М.;

Скарговській А. О., Терьошин О. В.; заявник і власник Українсь-

ка державна академія залізничного транспорту. - № а 2011 05679

від 04.05.2011; Опубл. 10.05.2012, Бюл. № 9, 2012 – 5 с.: іл.

48 Бутенко, В. М. Имитационные моделирование как сред-

ство отладки программного и информационного обеспечения

АРМ [Текст] / В. М. Бутенко, О. О. Єршов, А. Г. Монастирський

// Тезисы докл. Второй междунар. конф. "Теория и техника пере-

дачи, приема и обработки информации". – Харьков – Туапсе,

1996. – С. 113.

49 Шевченко, Р. В. Информационные потоки в диспетчер-

ской системе управления движением поездов на железнодорож-

ном участке [Текст] / Р. В. Шевченко, В. М. Бутенко, О. О. Єршов

// Материалы работы школы-семинара "Перспективные системы

управления на железнодорожном, городском и промышленном

транспорте". – Харьков, 1996. – С. 48-52.

50 Бутенко, В. М. Имитационные моделирование при авто-

матизации проектирования систем управления [Текст] /

В. М. Бутенко, А. Г. Монастырский, Р. В. Шевченко // Материалы

выступлений Десятой междунар. школы-семинара "Перспектив-

ные системы управления на железнодорожном, городском и про-

мышленном транспорте" // Информационно-управляющие систе-

мы на железнодорожном транспорте. – Харьков : ХарГАЖТ,

1997. – № 4. – С. 84.

51 Бутенко, В. М. Інтернет на залізницях України [Текст] /

В. М. Бутенко // Информационно-управляющие системы на же-

лезнодорожном транспорте. – 1997. – №1. – С.47–49.

52 Бутенко, В. М. Компьютерная система управления дви-

жением поездов на участке железной дороги [Текст] /

В. М. Бутенко, В. А. Гладков // Сб. докл. и сообщений участников

науч.-инж. конф. "Стратегические задачи в области развития

средств железнодорожной автоматики". – Гомель, 1997. – С. 70.

53 Бутенко, В. М. Построение стандартной модели безопас-

ного функционирования стационарных объектов железнодорож-

ной автоматики на основе синтезного подхода [Текст] /

Page 82: ЗМІСТ Вступ………………………………………………………………

84

В. М. Бутенко // Технологические системы. – 2003. – № 3 (19). –

С. 28-32.

54 Бутенко, В. М. Оценка базовых моделей качества управ-

ления оперативной подсистемой безопасного функционирования

транспорта [Текст] / В. М. Бутенко // Материалы выступлений

Третьей междунар. науч.-практ. конф. с действующими семина-

рами "Качество, стандартизация, контроль: теория и практика". –

Ялта, 2003. – С. 48–52.

55 Бутенко, В. М. Деякі результати досліджень в галузі

нормативного забезпечення залізничної автоматики для викори-

стання в дипломному проектуванні [Текст] / В. М. Бутенко //

Пріоритети і проблеми сучасної освіти: матеріали наук.-метод.

конф. кафедр ДонІЗТ; 22-23 квітня 2004 р. – Донецьк : ДонІЗТ,

2004. – С 15–16 .

56 Бутенко, В. М. Технічна модернізація галузі з викори-

станням нормативного забезпечення міжнародного рівня [Текст] /

В. М. Бутенко, О. В. Головко // Качество, стандартизация, кон-

троль: теория и практика: Материалы IV-й Междунар. науч.-

практ. конф., 28-30 сентября 2004 г., г. Ялта. – К. : АТМ Украи-

ны, 2004. — С. 105–107.

57 Складові сертифікації послуг з перевезення пасажирів

[Текст] / В. М. Бутенко, С. Г. Чуб, Т. О. Порожняк, Р. В. Бородай

// Материалы 8-го Междунар. науч.-техн. семинара, 26-28 февра-

ля 2008 г., Свалява. – К. : АТМ Украины, 2008. – С. 35.

58 Кількісний аналіз показників надійності систем автома-

тики з використанням моделювання дерев небезпечних відмов

[Текст] / В. М. Бутенко, Д. О. Зубрицький, С. В. Сіроштан,

Є. С. Строєв // Зб. наук. праць. – Харків : УкрДАЗТ, 2008. –

Вип. 92. – С. 133–138.

59 Бутенко, В. М. Ранжирование опасностей с нечеткими

зонами межранговых переходов [Текст] / В. М. Бутенко,

О. В. Головко, В. І. Мойсеєнко // Зб. наук. праць. – Донецьк :

ДонІЗТ, 2008. – Вип. 14. – С. 64–73.

60 Бутенко, В. М. Оценка качества функционирования эле-

ментов железнодорожной автоматики [Текст] / В. М. Бутенко //

Проблемы и перспективы развития транспортных систем и стро-

ительного комплекса: материалы II Международ. науч.-практ.

Page 83: ЗМІСТ Вступ………………………………………………………………

85

конф. посвященной 55-летию образования БелГУТа / под. общ.

ред. В. И. Сенько. – Гомель : БелГУТ, 2008. – С. 197.

61 Бутенко, В. М. Сертификация персонала субъектов пере-

возки опасных грузов [Текст] / В. М. Бутенко, А. Ю. Халин //

Проблемы и перспективы развития транспортных систем и стро-

ительного комплекса: материалы III Международ. науч.-практ.

конф. посвященной 55-летию образования БелГУТа / под. общ.

ред. В. И. Сенько. – Гомель : БелГУТ, 2008. – С. 47.

62 Бутенко, В. М. Моделирование процесса распростране-

ния пожара на плоскости [Текст] / В М. Бутенко,

И. Г. Филиппенко, А. В. Головко // Материалы докл. 22-й Меж-

дунар. науч.-практ. конф. "Перспективные компьютерные, управ-

ляющие и телекоммуникационные системы для железнодорожно-

го транспорта Украины", Алушта, 2009 г. // Інформаційно-

керуючі системи на залізничному транспорті. – 2009. – № 4 (До-

даток). – С. 2.

63 Бутенко, В. М. Якість галузевих нормативних документів

метрологічного забезпечення як інструмент зниження витрат на

енергоносії [Текст] / В. М. Бутенко, Д. В. Ломотько // Матеріали

п’ятої міжнар. конф. "Енергетична безпека та енергоменеджмент:

підвищення енергоефективності на транспорті" 2-4 червня 2010. –

Одеса : 2010. – С. 42–44.

64 Бутенко, В. М. Розробка нових технічних засобів автома-

тики для зменшення кількості транспортних подій та підвищення

якості функціонування залізниць [Текст] / / В. М. Бутенко // Зб.

доп. 10-ої наук.-практ. конф. "Перспективи впровадження тех-

нічних засобів безпеки руху на залізницях України". (Хмільник,

8-10 вересня 2010 р.) [М-во транспорту та зв’язку України; Дер-

жавна адміністрація залізничного транспорту України. Медичний

центр реабілітації залізничників]. – Хмільник, 2010. – С. 34.

65 Бутенко, В. М. Удосконалення експлуатації інфор-

маційно-вимірювальних комплексів залізничної інфраструктури

[Текст] / В. М. Бутенко // Матеріали доп. на 25-й Міжнар. конф.

"Перспективные компьютерные, управляющие и телекоммуника-

ционные системы для железных дорог Украины" (Крим, Алушта,

вересень 2012 р.) // Інформаційно-керуючі системи на залізнич-

ному транспорті. – 2012. – № 4 (Додаток). – С. 3.

Page 84: ЗМІСТ Вступ………………………………………………………………

86

66 Бутенко, В. М. Удосконалення технічної експлуатації та

розробка технічних засобів автоматики [Текст] / В. М. Бутенко,

В. С. Коновалов // Інформаційно-керуючі системи на залізнично-

му транспорті. – 2012. – №6 (97). – С. 58–62.

67 Моисеенко, В. И. Анализ и обобщение моделей жизнен-

ного цикла систем, связанных с безопасностью [Текст] /

В. И. Моисеенко, В. М. Бутенко, М. Л. Малиновский // Материа-

лы докл. на 26-й Междунар. конф. "Внедрение перспективных

микропроцессорных систем железнодорожной автоматики и

средств телекоммуникаций на базе цифровизации" (Крым, Алу-

шта, сентябрь 2013 г.) // Інформаційно-керуючі системи на заліз-

ничному транспорті. – 2013. – № 4 (Додаток). – С. 16.

68 Бутенко, В. М. Методичні вказівки до виконання прак-

тичних занять та курсової роботи з дисципліни “Методологія ме-

неджменту якості” для спеціалістів та магістрів спеціальності

"Якість, стандартизація та сертифікація [Текст] / В. М. Бутенко,

О. П. Земляний. – Харків : УкрДАЗТ, 2008. – Ч. 1. – 38 с.

69 Бутенко, В. М. Журнал №1 до виконання курсової роботи

з дисципліни «Методологія менеджменту якості» для слухачів

ІППК [Текст] / В. М. Бутенко. – Харків : УкрДАЗТ, 2008. – 20 с.

70 Бутенко, В. М. Методичний посібник до лабораторних

робіт з дисципліни “Математичні методи та моделі розрахунку на

ЕОМ” [Текст] / В. М. Бутенко, О. Б. Болотов, В. В. Шумеєв. –

Харків : УкрДАЗТ, 2006. – Ч. 1. – 28 с.

71 Бутенко, В. М. Конспект лекцій з дисципліни "Інфор-

маційні системи на залізничному транспорті" [Текст] /

В. М. Бутенко, С. Є. Бантюков, В. Г. Пчолін. – Харків : УкрДАЗТ,

2008. – Ч. 1. – 28 с.

72 Основи програмування мовами високого рівня [Текст] :

навч. посібник / В. М. Бутенко, В. С. Меркулов, О. В. Чаленко,

О. В. Казанко. – Харків : УкрДАЗТ, 2009. – 206 с.

73 Бутенко, В. М. Методичні вказівки до лабораторних

робіт з дисципліни “Математичне моделювання на ЕОМ” за те-

мами розділу “Дослідження детермінованих моделей з застосу-

ванням обчислювальних засобів електронних таблиць” для сту-

дентів будівельного та механічного факультетів денної форми

навчання [Текст] / В. М. Бутенко, В. Г. Пчолін, В. О. Гончаров. –

Харків : УкрДАЗТ, 2005. – Ч. 2. – 19 с.