39
1 BIT 全数字音频功率放大系统 电子科技大学微电子研究所 2000/9/12

1 BIT 全数字音频功率放大系统 · 数字功放在数字信号处理部分采用 fpga 芯片及超取样数字滤波及 - ∑ 调制等电路 。 四 、 本电路特点 本电路为

  • Upload
    others

  • View
    17

  • Download
    0

Embed Size (px)

Citation preview

Page 1: 1 BIT 全数字音频功率放大系统 · 数字功放在数字信号处理部分采用 fpga 芯片及超取样数字滤波及 - ∑ 调制等电路 。 四 、 本电路特点 本电路为

1 BIT 全数字音频功率放大系统

电子科技大学微电子研究所

2000/9/12

Page 2: 1 BIT 全数字音频功率放大系统 · 数字功放在数字信号处理部分采用 fpga 芯片及超取样数字滤波及 - ∑ 调制等电路 。 四 、 本电路特点 本电路为

1

(一)、概述

一、 数字功放的意义及应用

数字变换技术是21世纪发展的重要领域之一,较模拟变换技术更具有广泛的应用需求

和强大的生命力。目前的视听产品(如 CD、LD、VCD、DVD

、电脑音响、家庭影院等)

通讯产品(如手机)

的音频功率放大系统,均采用 D/A

变换技术,它存在信号失真、效

率低、抗干扰性差等问题;较之而言,数字功放是新一代高保真、低功耗的全数字功率放大

系统。

具有以下显著优点:高

保真、高效率、高过载能力与高功率储备能力、功放和扬声器

的匹配好、声像定位好、升级换代容易、生产调试方便等,且与未来的全数字式音频广播、

高清晰度电视的发展趋势相匹配。既可采用现有的 CD

机(或 DVD

机)、DAT

(数字录音机)、

PCM

(脉冲编码调制录音机)作为数字音源,又具备模拟音频输入接口,兼容现有的模拟

音源

,因此具有广阔的市场:

1

、视听产品市场

数字功放高品质的特性必将使其引起相关视听产品的更新换代,它将拥有上千亿的市

场。如家庭用 CD、LD、VCD、DVD

、电视机音响、电脑音响、家庭影院等;公共场所用音响

系统如:会议室、音乐厅、电影院、广场、火车站、机场用功放系统等等,这方面预计将有

数千亿的产值。1997 年全国音响设备的整机销售量及其实际市场统计数据如表 1所示

(单位:万台)。表 1 1997 年全国音响设备的整机销售量及其实际市场

VCD CD 汽车收音机 收录机 音响 彩电电路

300 1000 700 3000 4000 2000

我们仅家庭拥有情况来计算,按一个家庭拥有一套上述视听产品,每套视听产品用数

字功放系统价值 2000

元,全国 3

亿家庭,即是 6000

亿元产值。

2

、便携产品市场

数字功放效率高、耗能少的特性必将使其广泛应用与便携产品市场。如:移动通讯产

品(如手机)、便携式电脑、发音电子字典、复读机、汽车火车等交通工具用音响、便携式

收音机、随身听、便携式 CD

机等等。

根据信息产业部计算机与微电子研究中心(CCID

)的统计,便携式多媒体电脑的销售

Page 3: 1 BIT 全数字音频功率放大系统 · 数字功放在数字信号处理部分采用 fpga 芯片及超取样数字滤波及 - ∑ 调制等电路 。 四 、 本电路特点 本电路为

2

量为 29.8

万台,销售额 58.7

亿元。多媒体电脑的销售趋势是每年以大于 20%

的速率增长,

可见仅便携式多媒体电脑一项便是一个十分巨大的市场。根据以上统计数字,按每台便携式

多媒体电脑采用 300

元小功率数字音频功放系统计算,则仅便携式多媒体电脑用全数字小功

率音频功放系统每年拥有大约一亿元的市场。

3

、未来的全数字系统市场

全数字化功放将使其与未来的数字音频广播、高清晰度数字电视产品融为一体,成为

3G

新兴产业的重要组成部分,这方面的产值会在500亿以上。

多媒体电脑与上述家电产品的结合是今后电脑和家电产品发展的必然趋势。目前国内的

上海市已经在开展三网合一工程,将互联网、有线电视网、通讯网合三为一。三网合一必须

用到多媒体电脑,所以全数字多媒体电脑音频功放今后必然融入上述家电,拥有更大的市场。

二、 数字功放的特点

1

、高保真。数字功放的交

越失真、失配失真和瞬态互调失真均小。

晶体管在小电流时的非线性特性会引起模拟功放在输出波形正负交叉处的失真(小信号

时的晶体管会工作在截止区,此时无电流通过,导致输出严重失真)称为交越失真,交越失

真是模拟功放天生的缺陷;而数字功放只工作在开关状态,不会产生交越失真。模拟功放存

在推挽对管特性不一致而造成输出波形上下不对称的失配失真,因此在设计推挽放大电路

时,对功放管的要求非常严格,即使如此也未必能够做到完全对称。而数字功放对开关管的

配对无特殊要求,无须严格匹配;模拟功放为保证其电声指标,几乎无一例外都采用负反馈

电路,在负反馈电路中,为抑制寄生振荡,采用相位补偿电路,从而会产生瞬态互调失真。

数字功放在功率转换上无须反馈电路,从而避免了瞬态互调失真。

2

、高效率,可达75%~95%。

由于数字功放采用开关放大电路,效率极高,可达75%~95%(模拟功放一般仅为

30%~50%,甚至更低),在工作时发热量非常小。功率器件均工作在开关状态,因此

它基本上没有模拟功放的静态电流损耗,所有能量几乎都是为音频输出而储备,而且瞬态响

应好。

3

、过载能力与功率储备能力强

数字功放电路的过载能力远远高于模拟功放。模拟功放分为 A

类、B类或

AB类等几类

功率放大电路,正常工作时功放管工作在线性区;当过载后,功放管工作在饱和区,出现削

顶失真,失真呈指数级增加,音质迅速变坏。而数字功放在功率放大时一直处于饱和区和截

Page 4: 1 BIT 全数字音频功率放大系统 · 数字功放在数字信号处理部分采用 fpga 芯片及超取样数字滤波及 - ∑ 调制等电路 。 四 、 本电路特点 本电路为

3

止区,只要功率管不损坏,失真度不会迅速增加。

4

、功放和扬声器的匹配好

由于模拟功放中采用的功放管内阻较大,所以在匹配不同阻值的扬声器时,模拟功放电

路的工作状态会受到负载(扬声器)大小的影响。而数字功放的输出电阻不超过0.

2欧姆

(开关管的内阻加滤波器内阻),相对于负载(扬声器)的阻值(4~8欧姆)完全可以忽

略不计,因此不存在于扬声器的匹配

问题。

5

、声像定位好

对模拟功放来说,输出信号和输入信号之间一般都存在着相位差,而且在输出功率不同

时,相位失真亦不同。而数字功放采用对数字信号进行放大,使输出信号与输入信号完全一

致,相移为零,因此声像定位准确。

6

、生产调试方便

模拟功放存在着各级工作点的调试问题,不利于大批量生产。而数字功放大部分为数字

电路,一般不需要调试即可正常工作,特别适合大规模生产。

三、 国内外技术发展状况及特征

国外对数字音频功率放大器领域进行了二十年的研究。在六十年代中期,日本研制出

8bit

的数字音频功率放大器;1983

年,国外提出了 D

类(数字)PWM 功率放大器的基本结

构。但是这些功放仅能实现低位 D/A

功率转换,若要实现 16bit、44.1kHz 采样的功率放大

器,其末级时钟则约需 2.8GHz

,显然无法实现。此后,研究的焦点在于降低其时钟频率。

近年来随着超大规模集成电路(VLSI

)技术的进步,在数字音频小信号处理技术方面

取得了显著的进步,主要解决了多级噪声整形技术、比特流技术、CIRC 解码和纠错处理技

术,内插和静音处理技术、超取样数字滤波技术等等。在这方面国外大公司在 80年代末 90

年代初开始推出其 IC

芯片产品。如:松下公司的 MN 系列、AD 公司的 ADSP2100

系列、T&T

公司的 DSP32

系列、摩托罗拉公司的 DSP5600

系列等等。

目前,荷兰的 PHILIPS 公司与日本的 SHARP 公司均研制出了 1BIT 数字功放并成功投

放市场;国内则有天奥集团公司的 1BIT

数字功放也于近期正式投放市场。天奥公司的 1BIT

数字功放在数字信号处理部分采用 FPGA

芯片及超取样数字滤波及△-

∑调制等电路。

四、 本电路特点

本电路为 1BIT

全数字功率放大电路,与国内现有的产品相比,具有以下特点:

1、

采用自行开发有自主知识产权的超大规模集成电路。该电路采用 8倍超取样数字滤

Page 5: 1 BIT 全数字音频功率放大系统 · 数字功放在数字信号处理部分采用 fpga 芯片及超取样数字滤波及 - ∑ 调制等电路 。 四 、 本电路特点 本电路为

4

波,多级噪声整形和△-

∑调制,13 级到 24 级 PWM 信号输出的方案,保证了整个系

统的频响特性。

2、 可兼容不同 BIT

数和不同格式数字音频信号。数字信号选择器可实现对数字音频信

号 BIT

位数识别(16BIT-24BIT

),接口格式识别,系统取样率识别等功能。

3、

功放级采用了自行研制的数字功放专用高速平衡桥驱动电路。该电路采用了先进的

窄脉冲及死区技术,为系统高速稳定的运作提供了有力的保障。

Page 6: 1 BIT 全数字音频功率放大系统 · 数字功放在数字信号处理部分采用 fpga 芯片及超取样数字滤波及 - ∑ 调制等电路 。 四 、 本电路特点 本电路为

5

(二)、1BIT 全数字音频功率放大器方案

全数字音频功放是直接通过光纤和数字同轴电缆从数字音源接口接收数字 PCM音频信

号,输入模拟音频信号时先经过内置的 A/D

转换成数字信号后在进行处理,在整个信号处

理和功率放大过程中,全部采用数字方式,只有在功率放大后为了推动音箱才转化为模拟信

号。

一、技术性能

输入接口 :I2R ,S/P

,模拟信号

比特字长 :16BIT~ 24BIT

频率响应 :20Hz ~ 20KHz

信 噪 比 : ≥96dB (16BIT)

总谐波失真:≤0.02% (THD+N)

动态范围 :98dB ~ 146dB (16~24BIT)

互调失真 :≤0.04%

负载阻抗 :4Ω~ 8Ω

二、工作原理

经分析比较给出 1BIT

全数字音频功率放大器方案,其框图见图 1

本方案允许数字音频信号和模拟信号输入。模拟信号输入时经过低通滤波后进入 ADC

信号选择器

信号选择器

低通滤波和A/D

转换

低通滤波和A/D

转换

数字信号

模拟信号

数字信号接收与转换

数字信号接收与转换

数字信号处理

数字信号处理

1BIT

功放1BIT

功放

低通滤波低通滤波

16bit

1bit

pwm

模拟信号

音箱

图 1 1BIT 全数字音频功率放大器框图

Page 7: 1 BIT 全数字音频功率放大系统 · 数字功放在数字信号处理部分采用 fpga 芯片及超取样数字滤波及 - ∑ 调制等电路 。 四 、 本电路特点 本电路为

6

完成 AD

转换后进行编码,最后进入数字信号选择器。该功能可由 CS8402A 和 CS5390 完

成。

数字信号选择器可完成对多路数字信号的选择。选中的多 BIT 数字音频信号进入数字

信号

接收和转换电路。完成对数字音频信号 BIT

位数识别(16BIT-24BIT

),接口格式识别,

系统取样率识别,同轴复合音频数码信号转换串行音频数字信号等功能。该部分由

YM3623B 或类似的 CS8412,CS8414,TDA1315

电路完成。

数字信号处理主要完成将多 BIT 信号转换成 1BIT

信号,并以 PWM

形式输出。该电

路是整个系统的核心。贵公司寄来的材料中采用 SM5871(IC17

)电路实现,但迄今我们尚

未查到该电路。我们拟采用 SM5872

完成其功能,进一步考虑到改进数字信号处理算法以

提高整机性能和降低

成本的需要,我们正着手自行开发有自主知识产权的超大规模集成电

。该电路采用 8倍

超取样数字滤波,多级噪声整形和△-

∑调制,13级到 24 级 PWM 信号

输出的方案。其功能与 SM5872

一致,且指标将优于 SM5872

。详细方案和与 SM5872 的指标

比较将在专门的技术报告中给出。

1BIT

功放级是整个系统的另一个核心部分,采用本单位

研究成果“D 类功放专用全

桥驱动电路和平衡桥电路”

完成。该电路采用了窄脉冲电平位移技术,开关噪声抑制技术

和提高功率桥可靠性的死区产生技术。

低通滤波器采用四阶巴特沃斯低通滤波

器,用于将大功率数字脉冲信号转换为模拟音

频信号。巴特沃斯低通滤波器的特点是带内平坦度高,从而使得输出音频信号幅频特性较

好。

三、仿真要求

仿真工作重点在于对影响整机性能指标的数字信号处理部分、1BIT 功放部分和输出级

低通滤波部分进行分析。其中数字处理部分的方案和算法将很大程度上决定了信噪比的重要

参数;1BIT 功放部分和输出级低通滤波部分的电路设计和优化是进一步提高整机指标的关

键,因此应将上述几部分联合起来仿真分析。

Page 8: 1 BIT 全数字音频功率放大系统 · 数字功放在数字信号处理部分采用 fpga 芯片及超取样数字滤波及 - ∑ 调制等电路 。 四 、 本电路特点 本电路为

7

(三)、模拟信号的低通滤波部分设计与仿真

一、.原理分析

低通滤波器的主要作用:

削弱高次谐波及频率较高的干扰和噪音。

有补偿作用的反相放大器的主要作用:防止自激振荡和反相放大。

输入信号低通滤波的原理图为图 2:

in1 m1 x1 out1

x3 z

in2 out2

m2 x2

图 2 低通滤波原理图

输入信号S(n)经过二次低通滤波输出信号为OUT1,OUT2。比较,得△

Y,进行

反相放大,得信号X3。X1=M1-X3,X2=M2-X3。对X1,X2进行有源低

通滤波,得输

出信号。

二、器件参数

1、TL071,TL072,TL074 低噪声 JFET

输入运算放大器,为了低输入失调电压,每个内部补

偿的运算放大器,该器件所表现的低噪声的低谐波失真使之成为高保真音频放大器应用

的理想器件。

.

低输入噪声电压:18nV/ Hz

(典型)

.

低谐波失真:0.001%(典型)

.低输入偏置和失调电流

.

高输入阻抗:1012Ω

(典型)

.

高转换速率:13V/μs(典型)

.

宽增益带宽:4.0MHz(典型)

.

低电源电流:每个放大器 1.4mA

2、 AD711

美国模拟器件,同类替代品 LF411

系列。

LF411C,LF412C 低失调,低漂移 JFET

输入运算放大器。

.

低输入失调电压最大值:2.0mV 最大值(单)

3.0mV 最大值(双)

.

低温度系数的输入失调电压:10Μv/℃

.

低输入失调电压:20pV

.

低输入偏置电流:60pA

.

低输入噪声电压:18nV/ Hz

低通

反相放大

低通

低通

低通

Page 9: 1 BIT 全数字音频功率放大系统 · 数字功放在数字信号处理部分采用 fpga 芯片及超取样数字滤波及 - ∑ 调制等电路 。 四 、 本电路特点 本电路为

8

.

低输入噪声电流:0.01pA/ Hz

.

低的总谐波失真:0.05%

.

低的电源电流:2.5mA

.

高输入电阻:1012Ω

.

宽的增益带宽:8.0MHz

.

高转换速率:25V/μs (典型值)

.

快速建立时间:1.6μs (在 0.01%内)

三、电路分析

1

、有源低通滤波器如图 3

所示:

图 3 有源低通滤波器

CR

f2

02

1

1

2 //1

R

CRAu

注:实际仿真时的电容值为 800pF

2

、有补偿作用的反相放大器如图 4

所示:

图 4 有补偿作用的反相放大器

Page 10: 1 BIT 全数字音频功率放大系统 · 数字功放在数字信号处理部分采用 fpga 芯片及超取样数字滤波及 - ∑ 调制等电路 。 四 、 本电路特点 本电路为

9

2132

2

0

1

CCRR 0f 2

1

2312 )//()//(

R

CRCRAu

注:实际仿真时的电容 2C 值为 1nF

四、仿真波形

1、

f=200

Hz时波形图为图 5、6、7、8

图 5

Page 11: 1 BIT 全数字音频功率放大系统 · 数字功放在数字信号处理部分采用 fpga 芯片及超取样数字滤波及 - ∑ 调制等电路 。 四 、 本电路特点 本电路为

10

图 6

图 7

Page 12: 1 BIT 全数字音频功率放大系统 · 数字功放在数字信号处理部分采用 fpga 芯片及超取样数字滤波及 - ∑ 调制等电路 。 四 、 本电路特点 本电路为

11

图 8

(3

)20kHz时波形图为 图 9、10、11、12

图 9

Page 13: 1 BIT 全数字音频功率放大系统 · 数字功放在数字信号处理部分采用 fpga 芯片及超取样数字滤波及 - ∑ 调制等电路 。 四 、 本电路特点 本电路为

12

图 10

图 11

Page 14: 1 BIT 全数字音频功率放大系统 · 数字功放在数字信号处理部分采用 fpga 芯片及超取样数字滤波及 - ∑ 调制等电路 。 四 、 本电路特点 本电路为

13

图 12

五、仿真参数

频谱特性分析:

F(Hz) 20 50 500 1k 5k 8k 10k 13k

Out(mv) 4.50 4.50 4.50 4.50 5.00 5.50 6.00 7.00

X(mv) 50.0 50.0 50.0 50.0 53.0 56.0 59.0 68.0

uA 11.1 11.1 11.1 11.1 10.6 10.2 9.9 9.7

20lg|Au| 20.906 20.906 20.906 20.906 20.506 20.156 19.851 19.748

相移 0.000 0.000 0.000 0.000 0.050π 0.072π 0.080π 0.0884π

F(Hz) 15k 18k 20k 23k 25k 30k 50k

Out(mv) 7.50 8.70 9.50 10.7 11.5 14.0 23.5

X(mv) 66.0 70.0 72.0 75.0 76.0 81.0 94.0

uA 8.8 8.1 7.6 7.0 6.6 5.8 4.0

20lg|Au| 18.890 18.112 17.592 16.913 16.402 15.247 12.042

相移 0.090π 0.0936π 0.088π 0.0828π 0.080π 0.072π 0.035π

Page 15: 1 BIT 全数字音频功率放大系统 · 数字功放在数字信号处理部分采用 fpga 芯片及超取样数字滤波及 - ∑ 调制等电路 。 四 、 本电路特点 本电路为

14

频谱图如图 13

,相移图如图 14

所示:

图 13 频谱图

Page 16: 1 BIT 全数字音频功率放大系统 · 数字功放在数字信号处理部分采用 fpga 芯片及超取样数字滤波及 - ∑ 调制等电路 。 四 、 本电路特点 本电路为

15

图 14 相移图

Page 17: 1 BIT 全数字音频功率放大系统 · 数字功放在数字信号处理部分采用 fpga 芯片及超取样数字滤波及 - ∑ 调制等电路 。 四 、 本电路特点 本电路为

16

(四)、数字信号处理部分设计与仿真

一、基本原理

数字信号处理芯片设计包括接口电路、超采样数字滤波器、多级噪声整形(MASH)、PWM

转换和时钟控制部分等几个功能块。

系统设计要求:

入:16

比特,44.1KHz

串行数据信号;左右声道同步信号;位时钟同步信

号。

串并行变换器:

输入以上三个信号,输出 16

比特,44.1KHz

的并行信号,同时分解出

左右声道信号。并以此为数字滤波器的输入。

数字滤波器 :

输入以上并行信号,输出 20

比特,44.1KHz×8=352.8KHz

的并行信号,

并以此作为多级噪声整形系统的输入。

多级噪声整形部分:

输入以上并行信号,输出 4

比特,44.1KHz×16=705.6KHz 的并行

信号(低频信噪比比较高),并以此作为 PWM

产生电路的输入。

PWM

产生电路:输入以上并

行信号,输出 PWM

信号。

时 钟 电

路:

包括一个倍频器,输入位时钟信号,经过倍频和分频,得到各个部分所

需的同步时钟。

整个电路的具体框图如图 15

所示。

二、仿真及综合

仿真工作包括 VHDL

功能仿真(数字仿真)、数模混合仿真、系统综合、后仿真结果等

几个部分。详细的模拟分析结果在专门的技术报告中给出。

串并

行变

数字

滤波

MASH

PWM

产生

电路

时钟控制同步电路

PWM 波

输出

data

lrclk

bclk

图 15 数字信号处理芯片系统设计

Page 18: 1 BIT 全数字音频功率放大系统 · 数字功放在数字信号处理部分采用 fpga 芯片及超取样数字滤波及 - ∑ 调制等电路 。 四 、 本电路特点 本电路为

17

1、 VHDL

功能仿真(数字仿真)

数字音频处理集成电路的VHDL

语言描述(摘要)如下:

--D_audio.vhd

library IEEE;

use IEEE.std_logic_1164.all;

entity digital_audio is

port( data_in: in std_logic_vector(15 downto 0);

clk: in STD_LOGIC;

clr: in std_logic;

data_out: out std_logic);

end digital_audio;

architecture data_flow of digital_audio is

………………………………………………………………

component sdf --

数字滤波器,

Port( data_in: in std_logic_vector(15 downto 0);

clk: in std_logic;

……………………………………

data_out: out std_logic_vector(19 downto 0));

end component;

component clock --时钟

port( clk384: in STD_LOGIC;

……………………………………

clk4: out STD_LOGIC);

end component;

Page 19: 1 BIT 全数字音频功率放大系统 · 数字功放在数字信号处理部分采用 fpga 芯片及超取样数字滤波及 - ∑ 调制等电路 。 四 、 本电路特点 本电路为

18

component mash ---多级噪声整形

port( data_in: in STD_LOGIC_VECTOR(19 downto 0);

……………………………………

data_out: out STD_LOGIC_VECTOR(3 downto 0));

end component;

component convert --PWM 生成电路

……………………………………………

end component;

begin

………………………………………………

end if;

end if;

end process;

end rtl;

图 16

给出数字仿真结果示例。从波形可以看出,在信号增大期间,脉冲宽度是逐渐增

大的,但又不同于对模拟信号直接进行调制的 PWM 波

形,它在逐渐增大的过程中又有微小的

波动。这也正验证了多级噪声整形的效果。即是把噪声从低频段赶到高频段,从图上看就是

通过加入高频的波动来提高低频的信噪比。

图 16 数字仿真结果

Page 20: 1 BIT 全数字音频功率放大系统 · 数字功放在数字信号处理部分采用 fpga 芯片及超取样数字滤波及 - ∑ 调制等电路 。 四 、 本电路特点 本电路为

19

(五)、功放级电路设计与仿真

一、功放级电路原理

功放级电路主要由我们自行设计的 D

类功放专用全桥驱动电路和平衡桥电路组成。全

桥驱动电路采用了先进的窄脉冲和死区技术,具有过/

欠压等智能保护功能,最大程度的降

低了自身功耗,保证了系统的稳定性;平衡桥电路考虑了系统浪涌保护及桥臂间延迟时间匹

配。

1、 全桥驱动电路

我们设计的全桥驱动电路的电路结构可分为两个部分:一部分为驱动电路部分;另一部

分为控制与保护电路部分。根据电路内部各部分在功能上的差别与联系,我们可以把该电路

大体分成十六级单元子电路。其中主要的子电路有:输入电路(INPUT CIRCUIT

)、死区产

生电路(DEADTIME GENERATOR CIRCUIT

)、脉冲发生电路(PULSE GENERRATOR

CIRCUIT

)、电平位移电路(SHIFTER CIRCUIT)

、脉冲合成电路(PULSE FUSION CIRCUIT)

等。

我们在该驱动电路的 IC

研制中,A

、提出了新型电导调制功率器件的非准静态输运模

型,对具有抽出结构电导调制功率器件的瞬态输运过程进行了理论分析和实验研究;B

、提

出了一种新的亚微米级局域寿命控制技术(低能 He

注入寿命控制技术),用于提高横向电

导调制功率器件的开关速度。该技术有两方面

的作用:一是在漂移区中引入局域短寿命区,

通过优化设计使得 VF~TOFF

折衷关系最优。其版图如图 17

所示:

图 17 全桥驱动芯片版图

Page 21: 1 BIT 全数字音频功率放大系统 · 数字功放在数字信号处理部分采用 fpga 芯片及超取样数字滤波及 - ∑ 调制等电路 。 四 、 本电路特点 本电路为

20

*驱动电路实际测试波形

二、功放模块具体参数

参数 单位 最小值 典型值 最大值

工作电压 V 8 15 20

高端电压 V 8 60 100

上升沿延迟 ns 110

图 19 脉冲发生器产生的下降波形

图 20 高端输出的驱动波形

图 21 比较器输出的波形

图 18 脉冲发生器产生的上升波形

图 22 高端输出上升沿延迟

图 23 高端输出下降沿延迟

Page 22: 1 BIT 全数字音频功率放大系统 · 数字功放在数字信号处理部分采用 fpga 芯片及超取样数字滤波及 - ∑ 调制等电路 。 四 、 本电路特点 本电路为

21

下降沿延迟 ns 80

桥间延迟匹配 ns 80

输出峰值电流 A 2

静态工作电流 A 0.5

输入信号高电平 V 3 5 20

输入信号低电平 V 0 0 3

功耗 W 0.5 0.8 1.5

工作频率 Hz 300k 500k

2、 平衡桥电路

在平衡桥电路设计中,我们选择了与驱动电路相匹配的功率 VDMOS

器件构成桥体,并

对以下几个方面的问题作了考虑。

A

、系统浪涌保护

B

、续流保护

C

、桥臂间时间延迟匹配

、功放级电路仿真结果

1、 整体功能仿真

图 24

如图 24

所示:输入是频率为 500kHz 标准 TTL

信号,输出为频率相同、0~60V 的方

波信号,电路较好地实现了放大功能。

Page 23: 1 BIT 全数字音频功率放大系统 · 数字功放在数字信号处理部分采用 fpga 芯片及超取样数字滤波及 - ∑ 调制等电路 。 四 、 本电路特点 本电路为

22

图 25 上升沿延迟

图 26 下降沿延迟

从图 25、26

可知,电路上升、下降延迟均在 40ns

左右,由于仿真无法考虑一些实

Page 24: 1 BIT 全数字音频功率放大系统 · 数字功放在数字信号处理部分采用 fpga 芯片及超取样数字滤波及 - ∑ 调制等电路 。 四 、 本电路特点 本电路为

23

际电路中的分布参数,故与实际值存在一定的差异。

2、

输入电路(INPUT CIRCUIT

)仿真

图 27

如图 27

所示,输入是 0~8V,500KHz

的方波信号,经输入电路转化成同频率 0~15

的方波信号,由此看出本级子电路的主体功能为初级电平转移。

3、

死区产生电路(DEADTIME GENERATOR CIRCUIT)

图 28

Page 25: 1 BIT 全数字音频功率放大系统 · 数字功放在数字信号处理部分采用 fpga 芯片及超取样数字滤波及 - ∑ 调制等电路 。 四 、 本电路特点 本电路为

24

如图 28

所示,V(12)、V(13

)分别为高端和低端的输入信号,两路信号彼此之间反

相。后级的平衡桥电路中高/

低端功率器件正常工作时电流很大,在开关过程中,只要有一

小段共同导通时间均会产生严重的后果,为了避免高低端功率器件出现同时导通而发生烧

毁,我们引入了死区概念,对两路输入信号作了死区处理,死区时间约为 100ns。

4

、脉冲发生电路(PULSE GENERRATOR CIRCUIT)

图 29

为降低系统功耗,本电路采用了先进的窄脉冲技术,如图 29

所示,输入信号 V(2

)经

过窄脉冲产生电路后被转化为两脉冲宽度约 100ns

的两路窄脉冲信号,一路是上升沿窄脉冲

V(3

),另一路是下降沿窄脉冲 V(4

)。在后级电路的工作过程中,均是以此窄脉冲信号作

为运算和处理信号,直至复合驱动功率器件。

5、

脉冲合成电路(PULSE FUSION CIRCUIT)

Page 26: 1 BIT 全数字音频功率放大系统 · 数字功放在数字信号处理部分采用 fpga 芯片及超取样数字滤波及 - ∑ 调制等电路 。 四 、 本电路特点 本电路为

25

图 30

如图 30

所示,本子电路的主要功能是将经运算和处理的窄脉冲信号复合成原输入信号

以驱动功率器件。图中的 V(4)、V(5

)分别为上升沿和下降沿窄脉冲,V(6

)为合成后

的方波信号。

6、 死区对电路系统频响特性的影响

为提高系统工作的稳定性,我们在电路设计中采用了死区产生电路,以保障功率器件的

正常工作。而死区的设计不可避免的会对原信号产生一定的失真,为此,我们特别做了死区

电路对系统频响特性影响的仿真。如图 31、32、33、34

所示:

图 31 无死区时 PWM 产生信号

Page 27: 1 BIT 全数字音频功率放大系统 · 数字功放在数字信号处理部分采用 fpga 芯片及超取样数字滤波及 - ∑ 调制等电路 。 四 、 本电路特点 本电路为

26

图 32 50ns 死区时 PWM 信号

图 33 无死区时系统频谱图

Page 28: 1 BIT 全数字音频功率放大系统 · 数字功放在数字信号处理部分采用 fpga 芯片及超取样数字滤波及 - ∑ 调制等电路 。 四 、 本电路特点 本电路为

27

图 34 50ns 死区时系统频谱图

由以上四图分析可知,虽然死区电路会产生失真,但较短时间的死区(如 50ns

)不会

对系统频响特性产生较大影响,也不会产生相应的高频谐波分量。因此,综合考虑,我们在

电路设计中将采用此技术。

Page 29: 1 BIT 全数字音频功率放大系统 · 数字功放在数字信号处理部分采用 fpga 芯片及超取样数字滤波及 - ∑ 调制等电路 。 四 、 本电路特点 本电路为

28

(六)、输出滤波网络设计与仿真

本级电路为数字功放的输出级,即是对功放输出的信号进行解调的滤波器部分。 关于

输出滤波网络的频幅特性,

相移等具体参数分析在技术报告中给出。

一、电路要求与仿真要求

输入 Vin1,Vin2为两个反相的 PWM

波,两个 PWM 波周期 T

相等,频率均为 f0=44,1×

16k

,调制度 m=0.5,Vmax=60v,Vmin=0v

。输出 V0ut1,Vout2为解调 PWM 波而得到的音频波

形,此即为本电路的功能。要求输出的波的频带范围为 20~20kHz,︱H(jωc)︱>0.9,︱

H[j(6×ωc)]︱<0.01。(

其中ωc=2πf0)

二、电路的原理

此电路模型为无源的考尔Ⅰ型滤波器,运用的滤波方式为巴特沃斯低通与切贝雪夫低

通,都能得到较好的频谱特性、噪声特性及容差特性。

三、仿真

我们对自行设计的滤波电路(四阶巴特沃斯滤波器、四阶切贝雪夫滤波器、五阶巴特

沃斯滤波器、六阶巴特沃斯滤波器)进行频谱分析与相移分析,分析结果如下:

图 35 四阶巴特沃斯滤波器相移与频谱图

Page 30: 1 BIT 全数字音频功率放大系统 · 数字功放在数字信号处理部分采用 fpga 芯片及超取样数字滤波及 - ∑ 调制等电路 。 四 、 本电路特点 本电路为

29

图 36 四阶切贝雪夫滤波器频谱与相移图

图 37 五阶巴特沃斯频谱与相移图

Page 31: 1 BIT 全数字音频功率放大系统 · 数字功放在数字信号处理部分采用 fpga 芯片及超取样数字滤波及 - ∑ 调制等电路 。 四 、 本电路特点 本电路为

30

图 38 六阶巴特沃斯频谱与相移图

原方案滤波电路分析的频谱、相移如图 39:

图 39 原方案滤波器频谱与相移图

Page 32: 1 BIT 全数字音频功率放大系统 · 数字功放在数字信号处理部分采用 fpga 芯片及超取样数字滤波及 - ∑ 调制等电路 。 四 、 本电路特点 本电路为

31

比较上面五种滤波器方案,从频谱、相移来看,以图 35

频谱相移效果最好,现就对

图 35

的滤波器进行噪声分析、容差分析,进而又对其通频带内 10kHz、20kHz 的音频波进

行 PWM

解调滤波,具体分析结果如下:

1、

噪声分析与容差分析:

图 40 噪声分析结果

从图 40

可以看出,本滤波器噪声对滤波影响很小,为 10-19

数量级。

图 41 滤波器电容容差分析结果

Page 33: 1 BIT 全数字音频功率放大系统 · 数字功放在数字信号处理部分采用 fpga 芯片及超取样数字滤波及 - ∑ 调制等电路 。 四 、 本电路特点 本电路为

32

图 41 给出了滤波器的电容容差为±15%

时进行的蒙特卡罗分析(Monte Carlo)

的容差结果,五条曲线反应了电容的一个标称值与四个随机样值的频谱特性。电容容差分析

结果满足我们要求。

图 42 滤波器的电感容差分析结果

图 42 反映了电感的容差在±20%

内变化时的分析结果,跳变最大的曲线即为最坏情

况的频响特性。

2、10kHz、20kHz

滤波分析:

图 43 携带 10khz 音频波的 PWM 波经滤波后的波形

Page 34: 1 BIT 全数字音频功率放大系统 · 数字功放在数字信号处理部分采用 fpga 芯片及超取样数字滤波及 - ∑ 调制等电路 。 四 、 本电路特点 本电路为

33

图 44 携带 20khz 的 PWM 波经滤波后的图形

图 43、44

反映了滤波的真实情况,在开始一段时间(图上示值小于 100us

)内,

滤波网络吸收能量使波形失真,这是正常的。

总体来说,四阶巴特沃斯滤波器完全可以满足要求。

Page 35: 1 BIT 全数字音频功率放大系统 · 数字功放在数字信号处理部分采用 fpga 芯片及超取样数字滤波及 - ∑ 调制等电路 。 四 、 本电路特点 本电路为

34

(七)、 电源设计与仿真

一、电源稳态特性仿真分析

1、

本电源部分参数如下:

参数 单位 最小值 典型值 最大值

源电压范围 V 160 220 280

输入频率范围 HZ 47 50 63

工作温度 ℃ -10 27 60

抗电强度 KV 1.5

纹波噪声输出 % 0.5 1

存储温度 ℃ -10 27 85

电源效率 % 80

2

、电源的源电压效应仿真结果

本电源的源电压允许波动范围为±28%

,即输入电压在 160V~280V

的范围内。

下面,我们分别给出了电源在输入电压极值点的仿真输出波形及其特征。

① 输入为 160V 时的仿真结果

图 45 输入电压为 160V 时的输出波形

如图 45

所示,输入电压为 160V 时的输出电压为 5.0V

,输出相对纹波电

压约为 27.829mV

,纹波系数约为 0.51%。

② 输入为 280V 时的仿真结果

Page 36: 1 BIT 全数字音频功率放大系统 · 数字功放在数字信号处理部分采用 fpga 芯片及超取样数字滤波及 - ∑ 调制等电路 。 四 、 本电路特点 本电路为

35

图 46 输入为 280V 时的输出波形

如图 46

所示,电源在输入为 280V

时,输出电压升高为 5.3V

左右,输出纹波系数

也发生了变化,约为 0.78%。

3

、电源负载效应仿真结果

图 47 输入电压为 220V

,负载量为 1A 时的输出波形

图 47 给出了电源负载为 1A

时的电压输出结果,此时的电压输出 5.014V

,纹波

电压 19.577mv

,纹波含量为 0.39%

,较小,电源的负载效应比较稳定。

4

、电源稳态分析数据表

输入电压

(V)

输出电压

(V)

输入电压调整率

( % )

输出电压变化率

(%)

负 载 量

(OHM)

负载调整率

(%)

输出纹波系数

(%)

220 5.014 0 0 5 0 0.39

200 5.112 -9 1.91 5 0 0.54

180 5.198 -18 3.67 5 0 0.53

160 5.273 -27 5.16 5 0 0.66

240 5.252 9 4.75 5 0 0.59

260 5.291 18 5.52 5 0 0.55

Page 37: 1 BIT 全数字音频功率放大系统 · 数字功放在数字信号处理部分采用 fpga 芯片及超取样数字滤波及 - ∑ 调制等电路 。 四 、 本电路特点 本电路为

36

280 5.257 27 4.85 5 0 0.51

220 5.044 0 0.6 50 -900 0.35

220 5.057 0 0.86 10 -100 0.46

220 5.251 0 4.73 7 -40 0.55

220 5.323 0 6.16 2.5 50 0.61

220 5.372 0 6.24 1 80 0.78

表中数据显示:当负载固定,源电压发生变化时,输出电压也伴随有不同程度的变化;

当源电压固定,负载发生变化时输出也发生变化,但总体上变化不大,基本上都稳定在 5.2V

左右,不影响电路的整体应用。

二、本电源动态特性仿真分析

1

、源电压阶跃情况分析结果

图 48

输出电压阶跃瞬态特性(源电压从 220V 跃变到 160V)

图 49

输出阶跃瞬态特性(源电压从 160V 跃变到 280V)

如图 48、49

所示,分别给出了源电压发生跃变时的输出电压波形,源电压的跃变

对电源的输出电压影响不大,在 2ms

内都能恢复到稳定的输出。

Page 38: 1 BIT 全数字音频功率放大系统 · 数字功放在数字信号处理部分采用 fpga 芯片及超取样数字滤波及 - ∑ 调制等电路 。 四 、 本电路特点 本电路为

37

2

、负载阶跃情况分析结果

图 50

输出阶跃瞬态特性(负载从 0A 跃变到 1.0A)

如图 50

所示,随着电源负载量的增大,电源输出的电压略有升高,纹波系数较大,

约为 0.78%

。电压调节的时间较短,上升时间约为 0.5ms

,下降时间约为 0.5ms

图 51

输出阶跃瞬态特性(负载量从 1A 跃变到 0A)

如图 51

所示,当负载从 1A 跃变到 0A

时,输出纹波电压较小,纹波系数约为 0.35%,

输出电压比较稳定,基本处在额定电压范围。电压的恢复时间较长,上升时间约为 0.7ms,

下降时间约为 7.3ms。

三、电源电磁兼容性能指标

1

、电源瞬态敏感度

① 输入电压大于稳态输入电压的 10%~30%时的情况分析

Page 39: 1 BIT 全数字音频功率放大系统 · 数字功放在数字信号处理部分采用 fpga 芯片及超取样数字滤波及 - ∑ 调制等电路 。 四 、 本电路特点 本电路为

38

图 52

电压瞬态敏感度分析结果(输入电压+10%~ +30%)

② 输入电压小于稳态输入电压的 10%~30%时的情况分析

图 53

电压瞬态敏感度分析结果(输入电压-10%~-30%)

从图 52

、图 53

可以看到:电源对源电压的变化敏感度较好,均能在 1ms 内做出反

应,很快恢复到稳定输出。

2

、浪涌敏感度

图 54 电源敏感度分析结果

从图 54

可以看出:本电源的浪涌敏感度高,对输入的浪涌能做很快的调整,对整个

电源影响小。