52
4 η Θεματική Ενότητα : Καταχωρητές και Μετρητές Επιμέλεια διαφανειών: Χρ. Καβουσιανός

4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

  • Upload
    others

  • View
    1

  • Download
    0

Embed Size (px)

Citation preview

Page 1: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

4η Θεµατική Ενότητα : Καταχωρητές και Μετρητές

Επιµέλεια διαφανειών: Χρ. Καβουσιανός

Page 2: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 2

Εισαγωγή Καταχωρητής: οµάδα από δυαδικά κύτταρα αποθήκευσης και λογικές πύλες που αποθηκεύουν και µεταφέρουν πληροφορίες. Τα κύτταρα µοιράζονται το ίδιο ρολόι

Οι µετρητές είναι καταχωρητές που παίρνουν διαδοχικά µια προκαθορισµένη σειρά καταστάσεων. Η αλληλουχία των καταστάσεων ρυθµίζεται από λογικές πύλες.

Μονάδα µνήµης είναι ένα σύνολο στοιχείων αποθήκευσης µε σχετικά κυκλώµατα µεταφοράς πληροφοριών.

Page 3: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 3

Καταχωρητής Ο απλούστερος δυνατός τύπος καταχωρητή

αποτελείται µονάχα από flip-flops χωρίς εξωτερικές πύλες.

5 4 8 I0…I3

A0…A3 5 4 8

Clock

Clear_b

0

3

Η ασύγχρονη µηδένιση υπερισχύει

Page 4: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 4

Ο αντιστροφέας στο ρολόι χρησιµοποιείται για να µειώσει το φορτίο οδήγησης.

Η είσοδος µηδενισµού χρησιµοποιείται για να φέρει τον καταχωρητή σε µία γνωστή αρχική κατάσταση, και για να σταµατήσει την λειτουργία του.

Καταχωρητής µε Παράλληλη Φόρτωση

Με D-flip flops

0

0

0

0

0

1

1

1

1

Φόρτωση (loading): η µεταφορά πληροφοριών µέσα σε έναν καταχωρητή. Παράλληλη αν γίνεται σε όλα τα bits µαζί. Σειριακή αν γίνεται σε ένα ένα cell χωριστά.

Page 5: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 5

Καταχωρητής µε Παράλληλη Φόρτωση 1

0

0

0

0

1

1

1

1

Ο αντιστροφέας στο ρολόι χρησιµοποιείται για να µειώσει το φορτίο οδήγησης.

Η είσοδος µηδενισµού χρησιµοποιείται για να φέρει τον καταχωρητή σε µία γνωστή αρχική κατάσταση, και για να σταµατήσει την λειτουργία του.

Με D-flip flops

Φόρτωση (loading): η µεταφορά πληροφοριών µέσα σε έναν καταχωρητή. Παράλληλη αν γίνεται σε όλα τα bits µαζί. Σειριακή αν γίνεται σε ένα ένα cell χωριστά.

Page 6: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 6

Παράδειγµα χρήσης Καταχωρητών (1)

Α1(t+1)=Σ(4, 6) = A1x’

A2(t+1)=Σ(1, 2, 5, 6) = A2 ⊕ x

y(A1, A2, x)=Σ(3, 7) = A2x

Με τη χρήση καταχωρητών, ο σχεδιασµός ενός ακολουθιακού κυκλώµατος µετατρέπεται σε σχεδιασµό συνδυαστικού.

Page 7: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 7

Παράδειγµα χρήσης Καταχωρητών (2)

Παρούσα κατάσταση

Είσοδος

Επόµενη Κατάσταση

Έξοδος

Page 8: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 8

Καταχωρητής Ολίσθησης Καταχωρητής ολίσθησης: Ένας καταχωρητής που ολισθαίνει τα περιεχόµενά του προς τις δύο κατευθύνσεις. Τα δεδοµένα µπορούν να φορτωθούν σειριακά.

Απαιτούνται N κύκλοι για να γεµίσει

1 0 0 0 0

Page 9: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 9

Καταχωρητής Ολίσθησης Καταχωρητής ολίσθησης: Ένας καταχωρητής που ολισθαίνει τα περιεχόµενά του προς τις δύο κατευθύνσεις. Τα δεδοµένα µπορούν να φορτωθούν σειριακά.

Απαιτούνται N κύκλοι για να γεµίσει

0 1 0 0 0

Page 10: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 10

Καταχωρητής Ολίσθησης Καταχωρητής ολίσθησης: Ένας καταχωρητής που ολισθαίνει τα περιεχόµενά του προς τις δύο κατευθύνσεις. Τα δεδοµένα µπορούν να φορτωθούν σειριακά.

Απαιτούνται N κύκλοι για να γεµίσει

1 0 1 0 0

Page 11: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 11

Καταχωρητής Ολίσθησης Καταχωρητής ολίσθησης: Ένας καταχωρητής που ολισθαίνει τα περιεχόµενά του προς τις δύο κατευθύνσεις. Τα δεδοµένα µπορούν να φορτωθούν σειριακά.

Απαιτούνται N κύκλοι για να γεµίσει

0 1 0 1 0

Page 12: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 12

Καταχωρητής Ολίσθησης Καταχωρητής ολίσθησης: Ένας καταχωρητής που ολισθαίνει τα περιεχόµενά του προς τις δύο κατευθύνσεις. Τα δεδοµένα µπορούν να φορτωθούν σειριακά.

Απαιτούνται N κύκλοι για να γεµίσει

0 1 0 1

Page 13: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 13

Σειριακή Μεταφορά Ένα ψηφιακό σύστηµα λειτουργεί σειριακά, όταν οι πληροφορίες µεταφέρονται

και επεξεργάζονται το ένα bit µετά το άλλο σε διαδοχικούς χρόνους.

Page 14: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 14

Σειριακή Μεταφορά

Καταχωρητής Α Καταχωρητής Β

1 0 1 1 0 1 0 1 0 0 0 0 0 0 0 0 1 1 0 1 1 0 1 0 1 0 0 0 0 0 0 0 0 1 1 0 1 1 0 1 0 1 0 0 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 0 0 0 0 0 0 1 0 1 1 0 1 1 0 1 0 1 0 0 0 0 1 0 1 0 1 1 0 1 1 0 1 0 1 0 0 0 1 1 0 1 0 1 1 0 1 1 0 1 0 1 0 0 0 1 1 0 1 0 1 1 0 1 1 0 1 0 1 0 1 0 1 1 0 1 0 1 1 0 1 1 0 1 0 1

Είσοδος Ρολογιού

Page 15: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 15

Σειριακή Πρόσθεση

Καταχωρητές Ολίσθησης

Καταχωρητής κρατουµένου

Πλήρης αθροιστής

Page 16: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 16

Πρόσθεση

0110 0101

1011

Παράλληλη Πρόσθεση

+ + + +

Σειριακή Πρόσθεση

0110

0101

+ 0000

D ff

0

0011

0010

+ 1000

D ff

0

0001

0001

+ 1100

D ff

0

0000

0000

+ 0110

D ff

1

0000

0000

+ 1011

D ff

0

Page 17: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 17

Σειριακή Πρόσθεση

Ο σειριακός αθροιστής µπορεί να υλοποιηθεί και ως ακολουθιακό κύκλωµα

Μετά από απλοποίηση:

JQ = xy, KQ = (x+y)', S=x xor y xor Q

Page 18: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 18

Σειριακή Πρόσθεση

JQ = xy, KQ = (x+y)', S=x xor y xor Q

Page 19: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 19

Αµφίδροµος Καταχωρητής Ολίσθησης µε Παράλληλη Φόρτωση

Οι δυνατότητες που έχουν συνήθως οι καταχωρητές είναι οι ακόλουθες:

1. Μια είσοδο µηδενισµού (θέτει τον καταχωρητή στο 0).

2. Μία είσοδο CP για τους παλµούς ρολογιού.

3. Μία είσοδο ελέγχου δεξιάς ολίσθησης.

4. Μία είσοδο ελέγχου αριστερής ολίσθησης.

5. Μία είσοδο ελέγχου παράλληλης φόρτωσης.

6. n γραµµές παράλληλης εισόδου / n γραµµές παράλληλης εξόδου.

7. Μία γραµµή σειριακής εισόδου / µία γραµµή σειριακής εξόδου.

8. Μία κατάσταση ελέγχου η οποία αφήνει αναλλοίωτες τις πληροφορίες.

Page 20: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 20

Καταχωρητές Ολίσθησης

Αµφίδροµος Καταχωρητής ολίσθησης: Ένας καταχωρητής που ολισθαίνει τα περιεχόµενά του και προς τις δύο κατευθύνσεις.

Μονόδροµος Καταχωρητής ολίσθησης: Ένας καταχωρητής που ολισθαίνει τα περιεχόµενά του µόνο προς τη µία κατεύθυνση.

Καταχωρητής ολίσθησης µε παράλληλη φόρτωση: Ένας καταχωρητής που µπορεί να κάνει ολισθήσεις και να φορτωθεί παράλληλα.

00

Page 21: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 21

Καταχωρητής Ολίσθησης-Παράλληλης Φόρτωσης

Η δεξιά και η αριστερή κατεύθυνση στην ολίσθηση

σχετίζονται µε την κατεύθυνση που ακολουθείται

κατά την γραφή των αριθµών.

Page 22: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 22

Καταχωρητής Ολίσθησης-Παράλληλης Φόρτωσης

Page 23: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 23

Καταχωρητής Ολίσθησης-Παράλληλης Φόρτωσης

s1 s0 = 00

αναλοίωτος

Page 24: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 24

Καταχωρητής Ολίσθησης-Παράλληλης Φόρτωσης

s1 s0 = 01

Δεξία ολίσθηση

Page 25: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 25

Καταχωρητής Ολίσθησης-Παράλληλης Φόρτωσης

s1 s0 = 10

Αριστερή

ολίσθηση

Page 26: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 26

Καταχωρητής Ολίσθησης-Παράλληλης Φόρτωσης

s1 s0 = 11

Παράλληλη

φόρτωση

Page 27: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 27

Μετρητές

Μετρητής Ριπής: Οι είσοδοι CP όλων των flip flops εκτός του πρώτου πυροδοτούνται από τις ακµές των κυµατοµορφών που βγαίνουν από τα άλλα flip flops

Σύγχρονος Μετρητής: Οι είσοδοι CP όλων των flip flops τροφοδοτούνται από τους ίδιους παλµούς ρολογιού.

Page 28: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 28

Μετρητές Ριπής

Αν πάρουµε την έξοδο µέτρησης από τους

ακροδέκτες Q΄ τότε έχουµε έναν µετρητή προς τα κάτω. Άλλος τρόπος είναι να

πυροδοτούνται τα flip flops από την θετική ακµή του

ρολογιού.

Page 29: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 29

Μετρητές Ριπής

0 0 0 0 1 0 0 0 0 1 0 0 1 1 0 0 0 0 1 0 1 0 1 0 0 1 1 0 1 1 1 0 0 0 0 1 1 0 0 1 0 1 0 1

Page 30: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 30

Μετρητές Ριπής

Παλµοί

Α1

Α2

Α3

Α4

0

0

0

0

1

0

0

0

0

1

0

0

1

1

0

0

0

0

1

0

1

0

1

0

0

1

1

0

1

1

1

0

0

0

0

1

1

0

0

1

0

1

0

1

1

1

0

1

0

0

1

1

1

0

1

1

0

1

1

1

1

1

1

1

Page 31: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 31

Μετρητής Ριπής Σε κάθε αρνητική ακµή του

ρολογιού το κάθε flip flop αλλάζει τιµή από 0 σε 1 και από 1 σε 0

Η µέτρηση βασίζεται στην σωστή ακολουθία αρνητικών ακµών που

λαµβάνει το κάθε flip flop

Όταν παρεµβάλονται λογικές πύλες υπάρχουν αιχµές που απειλούν την ακολουθία

Page 32: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 32

Μετρητής Ριπής BCD

Το Q1 αντιστρέφεται πάντα µε τον παλµό µέτρησης (θεωρώ αρνητική

ακµή).

Q8Q4Q2Q1

J1=1, K1=1, CP1=clk

0000 0001 0010 0011 0100

1001 1000 0111 0110 0101

Page 33: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 33

Μετρητής Ριπής BCD

Το Q2 αντιστρέφεται αν Q8=0 και µηδενίζεται όταν Q8=1 (µε τον

παλµό Q1).

Q8Q4Q2Q1

J2=Q8΄, K2=1, CP2=Q1

0000 0001 0010 0011 0100

1001 1000 0111 0110 0101

Διακοπή της περιοδικότητας της ακολουθίας

Page 34: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 34

Μετρητής Ριπής BCD

To Q4 αντιστρέφεται πάντα µε τον παλµό Q2.

Q8Q4Q2Q1

J4=1, K4=1, CP4=Q2

0000 0001 0010 0011 0100

1001 1000 0111 0110 0101

Μεταβάσεις Q2

Page 35: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 35

Μετρητής Ριπής BCD

Το Q8 αντιστρέφεται όταν Q4Q2=11 µε τον παλµό του Q1 (αλλιώς

µηδενίζεται).

Q8Q4Q2Q1

J8=Q4Q2, K8=1, CP8=Q1

0000 0001 0010 0011 0100

1001 1000 0111 0110 0101

Page 36: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 36

Μετρητής Ριπής BCD

Το Q1 αντιστρέφεται πάντα µε τον παλµό µέτρησης (θεωρώ αρνητική ακµή).

Το Q2 αντιστρέφεται αν Q8=0 και µηδενίζεται όταν Q8=1 (µε τον παλµό Q1).

To Q4 αντιστρέφεται πάντα µε τον παλµό Q2.

Το Q8 αντιστρέφεται όταν Q4Q2=11 µε τον παλµό του Q1 (αλλιώς µηδενίζεται).

Q8Q4Q2Q1

J1=1, K1=1, CP1=clk

J2=Q8΄, K2=1, CP2=Q1

J4=1, K4=1, CP4=Q2

J8=Q4Q2, K8=1, CP8=Q1

0000 0001 0010 0011 0100

1001 1000 0111 0110 0101

Page 37: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 37

Μετρητής Ριπής BCD

Το Q1 αντιστρέφεται πάντα µε τον παλµό µέτρησης (θεωρώ αρνητική ακµή).

Το Q2 αντιστρέφεται αν Q8=0 και µηδενίζεται όταν Q8=1 (µε τον παλµό Q1).

To Q4 αντιστρέφεται πάντα µε τον παλµό Q2.

Το Q8 αντιστρέφεται όταν Q4Q2=11 µε τον παλµό του Q1 (αλλιώς µηδενίζεται).

Q8Q4Q2Q1

J1=1, K1=1, CP1=clk

J2=Q8΄, K2=1, CP2=Q1

J4=1, K4=1, CP4=Q2

J8=Q4Q2, K8=1, CP8=Q1

0000 0001 0010 0011 0100

1001 1000 0111 0110 0101

Page 38: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 38

Μετρητής Ριπής BCD

Page 39: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 39

Δεκαδικός Μετρητής BCD 3 ψηφίων

Στην τελευταία µέτρηση γίνεται η µετάβαση από 1 σε 0 (αρχικοποίηση) και πυροδοτείται η µέτρηση της επόµενης

βαθµίδας κατά ένα.

Page 40: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 40

Σύγχρονη Μέτρηση προς τα πάνω 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1 1 0 1 0 1 0 1 1 1 1 0 0 1 1 0 1 1 1 1 0 1 1 1 1

Αντιστροφή πάντα

Αντιστροφή όταν η πρώτη στήλη είναι στο 1

Αντιστροφή όταν οι προηγούµενες στήλες είναι στο 1

Αντιστροφή όταν οι προηγούµενες στήλες είναι στο 1

Page 41: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 41

Σύγχρονοι Μετρητές: Οι είσοδοι CP όλων των flip flops πυροδοτούνται από τον κοινό παλµό ρολογιού.

Στο δυαδικό µετρητή κάθε flip flop πρέπει να αντιστρέφεται µόνο στην ακµή του ρολογιού και όταν όλα τα λιγότερο σηµαντικά ffs είναι στο 1.

Οι σύγχρονοι µετρητές έχουν οµοιόµορφη δοµή και µπορούν να κατασκευαστούν εύκολα µε πύλες και αντιστρέφοντα flip flops.

Ο σύγχρονος µετρητής λειτουργεί το ίδιο και µε πυροδότηση στη θετική ακµή.

Σύγχρονος Μετρητής A1

A2A1

A3A2A1

Page 42: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 42

Σύγχρονη Μέτρηση προς τα κάτω Q4 Q3 Q2 Q1

1 1 1 1 1 1 1 0 1 1 0 1 1 1 0 0 1 0 1 1 1 0 1 0 1 0 0 1 1 0 0 0 0 1 1 1 0 1 1 0 0 1 0 1 0 1 0 0 0 0 1 1 0 0 1 0 0 0 0 1 0 0 0 0

Αντιστροφή πάντα

Αντιστροφή όταν Q1 = 0 ή Q1' = 1

Αντιστροφή όταν Q2Q1 = 00 ή όταν

Q2'Q1' = 11

Αντιστροφή όταν οι Q3Q2Q1 = 000 ή

όταν Q3'Q2'Q1' = 111

Page 43: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 43

Σύγχρονος Δυαδικός Μετρητής Πάνω/Κάτω

Μέτρηση Κάτω Μέτρηση

Πάνω

O σύγχρονος µετρητής µπορεί να µετράει προς τα κάτω αν στις εισόδους των πυλών ΚΑΙ περάσουµε τις συµπληρωµατικές

εξόδους των flip flops.

Page 44: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 44

Δυαδικός Μετρητής Παράλληλης Φόρτωσης

Φόρτωση = 1

Φόρτωση (Μέτρηση = Χ)

Φόρτωση=0 & Μέτρηση=1

Μέτρηση

0

0

0

0

0

0

1 1

1

1

1

1

1

1

1

Ι1

Ι1

Ι2

Ι2

Ι3

Ι3

Ι4

Ι4

0 0

0

0

0

0

0

0

0

1

1

1

A1

A1A2

A1A2A3

Page 45: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 45

Δυαδικός Μετρητής Παράλληλης Φόρτωσης

Page 46: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 46

Δυαδικός Μετρητής Παράλληλης Φόρτωσης

Ο τετράµπιτος µετρητής µπορεί να χρησιµοποιηθεί ως βάση για τη δηµιουργία οποιουδήποτε µετρητή. Για παράδειγµα, ο µετρητής των 8 bits προκύπτει αν συνδέσουµε το κρατούµενο εξόδου του µετρητή χαµηλότερης σηµαντικότητας

στην είσοδο µέτρησης του µετρητή υψηλότερης σηµαντικότητας.

4 bits 1 4 bits 4 bits ...

Page 47: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 47

Παράδειγµα Δεκαδικός Μετρητής

Ασύγχρονη λειτουργία

Σύγχρονη λειτουργία

Load = 1 όταν Α3Α0 = 11

Πρώτη φορά όταν Α3Α2Α1Α0 = 1001

Στον επόµενο κύκλο φορτώνεται η τιµή

0000

Page 48: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 48

Παράδειγµα Δεκαδικός Μετρητής

Clear = 0 όταν Α3Α2Α1Α0 = 1010

Άµεσα µηδενίζεται ο µετρητής

Η τιµή 1010 εµφανίζεται στγµιαία

µόνο και αντικαθιστάται από την τιµή 0000

Page 49: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 49

Παράδειγµα Μετρητής mod-6

Page 50: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 50

Μετρητής µε Αχρησιµοποίητες Καταστάσεις

Page 51: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 51

Ακολουθίες Χρονισµού Μετρητής Δακτυλίου: Ένας κυκλικός καταχωρητής ολίσθησης µε µία µονάδα.

Κατασκευή Πολυφασικών Ρολογιών µε χρήση συνδυαστικών πυλών.

Page 52: 4η Θεµατική Ενότητα Καταχωρητές ...kabousia/pdf/LogicDesignI/Th4_RegistersCounters.pdf · Καταχωρητές, Μετρητές και Μονάδες

Καταχωρητές, Μετρητές και Μονάδες Μνήµης 52

Ακολουθίες Χρονισµού Μετρητής Johnson: Ένας µετρητής δακτυλίου µε αντιστροφή ουράς (παράγει διπλάσιο αριθµό καταστάσεων).

Μειονέκτηµα: Αν µπει σε κάποια από τις αχρησιµοποίητες καταστάσεις δεν µπορεί να βγει.